CN102763200A - 包括低k值电介质的微电子结构及控制所述结构内碳分布的方法 - Google Patents

包括低k值电介质的微电子结构及控制所述结构内碳分布的方法 Download PDF

Info

Publication number
CN102763200A
CN102763200A CN201180010539XA CN201180010539A CN102763200A CN 102763200 A CN102763200 A CN 102763200A CN 201180010539X A CN201180010539X A CN 201180010539XA CN 201180010539 A CN201180010539 A CN 201180010539A CN 102763200 A CN102763200 A CN 102763200A
Authority
CN
China
Prior art keywords
carbon
low
layer
atom
dielectrics
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201180010539XA
Other languages
English (en)
Inventor
谢波
A·T·迪莫斯
D·拉杰
S·恩戈
K·S·伊姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102763200A publication Critical patent/CN102763200A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant

Abstract

本发明的实施例关于形成微电子结构。对于下一代32纳米的技术节点,低k电介质材料需要呈现低于约2.6的电介质常数。本发明能利用此低k电介质材料来形成半导体装置,同时提供改善的整体微电子结构的弯曲与剪切强度整合性。

Description

包括低k值电介质的微电子结构及控制所述结构内碳分布的方法
本申请有关用于控制阻挡层与上覆低k电介质层间的粘附性的微电子制造方法。与本申请有共同发明人的相关专利和申请包括2006年12月19日颁予Lee等人、名称为“将包括氧掺杂碳化硅的电介质材料沉积在镶嵌结构中的应用方法(MethodOf Depositing Dielectric Materials Including Oxygen-DopedSilicon Carbide In Damascene Applications)”的美国专利第7,151,053B2号;2007年3月13日颁予Lakshmanan等人、名称为“以不同组成分布的氧化层来增强电介质层与阻挡层间的界面(Strengthening The Interface Between Dielectric LayersAnd Barrier Layers With An Oxide Layer Of VaryingComposition Profile)”的美国专利第7,189,658B2号;2007年11月20日颁予Yim等人、名称为“使用硅和有机前驱物来减少PECVD工艺中的气相反应从而沉积无缺陷初始层的方法(Method To Reduce Gas-Phase Reactions In A PECVD ProcessWith Silicon And Organic Precursors To Deposit Defect-FreeInitial Layers)”的美国专利第7,297,376B 1号;2009年11月3日颁予Schmitt等人、名称为“低k纳米多孔膜的多阶段固化(Multi-Stage Curing Of Low K Nano-Porous Films)”的美国专利第7,611,996B2号;以及2009年11月10日颁予Edelstein等人、名称为“用于多孔SiCOH电介质层及提升粘附性或具有提高的界面与机械强度的蚀刻终止层的结构和方法(StructureAnd Method For Porous SiCOH Dielectric Layers And AdhesionPromoting Or Etch Stop Layers Having Increased InterfacialAnd Mechanical Strength)”的美国专利第7,615,482号。尽管未主张所述这些相关专利和申请的优先权,然而所述这些专利和申请提出的内容以引用方式纳入本文中而不与本发明的教示相悖。
技术领域
一些符合微电子产业对电介质常数要求的低k材料含有碳,并以等离子体增强化学气相沉积(PECVD)沉积。含碳的低k电介质需要具有均匀的碳分布。此外,碳的存在会影响低k电介质层与底下或上覆扩散阻挡层间的粘附性。
背景技术
这部分描述了与本发明实施例相关的背景内容。在此无意明示或暗示这部分所讨论的背景合法构成了现有技术。
下一代半导体装置将为32纳米(nm)“技术节点”。这种小特征结构尺寸需要组成部分装置结构的各层材料能在比先前提供的空间小的空间执行所述部分装置结构的功能。结果,在对构成装置的极薄材料层(350埃
Figure BDA00002042778400021
或更薄)进行沉积过程中,材料组成和结构可能突然改变而造成不当影响,(致使装置功能发生问题)。例如,低k材料层的应用目的为提供电气绝缘功能,但正好足以控制电信号传递而不减缓整体装置运作。近年来已开发一些低k材料,且通常所述材料用于接触扩散阻挡层的表面,所述扩散阻挡层防止导电材料迁移到相邻半导体层或电介质层中。
低k电介质层与扩散阻挡层间有良好粘附性是重要的。这对装置性能整合是重要的。这在制造装置期间也是重要的,因为最常用于形成“多层金属”装置(即,多层连接装置,用以缩小装置尺寸)的工艺为镶嵌工艺,所述镶嵌工艺采用平坦化、碾轧工艺,诸如化学机械抛光。碾轧时,这些碾轧工艺在装置结构中出现的层之间的界面产生应力。
在如化学机械抛光(CMP)之类的工艺期间在装置层之间产生的应力会造成装置变形、界面表面分离及导致性能缺陷。
近年来开发的低k电介质材料和使用这些材料的方式例如在本文前列的相关专利和申请以及以下提到的其它专利和申请中进行描述。这并非是包括所有背景技术的列表,而是希望对本发明所要改善的技术提供大概的了解。
2002年9月24日颁予Bao等人、名称为“采用双层碳掺杂氮化硅/碳掺杂氧化硅蚀刻终止层来形成镶嵌结构的方法(Method For Forming Damascene Structure Employing Bi-layerCarbon Doped Silicon Nitride/Carbon Doped Silicon Oxide EtchStop Layer)”的美国专利第6,455,417号描述了形成微电子结构的镶嵌方法,所述方法采用形成在基板上的第一蚀刻终止/衬垫层和形成于第一层上的第二层,其中第一蚀刻终止/衬垫层包含碳掺杂氮化硅材料,第二层为碳掺杂氧化硅电介质材料。(摘要)在Bao等人的较佳结构实施例中,碳掺杂氮化硅材料和碳掺杂氧化硅材料以PECVD法形成。通常,用于PECVD工艺中的起始前驱物包括有机硅烷作为硅与碳源材料。有机硅烷材料例如与氮源材料(如氮气、氨气或联胺)反应而形成碳掺杂氮化硅。有机硅烷材料可与氧源材料(如氧气、臭氧、一氧化二氮、一氧化氮、一氧化碳和二氧化碳)反应而形成碳掺杂氧化硅。
Schmitt等人的美国专利第7,611,996B 2号描述了利用化学气相沉积(CVD)、较佳为等离子体增强CVD(PECVD)来沉积低k电介质层,由此用于制造沉积膜的反应气体可由等离子体激发,且膜沉积需要较低温度。各种CVD沉积膜和所述沉积膜的功能的讨论包括考虑随着装置变小,多层膜结构的电阻率影响随之增加,因而减慢了装置性能。此外,装置几何形状的缩小会造成装置间的寄生电容的增加。电路中同层或相邻层上的金属互连间的寄生电容会引起金属线或互连间产生串扰及/或阻容(RC)延迟,从而缩短装置的响应时间及降低装置总体性能。随着金属互连的层数越多,所述问题也越严重。
为降低同层或相邻层上的金属互连间的寄生电容,需要将金属线或互连间的低k电介质材料改成电介质常数更低的材料。Schmitt等人的专利提及电介质常数希望低于2.5。被开发获此电介质常数的材料为具有分散微观气孔的纳米多孔氧化硅膜。这种低k电介质材料据说通常沉积在阻挡层表面,所述阻挡层包含PECVD氧化硅、氮化硅、氮氧化硅或氢化碳化硅。
Lee等人的专利(美国专利第7,151,053B 2号)描述了通过使包括含氧有机硅化合物、包含氧与碳的化合物、和无氧有机硅化合物的气体反应以将阻挡层沉积在基板上的方法,其中所得沉积膜为氧含量约15原子%或小于15原子%的氧掺杂碳化硅层。在另一实施例中,反应物包括含氧与碳的化合物和无氧有机硅化合物,所述含氧与碳的化合物和无氧有机硅化合物也可用来制造氧含量约15原子%或小于15原子%的氧掺杂碳化硅层。
对具有低电介质常数和期望化学与机械性质的碳氧化硅膜的开发已面临严峻挑战。据观察,具有低于2.5的理想低电介质常数的碳氧化硅膜往往无法好好粘附于底下的阻挡层,诸如含硅与碳的阻挡层。Lakshmanan等人的专利(美国专利第7,189,658B2号)所述的发明实施例描述了沉积低k电介质层的方法,其中低k电介质层以使沉积层内呈氧浓度梯度的方式沉积。底下的阻挡层可为碳化硅、氮掺杂碳化硅、氧掺杂碳化硅、或氧与氮掺杂碳化硅层。沉积于阻挡层上的低k电介质层利用PECVD工艺形成,所述工艺中处理进气包含有机硅化合物和氧化气体,其中有机硅化合物的流率随沉积进行而增加。这在阻挡层与低k电介质层间的界面提供了较高的氧含量和较低的碳含量。
Edelstein等人的专利(美国专利第7,615,482号)中也描述了在PECVD处理腔室中生成一系列层以制造具有预期性质的微电子结构的概念。在所述方法中,起始基板表面为电介质或导电材料层。氧化层(氧化硅)形成在基板表面上,其中氧化层基本不含碳。渐变过渡层接着形成在氧化层上,其中渐变过渡层在与氧化层的界面处基本不含碳,且碳含量朝多孔SiCOH层逐渐递增,所述多孔SiCOH层构成低电介质常数层的上部。用于制造SiCOH层的前驱物材料据说为缓升(ramp up),而PECVD进气中的氧浓度为缓降(ramp down)。
如参考Edelstein等人的专利的图2及图3所述,具有不同化学组成的各层之间可能发生粘附的问题。为便于说明,请参见本申请的图1,所述图示出了已被重新编号的Edelstein等人的双金属化层结构实例。本领域技术人员将理解,根据装置结构,可有至多达七个或多于七个的金属化层。在图1A中,半导体结构100包括半导体材料102,所述半导体材料102位于含有金属线106的低k电介质层104下。金属线106上为封顶层110,如Edelstein等人的专利中所述,所述封顶层110可为碳化硅材料,诸如SiCH或SiCHN。封顶层110上为界面结构112,所述界面结构112据说由两层112a和112b组成,如图1B中所详细示出地。
层112a据说为基本不含碳的氧化层,“基本”定义为0.1至3原子%范围的碳。此碳含量据说不会不利影响氧化层112a的性能。层112b为过渡层,所述过渡层从基本不含碳的氧化层转变成多孔SiCOH层。层112b的表面上为均质SiCOH低k电介质层,所述均质SiCOH低k电介质层以层114表示。低k电介质层114相当于低k电介质层104。含导电填充材料108的连接触点通孔108被低k电介质层114围绕。第二层金属线116接触连接通孔108中出现的导电材料。
第二金属线116上为第二封顶层120,如Edelstein等人的专利所述,所述第二封顶层120可为碳化硅材料,诸如S i C H或SiCHN。第二封顶层120上为由两层(未图示)组成的第二界面结构122,所述两层相当于图1B所示的层112a和112b。用导电材料填充的第二层触点通孔118连接第二金属线116,并可连接附加金属化层(位于第二层通孔118上,未图示)。第三均质SiCOH低k电介质层124围绕触点通孔118。
如Edelstein等人的专利所述,具有(本申请中)图1A及1B的现有技术所示的结构的问题据说为SiCOH层(104、114和124)与下层间的粘附性趋于不足,所述下层可为半导体材料102(例如,诸如硅),或可为封顶(阻挡)材料层(112和122),所述封顶(阻挡)材料层通常为碳化硅基材料。过渡层(如本申请中现有技术图1B所示的层112b)旨在改善层112b与下层112a(所述下层为基本不含碳的氧化硅层)间的粘附性。另外,层112a据说已被示出为可良好接合SiCOH低k电介质层(104、114和124)的表面。
在Edelstein等人的专利中,过渡层(如本申请中现有技术图1B所示的层112b)的沉积关键据说为沉积膜中存在的碳含量随碳量增加而平缓转变。然而,发现实现这种平缓转变的方法有些难以掌握。例如,Edelstein等人的专利教示了,反应前驱物(如Edelstein等人的专利中图5所示)的供给会在所形成的碳渐变过渡层内产生大的碳高峰,以致最终在底下表面产生弱机械性界面层。为解决此问题,使用不同方式将反应物引进腔室(如Edelstein等人的图6所示)。膜沉积期间,据说仍会产生碳高峰和氧急降,两种情况均会在底下表面产生弱机械性界面层。最后,据说实行修改方式将反应物引进腔室(如Edelstein等人的图7、本申请中图2的现有技术所示),以提供碳与孔隙度都均匀逐渐递增的过渡层。
如上所述,本申请的图2(现有技术)示出了Edelstein等人的图7。图2为示出各种前驱物材料的相对流率(轴204)和与PECVD膜沉积工艺期间发生流动时相关的时段(轴202)的曲线图。Edelstein的说明书并未指明实际流率。但具体说明各时段,其中T1据说为1至4秒的范围,T2据说为2至4秒的范围,T3据说大于T2。T4被描述为当所有流量值达稳定以沉积多孔SiCOH低k电介质膜时的时间。T4据说通常为10秒至200秒的范围。曲线206表示成孔剂(本领域中所熟知的种类)前驱物流量,Edelstein等人的专利中未定义所述孔剂前驱物的精确组成。曲线208表示氧气流量,曲线210表示SiCOH低k电介质前驱物流量。低k电介质前驱物材料也未特别描述。T2据说为电介质前驱物流量达稳定时的时间。成孔剂前驱物据说在T1-T2间隔期间引进。T3据说为成孔剂前驱物流量达稳定时的时间。如图2(本申请的现有技术)所示,成孔剂前驱物的缓升速率比电介质前驱物的缓升速率低。T2和T3之间的间隔据说最好尽量短。
尽管做了所述这些努力,阻挡层与低k电介质层间的粘附性仍旧引起关于整体多层金属结构的结构稳定性问题,所述多层结构包括低k电介质层。本发明通过提供改良装置结构而改进上述现有技术,所述改良装置结构本质上解决了许多现有技术的问题。虽然本发明采用许多与现有技术相同的前驱物材料,但材料应用方式是不同的,这提供了改良装置结构。
发明内容
随着半导体装置的特征结构尺寸变得更小,下一设计节点为32纳米,对化学组成与沉积材料厚度以及所得装置的整体结构稳定性的控制变得很有挑战性。本发明的实施例着重在将电介质材料的电介质常数控制成低于2.6,较佳低于2.5。同时,本发明的方法在最具挑战性的装置结构区域各处提供良好的弯曲和拉伸强度,其中结构各处的粘附强度上的改善可利用本领域目前用来评估微电子结构的4点弯曲测试来测量。
在本发明的概括实施例中,有一种半导体装置结构,所述装置结构采用至少一个碳掺杂低k扩散阻挡材料区,所述阻挡材料区包含高于3原子%到至多达约12原子%范围浓度的碳。扩散阻挡材料上为第一过渡区,所述第一过渡区包括含3原子%或小于3原子%浓度碳的氧化硅。第一过渡区上为第二过渡区,所述第二过渡区包含具有的碳浓度从3原子%增加为至多达多孔碳掺杂氧化硅低k电介质材料上覆区域的碳浓度的氧化硅。通常,碳掺杂氧化硅低k电介质材料的碳浓度低于约12原子%。这种多层结构可数次出现在微电子装置内,且当有碳掺杂低k扩散阻挡层或其它扩散阻挡层出现时出现。当碳掺杂低k扩散阻挡材料随后施加在相对于所述扩散阻挡材料来说粘附强度不佳的扩散阻挡材料上(上覆)时,本发明的装置结构有助于提供结构各处的粘附强度。
参照图3,为助于更加理解所涉及的概念,第一过渡区以过渡区306出现,所述第一过渡区为包含碳原子占3.0原子%或小于3.0原子%的材料的氧化硅。第二过渡区308为氧化硅,所述第二过渡区包括含碳原子浓度从高于3.0原子%到至多达碳掺杂氧化硅低k电介质层309中碳含量的材料,碳掺杂氧化硅低k电介质层309包含高于3.0原子%到至多达12原子%浓度的碳原子。含有3.0原子%或小于3.0原子%的碳原子的含氧化硅材料306可表示成从区域304出现的碳掺杂氮化硅阻挡层或碳化硅阻挡材料转变的过渡。碳掺杂低k氮化硅阻挡材料304或碳化硅阻挡材料(未图示)邻接半导体层302或导电层310或312,其中阻挡层材料用来避免导电材料迁移到半导体装置结构内的相邻材料层中。
包括碳掺杂氮化硅的阻挡层(或碳化硅阻挡层)、含有3.0原子%或小于3.0原子%的碳原子的包括氧化硅的过渡材料、含碳高于3.0原子%到至多达约12原子%的包括氧化硅的过渡材料、和碳掺杂氧化硅低k电介质层皆可在同一处理腔室中使用类似的前驱物材料制造,由此可视需求调整装置结构。在所有连续依序进行的沉积工艺期间,可维持等离子体,以使所有过渡逐渐发生,过渡材料的碳含量不会“跃升”或“突降”。另外,在粘附强度通常呈现下降的界面表面处无需界定层。利用所述结构和制造此结构的方法,可以一致获得值在5.9至6.6J/m2范围的弯曲强度(Gc)。有时,会牺牲Gc值达某个程度以得到厚度减小的过渡区。相比于现有技术所得的最佳相当Gc值(4点弯曲测试)在4.0至5.0J/m2范围内,5.9至6.6J/m2的粘附强度值是显著的改进。基于SIMS数据,低k阻挡层与低k电介质层间的第一和第二过渡层结合的厚度可低至约160埃。
本发明的实施例主要着重在形成从碳掺杂低k扩散阻挡材料转变成后续沉积的碳掺杂低k电介质材料的过渡材料期间,对供给至成膜等离子体的特殊气体的缓升及缓降。开发这些过渡材料,因为例如,当多孔碳掺杂低k电介质层沉积在碳掺杂扩散阻挡层的表面上时会发生接合不佳的情况,但不以此为限。过渡材料在图3中示为沉积于碳掺杂氮化硅阻挡材料304上的区域306和308,以及沉积于碳掺杂氮化硅阻挡材料314上的区域316和318。过渡材料沉积期间对相对进气的控制必须能形成平缓转变的碳含量,同时遍及整个结构提供可接受的电介质常数、膜厚、膜均匀度和足够的粘附强度。
如上参考Edelstein参考文献所述地,先前已对电介质前驱物、成孔剂前驱物和氧气反应气体的相对进气速率和缓降或缓升速率作了研究。然而,在开发上述过渡材料区期间有了意外发现。用于输送硅前驱物与氧气反应气体的氦气载气的相对缓降速率对整体结构的粘附强度有非常显著的影响。(如先前所讨论的4点粘附强度测试所示出的。)氦气载气的量需快速缓降,以减小过渡区中的膜厚,同时提高过渡区中的粘附强度。形成过渡区期间,氦气载气与氧气反应气体的缓降速率间的关系提供了过渡区膜厚减小和机械强度降低的意想不到的改进。即使不缓降、而是立即停止流入氧气反应物,通过缓降氦气载气也可达到良好的结果。当发生这种情况,氧气仍会在处理腔室内停留一些时间,而所得氦气缓降速率与“默认”氧气缓降速率的比为约3:5(氦气:氧气)。当同时缓降氦气载气与氧气反应气体但缓降比低(缓降比为3:5)时,工艺提供的第一和第二过渡区结合厚度为约157埃(以SIMS测量),4点粘附强度为约5.3J/m2,而碳掺杂氧化硅低k电介质层所获得的电介质常数为约2.55。但是,当氦气缓降速率与氧气缓降速率的比为约60:1时,可得到更佳的粘附强度结果。在此情况下,过渡区结合厚度为约215埃,4点粘附强度为约6.6J/m2,而碳掺杂氧化硅低k电介质层所获得的电介质常数为约2.57。改进粘附强度的同时,过渡区结合厚度也会增加。通过采用约30:1的氦气缓降速率:氧气缓降速率的比,可获得整体改善效果。在此情况下,过渡区厚度为约160埃,4点粘附强度为约5.9J/m2,而碳掺杂氧化硅低k电介质层所获得的电介质常数为约2.55。此缓降速率例如示于图4。在上述氦气载气:氧气的任何相对缓降速率范围内,不会发生等离子体电弧。
上述方式中未减少氦气载气流量,这将造成过渡区的粘附强度(Gc)的降低。当氦气:氧气的缓降速率比为3:5时,可得4.8J/m2的Gc,这相当于现有技术中所得的最佳Gc(约4J/m2至约5J/m2)(当阻挡层为碳化硅、而非氮化硅时)。在碳掺杂扩散阻挡材料与碳掺杂低k电介质材料间的结合过渡区沉积期间,通过利用与氦气:氧气的相对缓降速率结合的快速氦气载气缓降,粘附强度得以从4.8J/m2提高为6.6J/m2,这个改进是杰出且完全出人意料的。推荐的相对缓降速率的比为约60:1至约1:2,其中当缓降速率比处于约60:1至约30:1的范围时,可获得较高的粘附强度。
附图说明
图1A(现有技术)示出半导体装置结构100的截面图,其中层102代表硅基板,层104代表第一多孔碳掺杂氧化硅低k电介质层。层106代表金属化层。层110代表封顶层(阻挡层),用以防止金属从金属化层迁移到上覆碳掺杂氧化硅低k电介质层114中。此现有技术中所示的封顶层110为碳化硅基材料,诸如SiCH或SiCHN。层112代表双层(示于图1B中)界面结构,设计成改善上覆碳掺杂氧化硅低k电介质层114与封顶/阻挡层112的粘附性。
图1B示出图1A所示半导体装置结构一部分的截面图。图1B更加详细地示出了第一界面结构112。
图2(现有技术)关于低k电介质层的PECVD处理,以及在低k扩散阻挡层的表面上形成碳掺杂氧化硅低k电介质层期间的前驱物的相对流率。
图3示出根据本发明实施例的半导体装置结构300的截面图。层302代表硅基板。区域304代表碳掺杂氮化硅阻挡材料,所述碳掺杂氮化硅阻挡材料通常含有至少3.0原子%至约12原子%范围的碳。区域306代表包含氧化硅材料的第一过渡区,所述第一过渡区含有3原子%或小于3原子%的碳。区域308代表第二过渡区,所述第二过渡区包含3原子%或小于3原子%的碳,所述3原子%或小于3原子%的碳转变成相当于多孔碳掺杂氧化硅低k电介质层碳含量的碳含量,其中碳含量可高达约12原子%。区域309代表多孔碳掺杂氧化硅低k电介质层,所述电介质层含有多于3原子%至约12原子%范围的碳。层310代表金属导电层。
图4示出氦气载气(如曲线405所示)与氧气反应气体(如曲线407所示)的相对缓降流率间的重要关系400。制造图3所示这种装置期间,将这些气体供给至PECVD腔室,以从区域304转变成区域310。
图5(现有技术)示出处理系统500的截面图,在所述处理系统中可施行PECVD反应,用于本文所述的各种结构。平行板等离子体增强化学气相沉积反应器510结合气体输入管线518示出,用以将载气和反应气体输入至处理腔室510。具有节流阀(未图示)的真空泵浦532控制气体和等离子体物种排出处理腔室510的速率。
图6(现有技术)示出系统控制器600的模块图,系统控制器600可结合图5所示的处理系统500使用,以施行本发明的方法。
图7示出半导体基板的SIMS轮廓700,其中部分基板为过渡膜结构,所述过渡膜结构从含碳约12原子%的碳掺杂氮化硅扩散阻挡层转变成含碳约10.5原子%的多孔碳掺杂氧化硅低k电介质。过渡膜的总厚度示出为703,为约215埃。
图8示出半导体基板的SIMS轮廓800,其中部分基板为过渡膜结构,所述过渡膜结构从含碳约12原子%的碳掺杂氮化硅扩散阻挡层转变成含碳约10.4原子%的多孔碳掺杂氧化硅低k电介质。过渡膜的总厚度示出为803,为约161埃。
图9示出半导体基板的SIMS轮廓900,其中部分基板为过渡膜结构,所述过渡膜结构从含碳约12原子%的碳掺杂氮化硅扩散阻挡层转变成含碳约10.5原子%的多孔碳掺杂氧化硅低k电介质。过渡膜的总厚度示出为903,为约157埃。
具体实施方式
详细说明前应注意,除非另行指明,否则本说明书和后附权利要求书中所用的单数形式“一”和“所述”包括多个所指对象。
当在本文中使用词语“约”,这是指出现的标称值精确到±10%内。
本发明的实施例着重在使电介质层的电介质常数降低成低于2.6,较佳低于2.5,这可使沉积装置结构内达到结构机械强度。
在本发明的概括实施例中,有一种半导体装置结构,所述半导体装置结构采用碳含量约12原子%的至少一种碳掺杂低k扩散阻挡材料。期望将含碳高于3原子%到通常至多达约12原子%的多孔碳掺杂低k电介质材料沉积在扩散阻挡材料的表面上。为使这两材料间有良好的弯曲/剪切强度(或粘附强度),需要形成从扩散阻挡材料转变成低k电介质材料的过渡区。整个过渡区为从含碳3原子%或小于3原子%的包括氧化硅的材料转变成含碳至多达约12原子%、更典型为含碳至多达约10原子%到11原子%的包括碳掺杂氧化硅的材料。
参照图3,含3.0原子%或小于3.0原子%的碳原子的包括氧化硅的材料以第一过渡区306示出,且从3.0原子%或小于3.0原子%的碳转变成含12原子%或小于12原子%的碳的碳掺杂氧化硅低k电介质材料的包括氧化硅的材料以第二过渡区308示出。上覆多孔碳掺杂低k电介质材料以区域309示出。这同样的结构示出在第二图例,其中过渡区316和318位于扩散阻挡材料314上并往上延伸到上覆多孔碳掺杂低k电介质材料319。各区域306和308或316和318之间的差异在于区域306本质为氧化硅,且区域318从氧化硅转变成上覆多孔碳掺杂氧化硅低k电介质材料层的碳含量。
图1A(现有技术)示出半导体装置结构100的截面图,其中基底层102为硅层。硅层102上为第一碳掺杂氧化硅低k电介质材料层104。此低k电介质层包含第一金属化层106。金属化层106和周围低k电介质材料104的上表面上为阻挡/封顶层110,用以防止金属从金属化层106迁移到第二碳掺杂氧化硅低k电介质层114,所述低k电介质层114提供第二金属化层116的表面。又,导电通孔108穿过低k电介质层114,导电通孔108通常也为含金属的特征结构。图1A(现有技术)所示的封顶层110和120为碳化硅基材料,诸如SiCH或SiCHN。第一封顶层110上为第一界面结构112,设计成改善第一封顶层110与上覆碳掺杂氧化硅低k电介质层114间的粘附性。第二界面结构122设计成改善第二封顶层120与第二上覆碳掺杂氧化硅低k电介质124间的粘附性。又,导电通孔118穿过第二低k电介质层124,从而可通往半导体处理装置100中的第三金属化层。
图1B(现有技术)更加详细地示出图1A封顶的第一界面结构112,所述第一界面结构112示出为双层界面结构,设计成改善第二上覆碳掺杂氧化硅低k电介质层114与底下封顶/阻挡层110的粘附性。界面结构112内为包含少于3原子%的低碳含量的层112a;和从低碳含量转变成较高碳含量的层112b,以足以提供约2.6或小于2.6范围的电介质常数。
图2(现有技术)取自Edelstein等人的参考文献的图7。图7据说示出将氧气和电介质前驱物供给至PECVD处理腔室一段时间T1,通常为1至4秒。根据所述内容,氦气或氩气可选择性伴随氧气被引进处理腔室。工艺200以引入处理腔室的各种前驱物的流率表示(如轴204所示)。不同相关时段标示于轴202上。曲线208上示出电介质前驱物材料(如,有机硅烷)供给率,曲线206上示出成孔剂(本领域中所熟知的种类)流率,曲线208上示出氧气流率。形成过渡层期间,从T1开始缓升电介质前驱物材料210和成孔剂前驱物206的供给率以及从T3开始缓降氧气供给208的结合,据说可制造碳渐变过渡层,而不会出现碳高峰或碳急降。这描述在Edelstein参考文献的第6至8栏中。
图3示出根据本发明实施例的半导体装置结构300的截面图。层302代表硅基板。区域304代表碳掺杂氮化硅阻挡材料,所述碳掺杂氮化硅阻挡材料通常含有多于约3原子%至约12原子%范围的碳。区域306代表过渡区,所述过渡区包含碳含量少于3原子%的氧化硅。如前所述,区域308代表碳含量随材料沉积而增加的过渡区,所述过渡区含有的碳从3原子%到至多达约12原子%,更典型为至多达约10原子%到11原子%。区域309代表多孔碳掺杂氧化硅低k电介质层,所述电介质层含有多于3原子%至约11原子%的碳。层310代表金属导电层。区域314代表第二碳掺杂氮化硅低k阻挡材料,所述低k阻挡材料含有多于3原子%至约12原子%的碳。区域316代表含碳3原子%或小于3原子%的过渡区。区域318代表过渡区,所述过渡区本质上含有从少于3.0原子%的碳转变成至多达约12原子%的碳的氧化硅。区域319代表碳掺杂氧化硅低k电介质材料,所述电介质材料含有多于3原子%至约11原子%的碳。区域312代表用金属导电材料填充的触点通孔。
图4示出氦气载气(405)与氧气反应气体(407)的相对缓降流率间的重要关系400,在制造图3所示种类的装置期间,将所述氦气载气与氧气反应气体供给至PECVD腔室,具体地从区域308转变成区域310。在区域308中,材料为碳含量少于3原子%的氧化硅电介质。在区域310中,材料为碳含量在多于3原子%至约11原子%范围内的碳掺杂氧化硅低k电介质。图4的轴400示出氦气载气与氧气反应气体的相对流率。轴402示出从起点410开始缓降供给至PECVD腔室的氦气载气的相对时段,如曲线405所示;及开始相应缓降氧气反应气体的相对时段,如曲线407所示。氦气载气的缓降时段的结束以点412表示,氧气反应气体的缓降时段的结束以点414表示。在图4所示的实施例中,氦气载气缓降速率为约3000每分钟标准毫升(sccm)/秒,而氧气反应气体缓降速率为约100sccm/秒。
图4代表本发明的一个实施例,且流率指示一个处理腔室的尺寸。应理解,其它实施例将可利用不同处理设备和不同流率及缓降速率。形成上述过渡区期间,重要的是氦气载气流率与氧气反应气体流率间的关系、这两种气体的相对缓降速率。热与质传递领域的技术人员将可以在最少的实验之后估计出提供平缓转变沉积膜的碳含量所必需的氦气载气与氧气反应气体的起始速率,并可达到与本文所述类似的结果。
同样重要的是,对供给至PECVD处理腔室的氦气载气与氧气反应气体流率的改变,与有机硅化合物和含碳源(如,成孔剂前驱物化合物)的化合物的附加前驱物材料供给回降相对,所述有机硅化合物和含碳源的化合物的附加前驱物材料用于形成碳掺杂氧化硅低k电介质材料。随着改变这些其它的前驱物材料,需调整所述反应氧气化合物和相对氦气载气的缓降速率,这在本文中有讨论。然而,本发明的总体概念仍旧不变,本领域技术人员可视本文进行调整。
本发明的实验实例在
Figure BDA00002042778400161
处理腔室中施行,所述
Figure BDA00002042778400162
处理腔室由美国加州圣克拉拉市的应用材料公司制造。
Figure BDA00002042778400163
处理腔室(200毫米或300毫米)具有两个隔离处理区,所述两个隔离处理区可用于沉积碳掺杂氧化硅和其它材料。具有两个隔离处理区的腔室在1999年1月5日颁予Maydan等人、名称为“超高产量的晶圆真空处理系统(Ultra HighThroughput Wafer Vacuum Processing System)”的美国专利第5,855,681号中进行描述,所述内容以引用方式并入本文中。
本文所描述的这种用于形成所述含碳掺杂氧化硅的低k电介质材料的有机硅化合物可选自由以下组成的群组:四甲基环四硅氧烷、八甲基环四硅氧烷、五甲基环戊硅氧烷、六甲基环三硅氧烷、二乙氧基甲基硅烷、二甲基二硅氧烷、四硅烷-2,6-二氧基-4,8-二甲烯、四甲基二硅氧烷、六甲基二硅氧烷、1,3-双硅烷亚甲基二硅氧烷、双(1-甲基二硅氧烷基)甲烷、双(1-甲基二硅氧烷基)丙烷、六甲氧基二硅氧烷、二甲基二甲氧硅烷、二甲氧基乙烯基硅烷和前述物质的组合物。这些前驱物材料仅为举例说明,而无限定意图。本文所描述的这种用于形成所述含碳掺杂氧化硅的低k电介质材料的成孔剂化合物可选自由以下组成的群组:环己二烯、双环庚二烯、1-甲基-4-(1-甲基乙基)-1,3-环己二烯、1-甲基-4-(1-甲基乙基)苯、α-萜品烯、3-蒈烯、葑酮、薴烯、环戊烯氧化物、乙烯基-1,4-二恶英醚、乙烯呋喃醚、乙烯基-1,4-二恶英、乙烯呋喃、糠酸甲酯、呋喃甲酸、呋喃乙酸、糠醛、二呋喃酮、二呋喃醚、二糠基醚、呋喃、1,4-二恶英和前述物质的组合物。
在制造本发明实施例的开发作业中,含硅前驱物mgm供给率为约300毫克/分钟(mgm)至约2200mgm。成孔剂供给率为约200mgm至约3500mgm。氧气流率为约50sccm至约3000sccm。氦气载气流率为约500至约5500sccm。施加的等离子体功率为约450瓦(W)至约650瓦。施加的功率为频率13.56兆赫(MHz)的射频(RF)功率。
在一个示例性的结合过渡区形成工艺中,在第一过渡区的初期,供给至处理腔室的氧气流率为约500sccm,供给至处理腔室的氦气载气流率为约5500sccm,有机硅前驱物化合物的典型流率为约300毫克/分钟(mgm),成孔剂化合物的流率为约200mgm。在形成第二过渡区时,一直到形成碳掺杂低k电介质层的开始,有机硅前驱物流率提高至约1000mgm,成孔剂化合物前驱物流率提高至约1250mgm。同时,氧气供给率降低至约50sccm,氦气载气供给率降低至约1000sccm。当多孔碳掺杂氧化硅低k电介质的块沉积开始时,硅前驱物的氦气载气为约3000sccm,成孔剂前驱物的氦气载气为约3000sccm,以提供约6000sccm的总氦气载气流。成膜期间施加的RF功率的量为约650瓦(第一过渡区的初期)至约450瓦(到过渡材料结束且在多孔碳掺杂氧化硅低k电介质材料的沉积开始时)。有机硅前驱物流率的缓升速率为约1000mgm/秒,有机(成孔剂)前驱物流率的缓升速率为约500mgm/秒。有机硅前驱物的氦气载气缓降速率为约1000sccm/秒,成孔剂前驱物的氦气载气缓降速率为约500sccm/秒。在形成低k阻挡材料与碳掺杂低k电介质材料间的过渡材料期间,处理腔室内无明显电弧产生。在形成碳掺杂低k电介质层的块期间,硅前驱物的氦气流量通常为约4000至约5000sccm,以提供良好的膜均匀度。有机(成孔剂)前驱物的氦气流量通常为约500至约2500sccm,以提供良好的膜均匀度。如此共有约4500至约7500sccm的氦气载气。
图5(现有技术)示出处理系统500的截面图,所述处理系统中可施行本文所述的PECVD反应用于所述各种结构。平行板等离子体增强化学气相沉积反应器510示出为结合气体输入管线518,用以将载气和反应气体输入至处理腔室510。具有节流阀(未图示)的真空泵浦532控制气体和等离子体物种排出处理腔室510的速率。
平行板化学气相沉积反应器510具有高真空区515。反应器510含有气体分配歧管511,用以经由歧管中的穿孔将处理气体分配到置于基板支撑板或基座512上的基板(未图示),所述基板支撑板或基座512由升降马达514抬起或降下。也可提供如一般用来液体注入有机硅化合物的液体注入系统(未图标)以注入液态反应物。
反应器510包括如利用电阻式加热线圈(未图示)或外部灯具(未图示)来加热处理气体和基板。基座512安装于支撑柱513上,由此基座512可控制地在下面的装载/卸载位置(未图标)与紧邻歧管511的上面的处理位置间移动。
当基座512和基板处于处理位置514时,基座512和基板被绝缘体517围绕且处理气体排入歧管524。处理期间,进入歧管511的气体放射状均匀地分配在基板的表面各处。具有节流阀的真空泵浦532控制排出腔室的速率。在抵达歧管511前,沉积气体和载气经由气体管线518输入到混合系统519中,沉积气体和载气在混合系统519中结合、然后被送到歧管511。具有施用管520的选择性微波系统(未图标)可位于氧化气体用的输入气体管线,以提供额外能量供进入反应器510前仅仅解离氧化气体。虽然本发明中的氧化气体被描述为氧气,但本领域技术人员将理解,氧化气体也可为能产生本发明方法所述氧含量的含氧化合物。微波施用器提供约0至约6000瓦的功率。通常,各处理气体的处理气体供应管线518包括(i)安全切断阀(未图示),所述安全切断阀可用于自动或手动切断处理气体流入腔室、和(ii)质量流量控制器(未图示),所述质量流量控制器测量通过气体供应管线的气体流量。在传统的构造中,当工艺中采用毒气,则各气体供应管线可设置数个安全切断阀。
反应器510中所进行的沉积工艺可为在冷却基板基座上的非等离子体工艺或等离子体增强工艺。本发明的方法采用等离子体增强PECVD工艺。在PECVD工艺中,利用来自RF电源525施加至分配歧管511的RF能量(且让基座512接地),与基板相邻地形成控制等离子体。或者,RF功率可提供至基座512,或RF功率可以不同频率提供至不同部件。RF电源525可供应单频或混频RF功率,以增强引入高真空区515的反应物种的分解。混频式RF电源通常以约13.56MHz的高频RF(RF1)供应功率至分配歧管511,并以约360千赫(KHz)的低频RF(RF2)供应功率至基座512。本发明的含氧化硅的层通常使用低电平或高频RF功率的脉冲电平进行制造。脉冲RF功率较佳在约10%至约30%的占空比期间以约20至约200瓦提供13.56MHz的RF功率。非脉冲式RF功率(一般为13.56MHz的RF功率)通常以约10瓦至约700瓦的范围进行施加。低功率沉积常常在温度约-20°C至约40°C的范围下发生。在较佳温度范围内,沉积期间,沉积的碳掺杂氧化硅低k电介质材料将部分聚合,并在后续固化膜期间完成聚合。本文中本发明实施例所述的过渡材料通常沉积在已加热达约200°C至约400°C范围的基板上。沉积过渡材料期间的处理腔室的压力可为约1至约10托,但通常在7.5托±0.5托的范围内。供给至反应器的前驱物气体的流率可视被沉积材料的化学和物理结构而改变且取决于所述被沉积材料的化学和物理结构。给定过渡材料的沉积时段通常为约1秒至约6秒。沉积过渡材料期间,尽管非绝对必要,通常还是会因为处理气体流率的改变而通过使节流阀位置进行调整,以保持腔室压力固定不变。在沉积给定过渡材料期间,尽管非绝对必要,通常还是会维持相同功率电平,以提供更具再现性的膜组成和厚度。
图6(现有技术)示出系统控制器600的模块图,系统控制器600可结合图5所示的处理系统500进行使用。系统控制器600包括可编程的中央处理单元(CPU)620,所述可编程中央处理单元(CPU)620可协同存储器610和大容量存储装置615、输入控制单元645和显示单元655进行操作。存储器610含有供CPU620执行而促进处理系统500的性能的指令。CPU 620构成通用计算机,当所述通用计算机执行可用于进行本发明的方法实施例的程序(如程序605)时,则变成特定用途计算机。
系统控制器600经由系统总线630和I/O电路640耦接用于根据本发明沉积工艺中的处理系统的元件。I/O电路640经由CPU 620和系统总线630接收来自程序605的指令,所述程序605存储于存储器610内。程序605提供程序子例程,所述程序子例程能使I/O电路640提供基板/基座定位控制650、处理气体控制660、压力控制670、加热器控制680和反应器的等离子体微波控制690。
图7示出半导体基板的二次离子质谱仪(SIMS)轮廓700,其中部分基板为过渡材料,所述过渡材料从含碳约12原子%的包括碳掺杂氮化硅的低k阻挡层材料转变成含碳约10.5原子%的碳掺杂氧化硅低k电介质材料。过渡材料包括氧氮化硅材料和氧化硅材料,氧氮化硅材料和氧化硅材料各自包含3原子%或低于3原子%的浓度的碳。过渡膜的总厚度为约215埃,如703所示。过渡膜利用膜沉积期间以约3000sccm/秒的速率缓降氦气及以约50sccm/秒的速率缓降氧气的工艺进行制造。通过4点弯曲测试测量的过渡膜的粘附强度为6.6J/m2,且碳掺杂氧化硅低k电介质所测量的k值为2.57。
图8示出半导体基板的SIMS轮廓800,其中部分基板为过渡膜,所述过渡膜从含碳少于3原子%的氧化硅电介质转变成含碳约10.4原子%的碳掺杂氧化硅低k电介质。过渡膜的总厚度为约161埃,如803所示。过渡膜利用膜沉积期间以约3000sccm/秒的速率缓降氦气及以约300sccm/秒的速率缓降氧气的工艺进行制造。通过4点弯曲测试测量的过渡膜的粘附强度为5.6J/m2,且碳掺杂氧化硅低k电介质所测量的k值为2.55。
图9示出半导体基板的SIMS轮廓900,其中部分基板为过渡膜,所述过渡膜从含碳少于3原子%的氧化硅电介质转变成含碳约10.1原子%的碳掺杂氧化硅低k电介质。过渡膜的总厚度为约157埃,如903所示。过渡膜利用膜沉积期间以约3000sccm/秒的速率缓降氦气及以约3000sccm/秒的速率缓降氧气的工艺进行制造。通过4点弯曲测试测量的过渡膜的粘附强度为5.9J/m2,且碳掺杂氧化硅低k电介质所测量的k值为2.55。
上述示例性的实施例并无意限定本发明的保护范围,因为本领域技术人员可视本文来将这种实施例进行扩展以符合本发明以下所要求保护的内容。

Claims (16)

1.一种制造微电子装置结构的方法,所述微电子装置结构包括扩散阻挡材料、过渡区和含碳掺杂氧化硅的低k电介质材料,所述方法包含以下步骤:
在PECVD处理腔室中形成所述微电子装置结构,同时控制用于形成所述过渡区的组合气体的流率,其中在形成所述过渡区期间缓降用于输送反应气体的氦气载气的流率,其中在形成所述过渡区期间可缓降含氧气体的流率,且其中所述氦气载气与所述含氧气体的相对缓降速率具有关系,使所述氦气载气的缓降速率与所述含氧气体的缓降速率的比为约60:1至约1:2。
2.根据权利要求1所述的方法,其特征在于,所述氦气载气的所述缓降速率与所述含氧气体的所述缓降速率的所述比的范围为约60:1至约1:1。
3.根据权利要求2所述的方法,其特征在于,所述氦气载气的所述缓降速率与所述含氧气体的所述缓降速率的所述比的范围为约30:1至约1:2。
4.根据权利要求1所述的方法,其特征在于,所述氦气载气的所述缓降速率与所述含氧气体的所述缓降速率的所述比的范围为约30:1至约1:1。
5.根据权利要求1所述的方法,其特征在于,在制造所述微电子装置结构期间形成所述等离子体的所述气体选自由以下组成的群组:有机硅化合物、成孔剂化合物、含氧源和氦气。
6.根据权利要求5所述的方法,其特征在于,在制造所述微电子装置结构期间,用于形成本文所述这种含碳掺杂氧化硅的低k电介质材料的所述有机硅化合物可选自由以下组成的群组:四甲基环四硅氧烷、八甲基环四硅氧烷、五甲基环戊硅氧烷、六甲基环三硅氧烷、二乙氧基甲基硅烷、二甲基二硅氧烷、四硅烷-2,6-二氧基-4,8-二甲烯、四甲基二硅氧烷、六甲基二硅氧烷、1,3-双硅烷亚甲基二硅氧烷、双(1-甲基二硅氧烷基)甲烷、双(1-甲基二硅氧烷基)丙烷、六甲氧基二硅氧烷、二甲基二甲氧硅烷、二甲氧基甲基乙烯基硅烷和前述物质的组合物。
7.根据权利要求6所述的方法,其特征在于,所述成孔剂选自由以下组成的群组:环己二烯、双环庚二烯、1-甲基-4-(1-甲基乙基)-1,3-环己二烯、1-甲基-4-(1-甲基乙基)苯、α-萜品烯、3-蒈烯、葑酮、薴烯、环戊烯氧化物、乙烯基-1,4-二恶英醚、乙烯呋喃醚、乙烯基-1,4-二恶英、乙烯呋喃、糠酸甲酯、呋喃甲酸、呋喃乙酸、糠醛、二呋喃酮、二呋喃醚、二糠基醚、呋喃、1,4-二恶英和前述物质的组合物。
8.根据权利要求1、2、3、4、5或6所述的方法,其特征在于,所述含氧气体选自由以下组成的群组:氧气(O2)、二氧化碳(CO2)、一氧化碳(CO)、臭氧(O3)、水(H2O)和前述气体的组合物。
9.根据权利要求8所述的方法,其特征在于,所述含氧气体为氧气(O2)。
10.一种微电子结构,所述微电子结构包括含碳掺杂氧化硅的低k电介质材料,其中所述结构包含扩散阻挡材料、过渡材料和所述含碳掺杂氧化硅的低k电介质材料,其中在所述扩散阻挡材料与所述含碳掺杂氧化硅的低k电介质材料间的过渡区中出现的所述过渡材料包含邻接所述扩散阻挡材料且碳含量少于3原子%的氧化硅,且所述氧化硅转变成与所述碳掺杂氧化硅低k电介质材料一样高的较高碳含量,所述碳掺杂氧化硅低k电介质材料包含约12原子%或小于12原子%的碳含量,其中所述扩散阻挡层与所述含碳掺杂氧化硅的低k电介质间无明显界面。
11.根据权利要求10所述的微电子结构,其特征在于,所述结构的4点粘附强度的范围为约7.0J/m2至约2.5J/m2
12.根据权利要求11所述的微电子结构,其特征在于,所述结构的所述粘附强度的范围为约7.0J/m2至约5.0J/m2
13.根据权利要求12所述的微电子结构,其特征在于,所述结构的所述粘附强度的范围为约7.0J/m2至约5.9J/m2
14.根据权利要求11、或权利要求12、或权利要求13所述的微电子结构,其特征在于,所述碳掺杂氧化硅低k电介质材料呈现约2.6或小于2.6的电介质常数。
15.根据权利要求10所述的微电子结构,其特征在于,所述含碳掺杂氧化硅的低k电介质材料中存在的所述碳为约11原子%或小于11原子%。
16.根据权利要求10所述的微电子结构,其特征在于,所述含碳掺杂氧化硅的低k电介质材料中存在的所述碳为约10原子%或小于10原子%。
CN201180010539XA 2010-02-23 2011-02-03 包括低k值电介质的微电子结构及控制所述结构内碳分布的方法 Pending CN102763200A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/660,294 US8349746B2 (en) 2010-02-23 2010-02-23 Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
US12/660,294 2010-02-23
PCT/US2011/000215 WO2011106075A2 (en) 2010-02-23 2011-02-03 A microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure

Publications (1)

Publication Number Publication Date
CN102763200A true CN102763200A (zh) 2012-10-31

Family

ID=44475803

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180010539XA Pending CN102763200A (zh) 2010-02-23 2011-02-03 包括低k值电介质的微电子结构及控制所述结构内碳分布的方法

Country Status (6)

Country Link
US (1) US8349746B2 (zh)
JP (1) JP2013520792A (zh)
KR (1) KR20130027009A (zh)
CN (1) CN102763200A (zh)
TW (1) TWI511198B (zh)
WO (1) WO2011106075A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105336673A (zh) * 2014-07-28 2016-02-17 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
CN105826237A (zh) * 2015-01-06 2016-08-03 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
CN110021517A (zh) * 2017-11-28 2019-07-16 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI531276B (zh) * 2010-10-21 2016-04-21 行政院原子能委員會核能研究所 有機電激發光元件之封裝方法及其結構
US8853831B2 (en) 2012-03-29 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method for forming the same
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
KR101970361B1 (ko) * 2012-08-20 2019-04-19 삼성디스플레이 주식회사 유기 발광 표시 장치 및 이의 제조방법
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
CN104498900A (zh) * 2014-12-23 2015-04-08 上海爱默金山药业有限公司 一种低介电常数薄膜的制备方法
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
JP6744181B2 (ja) * 2016-09-26 2020-08-19 株式会社ニューフレアテクノロジー 成膜装置及び成膜方法
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10109523B2 (en) 2016-11-29 2018-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cleaning wafer after CMP
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
CN113195786A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
US20230008496A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060160376A1 (en) * 2005-01-19 2006-07-20 Deenesh Padhi Interface engineering to improve adhesion between low k stacks
US20080233366A1 (en) * 2007-03-23 2008-09-25 International Business Machines Corporation STRUCTURE AND METHOD FOR SiCOH INTERFACES WITH INCREASED MECHANICAL STRENGTH
US20090026587A1 (en) * 2004-01-14 2009-01-29 International Business Machines Corporation Gradient deposition of low-k cvd materials

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US20020172766A1 (en) 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
US6455417B1 (en) 2001-07-05 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US7456488B2 (en) 2002-11-21 2008-11-25 Advanced Technology Materials, Inc. Porogen material
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
JP4746829B2 (ja) * 2003-01-31 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP4086673B2 (ja) * 2003-02-04 2008-05-14 Necエレクトロニクス株式会社 半導体装置及びその製造方法
US7169715B2 (en) 2003-03-21 2007-01-30 Intel Corporation Forming a dielectric layer using porogens
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
JP4917249B2 (ja) * 2004-02-03 2012-04-18 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US7611996B2 (en) 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
JP5186086B2 (ja) 2005-04-11 2013-04-17 アイメック デュアル・ダマシン・パターニング・アプローチ
US7189658B2 (en) 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
WO2007061134A1 (ja) * 2005-11-24 2007-05-31 Nec Corporation 多孔質絶縁膜の形成方法、半導体装置の製造装置、半導体装置の製造方法及び半導体装置
US20070134435A1 (en) 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
KR100662848B1 (ko) * 2005-12-20 2007-01-02 삼성전자주식회사 인덕터 집적 칩 및 그 제조방법
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US20080233336A1 (en) * 2006-09-19 2008-09-25 Giannopoulos Rene C Carpet Tiles and Methods Of Making Same
US7622403B2 (en) 2006-12-19 2009-11-24 Chartered Semiconductor Manufacturing Ltd. Semiconductor processing system with ultra low-K dielectric
US7569844B2 (en) * 2007-04-17 2009-08-04 Macronix International Co., Ltd. Memory cell sidewall contacting side electrode

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090026587A1 (en) * 2004-01-14 2009-01-29 International Business Machines Corporation Gradient deposition of low-k cvd materials
US20060160376A1 (en) * 2005-01-19 2006-07-20 Deenesh Padhi Interface engineering to improve adhesion between low k stacks
US20080233366A1 (en) * 2007-03-23 2008-09-25 International Business Machines Corporation STRUCTURE AND METHOD FOR SiCOH INTERFACES WITH INCREASED MECHANICAL STRENGTH

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105336673A (zh) * 2014-07-28 2016-02-17 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
CN105826237A (zh) * 2015-01-06 2016-08-03 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
CN110021517A (zh) * 2017-11-28 2019-07-16 台湾积体电路制造股份有限公司 半导体结构及其形成方法
CN110021517B (zh) * 2017-11-28 2022-02-18 台湾积体电路制造股份有限公司 半导体结构及其形成方法
US11640978B2 (en) 2017-11-28 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby

Also Published As

Publication number Publication date
TW201203360A (en) 2012-01-16
US20110204492A1 (en) 2011-08-25
KR20130027009A (ko) 2013-03-14
WO2011106075A3 (en) 2011-11-24
JP2013520792A (ja) 2013-06-06
TWI511198B (zh) 2015-12-01
US8349746B2 (en) 2013-01-08
WO2011106075A2 (en) 2011-09-01

Similar Documents

Publication Publication Date Title
CN102763200A (zh) 包括低k值电介质的微电子结构及控制所述结构内碳分布的方法
CN1938833B (zh) 促进多孔低k膜与下方阻挡层的粘附的方法及互连结构
CN101419915B (zh) 得到具有优良抗蚀刻性的低k电介质阻挡层的方法
US8889566B2 (en) Low cost flowable dielectric films
US9111761B2 (en) Multi component dielectric layer
US6890639B2 (en) Very low dielectric constant plasma-enhanced CVD films
KR100696035B1 (ko) Cvd 나노포러스 실리카 저유전상수 막
JP4434146B2 (ja) 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
TWI250221B (en) Mechanical enhancer additives for low dielectric films
JP2014503991A (ja) 接着層の厚さを減少させ、薄い超低誘電率誘電体フィルムの耐損傷性を改良する方法
JP2013517616A (ja) 酸化物ライナを使用する流動可能な誘電体
KR20140009170A (ko) 실리콘­질화물­수소화물 필름들의 아민 큐어링
KR20010104285A (ko) 탄소질 산화실리콘의 형성방법
TW201137976A (en) Chemical vapor deposition improvements through radical-component modification
TW201022466A (en) Dielectric barrier deposition using oxygen containing precursor
CN102770580A (zh) 藉由等离子体增强化学气相沉积使用含有具有机官能基的硅的杂化前驱物所形成的超低介电材料
US20040137757A1 (en) Method and apparatus to improve cracking thresholds and mechanical properties of low-k dielectric material
US20090123664A1 (en) Interlayer insulating film formation method
Seo et al. Organic and organic–inorganic hybrid polymer thin films deposited by PECVD using TEOS and cyclohexene for ULSI interlayer-dielectric application
JP2008263022A (ja) 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜
JP2011181672A (ja) 低誘電率層間絶縁膜および低誘電率層間絶縁膜の成膜方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C05 Deemed withdrawal (patent law before 1993)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20121031