CN102782850B - 绝缘体上半导体金属结构、形成此些结构的方法及包含此些结构的半导体装置 - Google Patents

绝缘体上半导体金属结构、形成此些结构的方法及包含此些结构的半导体装置 Download PDF

Info

Publication number
CN102782850B
CN102782850B CN201180011630.3A CN201180011630A CN102782850B CN 102782850 B CN102782850 B CN 102782850B CN 201180011630 A CN201180011630 A CN 201180011630A CN 102782850 B CN102782850 B CN 102782850B
Authority
CN
China
Prior art keywords
semiconductor substrate
conducting material
electric conducting
amorphous silicon
predecessor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180011630.3A
Other languages
English (en)
Other versions
CN102782850A (zh
Inventor
山·D·唐
张明
安德鲁·M·贝利斯
约翰·K·查胡瑞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN102782850A publication Critical patent/CN102782850A/zh
Application granted granted Critical
Publication of CN102782850B publication Critical patent/CN102782850B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • H01L27/1021Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components including diodes only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7841Field effect transistors with field effect produced by an insulated gate with floating body, e.g. programmable transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/20DRAM devices comprising floating-body transistors, e.g. floating-body cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays

Abstract

用于制作绝缘体上半导体金属SMOI结构的方法包含:形成包含第一半导体衬底上的绝缘体材料的受体晶片;形成包含第二半导体衬底上的导电材料及非晶硅材料的施主晶片;以及将所述施主晶片的所述非晶硅材料接合到所述受体晶片的所述绝缘体材料。本发明还揭示由此些方法形成的SMOI结构,也揭示包含此些SMOI结构的半导体装置。

Description

绝缘体上半导体金属结构、形成此些结构的方法及包含此些结构的半导体装置
相关申请案交叉参考
本申请案涉及在2010年3月2日提出申请的序列号为12/715,843且题目为“浮动主体单元结构、包含其的装置及其形成方法(FLOATING BODY CELL STRUCTURES,DEVICES INCLUDING SAME,AND METHODS FOR FORMING SAME)”的同在申请中美国专利申请案;在2010年3月2日提出申请的序列号为12/715,743且题目为“包含导电条带上方的二极管结构的半导体装置及形成此些半导体装置的方法(SEMICONDUCTOR DEVICES INCLUDING A DIODE STRUCTURE OVER ACONDUCTIVE STRAP,AND METHODS OF FORMING SUCH SEMICONDUCTORDEVICES)”的同在申请中美国专利申请案;在2010年3月2日提出申请的序列号为12/715,889且题目为“基于闸流管的存储器单元、包含其的装置及系统以及其形成方法(THYRISTOR-BASED MEMORY CELLS,DEVICES AND SYSTEMS INCLUDINGTHE SAME AND METHODS FOR FORMING THE SAME)”的同在申请中美国专利申请案;以及在2010年3月2日提出申请的序列号为12/715,922且题目为“具有掩埋式导电线的半导体单元、阵列、装置及系统以及其形成方法(SEMICONDUCTOR CELLS,ARRAYS,DEVICES AND SYSTEMS HAVING A BURIED CONDUCTIVE LINE ANDMETHODS FOR FORMING THE SAME)”的同在申请中美国专利申请案,所述同在申请中美国专利申请案所揭示的内容通过引用并入本文中。
技术领域
在各种实施例中本发明大体来说涉及包含掩埋式导电材料的半导体结构,及形成此些半导体结构的方法。更具体来说,本发明的实施例涉及具有掩埋式导电材料的绝缘体上半导体金属(SMOI)结构及形成此结构的方法。另外,本发明涉及包含此些SMOI结构的半导体装置及形成此些半导体装置的方法。
背景技术
电子行业中的共同趋势中的一者是电子装置微型化。对于通过使用半导体微芯片而操作的电子装置来说尤其是这样。微芯片通常被视为大多数电子装置的大脑。一般来说,微芯片包括小的硅晶片,可在所述硅晶片上建立经集成组态以形成电子电路的数以百万计或数以亿计的纳米观电子装置。所述电路以独特方式互连以执行所要功能。
在需要制作高密度微芯片的情况下,必需减小个别电子装置及其上的互连件的大小。也称作所谓的“按比例缩小”运动的此运动已增加了单个微芯片上电路的数目及复杂性。
传统上,在共用衬底(例如硅晶片)上的单个平面中并排地形成电子装置。然而,此并排定位使用所述衬底上的相对大量的表面积或“面积”。因此,可垂直地形成装置以试图利用较少的衬底面积。为了具有竞争力,以高纵横比(即,高度与宽度的比)来形成此些垂直装置。然而,随着装置的纵横比增加,变得越来越难以满足对应互连件的占用面积(territory)及电子要求两者。出于此原因,实际上到目前为止较简单的平面型装置按比例缩小在行业占主要地位。
最近的趋势是在衬底上垂直堆叠半导体装置。然而,半导体装置的堆叠对于连接半导体装置的组件以及在所述堆叠之间提供有效互连添加额外复杂性。
因此,需要形成垂直半导体装置的方法,所述垂直半导体装置提供互连件对堆叠式半导体装置中的电子装置的有竞争性的接达性。
发明内容
附图说明
图1到6是根据本发明的一项实施例的各种处理动作期间的SMOI结构的横截面图;
图7到10是根据本发明的另一实施例的各种处理动作期间的SMOI结构的横截面图;
图11到14是根据本发明的另一实施例的各种处理动作期间的SMOI结构的横截面图;
图15到18是根据本发明的另一实施例的各种处理动作期间的SMOI结构的横截面图;
图19到21是根据本发明的另一实施例的各种处理动作期间的SMOI结构的横截面图;
图22到28是根据本发明的另一实施例的各种处理动作期间的SMOI结构的横截面图;
图29到31是根据本发明的另一实施例的各种处理动作期间的SMOI结构的横截面图;
图32到34是根据本发明的另一实施例的各种处理动作期间的SMOI结构的横截面图;
图35到38是根据本发明的另一实施例的各种处理动作期间的SMOI结构的横截面图;
图39是包含本发明的SMOI结构的半导体装置的一项实施例的透视图;
图40是包含本发明的SMOI结构的半导体装置的另一实施例的透视图;
图41是包含本发明的SMOI结构的半导体装置的另一实施例的横截面图;
图42是包含本发明的SMOI结构的半导体装置的另一实施例的透视图;以及
图43是包含本发明的SMOI结构的半导体装置的另一实施例的透视图。
图44是图解说明包含包含本发明的SMOI结构的半导体装置的电子系统的一项实施例的示意性框图。
具体实施方式
本发明揭示一种绝缘体上半导体金属(SMOI)结构及形成此一SMOI结构的方法。在一项实施例中,此些结构包含第一半导体衬底上的绝缘体材料、接合到所述绝缘体材料的非晶硅材料、所述非晶硅材料上方的导电材料及所述导电材料上方的第二半导体衬底。在一项实施例中,形成此些结构的方法包含:形成包含形成于第一半导体衬底上方的绝缘体材料的受体晶片;形成施主晶片,其包含在前驱物半导体衬底上方形成导电材料、在所述导电材料上方形成非晶硅材料及在一深度处将离子植入到所述前驱物半导体衬底中以形成经植入分区。所述施主晶片的非晶硅材料可接合到所述受体晶片的绝缘体材料。然后可移除所述经植入分区上方的所述前驱物半导体衬底的一部分。
根据本发明的各种实施例而形成的SMOI结构包含接合到绝缘体材料、导电材料或额外非晶硅材料的非晶硅材料。所述非晶硅材料以放热方式与所述绝缘体材料、所述导电材料或所述额外非晶硅材料结晶或发生反应,这允许硅原子重排,这又可改善所述非晶硅材料与所述绝缘体材料、所述导电材料或所述额外硅材料之间的界面处的接合强度。因此,形成于所述非晶硅材料与所述绝缘体材料、所述导电材料及所述额外非晶硅材料中的至少一者之间的接合可比形成于两种绝缘体材料(例如两种氧化物材料)之间的接合大致更强。另外,所述非晶硅材料到所述绝缘体材料的接合可发生在相对低的温度下(例如在室温下(从大约20℃到大约25℃)),且因此减小损坏形成于所述第一半导体衬底上的任一下伏装置的风险。下文更加详细地描述所述非晶硅材料到所述绝缘体材料、所述导电材料及所述额外非晶硅材料中的至少一者的接合。根据本发明的各种实施例而形成的SMOI结构还可包含安置于所述绝缘体材料与所述第二半导体衬底之间的导电材料。所述导电材料掩埋于所述第二半导体衬底下面。在一些实施例中可使用所述导电材料来形成互连件(例如字线或位线)或形成金属条带。可使用此一互连件以促进对形成于所述第二半导体衬底中的半导体装置的存取。
可使用根据本发明的各种实施例而形成的SMOI结构来制作各种各样的半导体装置,例如包含形成于所述第一半导体衬底中/上的逻辑装置及形成所述第二半导体衬底中/上的存储器装置的集成电路。由于所述导电材料掩埋于所述第二半导体衬底下面,因此可在相对少的工艺动作中形成形成于所述第二半导体衬底上的装置,如下文更详细描述。另外,形成于所述第二半导体衬底上/中的所述装置可与下伏互连件及/或源极及漏极接触件自对准,从而消除对单独电接触件的需要。此外,由于可在形成所述SMOI结构及所述存储器装置之前在所述第一半导体衬底上形成逻辑装置,因此所述存储器装置不暴露于用于形成逻辑装置的处理条件。通过形成此些垂直自对准的堆叠式集成电路,可减小单元大小,这提供增加的高速缓冲存储器密度。
以下说明提供具体细节(例如材料类型及处理条件),以提供对本发明的实施例的透彻说明。然而,所属领域的技术人员将理解,可在不采用这些具体细节的情况下且结合常规制作技术来实践本发明的实施例。另外,本文所提供的说明不形成用于制造包含SMOI结构的半导体装置的完整工艺流程。下文仅详细描述理解本发明的实施例所必需的那些工艺动作及结构。用以根据本发明的实施例形成包含SMOI结构的完整半导体装置的额外动作可通过常规技术来执行。另外,应理解本文所描述的方法可视需要重复许多次以形成多个堆叠式SMOI结构。
本文所描述的材料可通过任一适合技术形成,包含但不限于旋涂、毯覆式涂覆、化学气相沉积(“CVD”)、等离子增强型化学气相沉积(“PECVD”)、原子层沉积(“ALD”)、等离子增强型ALD或物理气相沉积(“PVD”)。或者,材料可为原位生长。所属领域的技术人员可选择适于沉积或生长特定材料的技术。尽管本文中所描述及图解说明的材料可作为层而形成,但所述材料并不限于此且可以其它三维组态而形成。
在以下实施方式中,将参照构成本文的一部分的所附图式,在所述所附图式中以图解说明方式展示其中可实践本发明的具体实施例。充分详细地描述这些实施例以使所属领域的技术人员能够实践本发明。然而,可在不背离本发明的范围的情况下利用其它实施例且可作出结构、逻辑及电方面的改变。本文中所呈现的图解说明并非打算作为任一特定系统、逻辑装置、存储器单元或半导体装置的实际视图,而是仅用以描述本发明的实施例的理想化表示形式。本文中所呈现的所述图式未必按比例绘制。另外,图式之间共同的元件可保留相同数字标记。
现在参照所述图式,其中相同元件由相同参考编号标记,图1到6是形成包含掩埋式导电材料204的SMOI结构30(图6)的实施例的方法的部分横截面图。通过将受体晶片10(图1)与施主晶片20(图2)接合来形成SMOI结构30。图1描绘受体晶片10。受体晶片10可包含其上形成有绝缘体材料104的第一半导体衬底102。第一半导体衬底102可包含制作衬底,例如半导体材料(例如硅、砷化镓、磷化铟等)的完全或部分晶片、完全或部分绝缘体上硅金属(SMOI)型衬底(例如玻璃上硅(SOG)、陶瓷上硅(SOC)或蓝宝石上硅(SOS)衬底)或任一其它已知适合的制作衬底。如本文中所使用,术语“晶片”包含常规晶片以及其它块状半导体衬底。第一半导体衬底102可经掺杂或未经掺杂。至少经部分制作的逻辑装置(未展示)(例如CMOS装置)可任选地存在于第一半导体衬底102上且可由常规技术形成。在一项实施例中,第一半导体衬底102为块状结晶硅。
绝缘体材料104可为包含(借助非限定性实例)二氧化硅、掺杂硼磷的硅玻璃(BPSG)、硼硅酸盐玻璃(BSG)、磷硅酸盐玻璃(PSG)或类似物的电介质材料。在一项实施例中,绝缘体材料104为掩埋式氧化物。绝缘体材料104厚度可从约到约2μm,例如从约到约用于沉积且原位生长此些电介质材料的技术在此项技术中已知且可包含(举例来说)化学气相沉积(CVD)(例如低压CVD或等离子增强CVD)、原子层沉积(ALD)、旋涂沉积、热分解或热生长。绝缘体材料104包含上部表面106。
图2是用以形成SMOI结构30(图6)的施主晶片20的一项实施例的部分横截面图。施主晶片20可包含其上形成有导电材料204及非晶硅材料206的前驱物半导体衬底202。在一些实施例中,多晶硅材料或另一非晶材料(例如非晶锗)可替代非晶硅材料206。前驱物半导体衬底202可为(举例来说)上文所提及的用作第一半导体衬底102的制作衬底中的一者。在一项实施例中,前驱物半导体衬底202为硅衬底,例如结晶硅衬底。前驱物半导体衬底202可经掺杂或未经掺杂。导电材料204可为低电阻率材料,其包含但不限于相变材料、钛、硅化钛、氧化钛、氮化钛、坦、硅化坦、氧化坦、氮化坦、钨、硅化钨、氧化钨、氮化钨、其它金属、金属硅化物、金属氧化物或金属氮化物材料或其组合,包含多种不同导电材料。在一项实施例中,导电材料204可由氮化钛形成,因为氮化钛对许多材料(例如用作前驱物半导体衬底202的材料)具有良好粘附性或粘合性。氮化钛还具有使其不受高处理温度影响的高熔点(约3000℃)。氮化钛还与其它导电材料具有优良的欧姆接触。氮化钛还通常用于半导体制作中,且因此可易于并入到常规制作工艺中。在一项实施例中,导电材料204为富含钛的氮化钛,例如氮化钛金属模式(MMTiN)。导电材料204也可由多种导电材料形成。在一项实施例中,导电材料204为前驱物半导体衬底202上方的MMTiN材料及MMTiN材料上方的硅化钨材料。在另一实施例中,导电材料204可由金属(例如,钛、钨或铝)形成,其中其上形成有钛材料层。可依据所述材料而优化导电材料204的厚度,以在导电材料204与前驱物半导体衬底202之间提供低欧姆接触。举例来说,如果导电材料204为氮化钛(例如MMTiN),那么导电材料204可具有从约10nm到约50nm的厚度。可借助此项技术中已知的沉积技术(例如,举例来说原子层沉积(ALD)、化学气相沉积(CVD)或等离子气相沉积(PVD))来形成导电材料204。
可借助此项技术中已知的沉积技术(例如,举例来说ALD、CVD或PVD)在导电材料204上方形成非晶硅材料206。在一项实施例中,可通过PVD后跟化学机械平面化(CMP)在导电材料204上形成非晶硅材料206。非晶硅材料206的厚度可足以粘附到受体晶片10的绝缘体材料104,如下文更详细描述。举例来说,非晶硅材料206的厚度可为从约10nm到约50nm。非晶硅材料206包含表面212。
如图2中所描绘,施主晶片20还可包含通过将原子物质植入到前驱物半导体衬底202中而形成的裂开部分208。原子物质可为氢离子、稀有气体离子(也称作惰性或罕有气体)或氟离子。原子物质可植入到施主晶片20的前驱物半导体衬底202中以形成经植入分区210。可在于所述前驱物半导体衬底上形成导电材料204之前、于其上形成导电材料204之后或于其上形成非晶硅材料206之后将原子物质植入到前驱物半导体衬底202中。经植入分区210可在所要深度处形成于前驱物半导体衬底202中,这取决于此项技术中已知的例如原子物质的植入剂量及能量等参数。经植入分区210的深度可对应于SMOI结构30(图6)的第二半导体衬底202′的厚度。经植入分区210可包含包含经植入原子物质的微泡或微腔,这在前驱物半导体衬底202内提供弱化区。可在高于植入受影响的温度但低于导电材料204的熔化温度的温度下对施主晶片20进行热处理以影响施主晶片20中的结晶重排及微泡或微腔的合并。如下文所描述,施主晶片20可在经植入分区210处裂开从而形成SMOI结构30(图6)上的第二半导体衬底202′以及裂开部分208。为清晰起见,本文中使用术语“第二半导体衬底”来指代在移除裂开部分208之后的半导体结构,而本文中使用术语“前驱物半导体衬底”来指代在移除裂开部分208之前的半导体结构。
如图3和图4中所展示,施主晶片20可叠加到受体晶片10上,以使得施主晶片20的非晶硅材料206与受体晶片10(图4)的绝缘体材料104接触。然后施主晶片20的非晶硅材料206可通过暴露于热而接合到受体晶片10的绝缘体材料104。在将施主晶片20接合到受体晶片10之前,可任选地处理非晶硅材料206的表面212及绝缘体材料104的上部表面106中的至少一者以改善非晶硅材料206与绝缘体材料104之间的接合强度。此些处理技术在此项技术中已知且可包含化学、等离子或植入活化。举例来说,可借助稀释的氢氧化铵或氟化氢溶液来处理绝缘体材料104的上部表面106。非晶硅材料206的表面212还可暴露于(举例来说)氩的等离子以形成等离子活化表面。活化非晶硅材料206的表面212及绝缘体材料104的上部表面106中的至少一者可由于形成于非晶硅材料205的表面212及绝缘体材料104的上部表面106上的离子物质(举例来说,氢)的移动性增加而使其间的随后接合的动力增加。
如图4中所展示,施主晶片20的非晶硅材料206可与受体晶片10的绝缘体材料104接触并接合以形成SMOI结构30的前驱物。可通过(举例来说)将SMOI结构30加热到小于约600℃(例如从约300℃到约400℃)的温度而将非晶硅材料206接合到绝缘体材料104。如果绝缘体材料104由二氧化硅形成,那么非晶硅材料206与绝缘体材料104之间可形成氧化硅接合。由于导电材料204可由金属或其它热敏材料形成,因此SMOI结构30暴露于的温度可小于导电材料204的熔点。非晶硅材料206与绝缘体材料104也可在(例如)环境温度(从约20℃到约25℃)下在无加热的情况下接合。还可对施主晶片20及受体晶片10施加压力以将非晶硅材料206接合到绝缘体材料104。一旦施主晶片20接合到受体晶片10,来自施主晶片20的导电材料204就可形成安置于绝缘体材料104与前驱物半导体衬底202之间的掩埋式导电材料。
为了形成SMOI结构30(图6),可从前驱物半导体衬底202移除裂开部分208,如图5中所展示。可借助此项技术中已知的技术来移除裂开部分208,例如通过对经植入分区210施加剪切力或通过在经植入分区210处施加热或喷气流。植入于经植入分区210中的氢或其它离子在前驱物半导体衬底202中产生易于裂开的弱化区。第二半导体衬底202′的剩余部分可具有(举例来说)从约50nm到约500nm(从约到约)的厚度。在移除裂开部分208之后暴露的SMOI结构30的表面302可为粗糙且参差不齐的。可将SMOI结构30的暴露表面302平滑化到所要程度以促进对SMOI结构30进行进一步处理,如下文所描述。可根据常规技术(例如,研磨、湿式蚀刻、化学机械抛光(CMP)及平面反应性离子蚀刻(RIE)中的一者或一者以上)将SMOI结构的暴露表面302平滑化。
可借助层转移技术的修改形式来形成SMOI结构30及下文所描述的其它结构。层转移技术详细描述于(举例来说)颁予布鲁尔(Bruel)的美国专利第RE 39,484号、颁予阿斯帕(Aspar)等人的美国专利第6,303,468号、颁予阿斯帕等人的美国专利第6,335,258号、颁予莫瑞考(Moriceau)等人的美国专利第6,756,286号、颁予阿斯帕等人的美国专利第6,809,044号、颁予阿斯帕等人的美国专利第6,946,365号及颁予杜邦(Dupont)的美国专利申请公开案第2006/0099776号。然而,如果维持足够低的工艺温度,那么也可使用适用于制造SMOI衬底的其它工艺。在层转移技术的常规实施方案中,使用高温退火而将施主晶片与受体晶片接合在一起。用以接合施主晶片与受体晶片的温度从约1000℃到约1300℃。然而,由于在本文所描述的SMOI结构中存在导电材料204,因此在某些情况下本发明的SMOI结构可不能承受暴露于此些温度下而不受到热损坏。因此如上文所描述,可使用较低温度来接合受体晶片10与施主晶片20。
图6是对在暴露表面302已经平滑化之后的SMOI结构30的图解说明。一旦将施主晶片20接合到受体晶片10且将暴露表面302平滑化,半导体装置(例如存储器单元)就可形成于SMOI结构30的第二半导体衬底202’上及/或内。如下文所描述,SMOI结构30的导电材料204可用作(举例来说)互连件(例如位线或字线),用作栅极,或用作金属条带。
图7到10是形成包含掩埋式导电硅化物材料410的SMOI结构50(图10)的实施例的方法的部分横截面图。图7图解说明用以形成SMOI结构50(图10)的受体晶片11。除了非晶硅材料206可形成于受体晶片10上的绝缘体材料上方以外,受体晶片11可大致类似于上文所描述的受体晶片10且可如上文关于图1所描述而形成。如图7中所展示,受体晶片11可包含形成于绝缘体材料104上方的非晶硅材料206及形成于第一半导体衬底102上方的绝缘体材料104。
图8是用以形成SMOI结构50(图10)的施主晶片40的一项实施例的部分横截面图。除了施主晶片40可包含任选非反应性导电材料402及反应性导电材料404而不是导电材料204(图2)且非晶硅材料206(图2)不形成于施主晶片40上以外,施主晶片40可大致类似于上文所描述的施主晶片20且可如上文关于图2所描述而形成。非反应性导电材料402可由(举例来说)金属氮化物(例如氮化钛)形成。然而,可使用将不与反应性导电材料404或其反应产物发生化学反应的任一导电材料。与反应性导电材料404的厚度相比,非反应性导电材料402的厚度可相对薄。举例来说,非反应性导电材料402可具有从约到约的厚度。反应性导电材料404可由能够与非晶硅材料206发生反应的金属形成或充当用于使非晶硅材料206结晶的催化剂。在一项实施例中,反应性导电材料404为钛。反应性导电材料404可具有从约到约的厚度。可借助此项技术中已知的沉积技术来形成非反应性导电材料402及反应性导电材料404,例如,举例来说ALD、CVD或PVD。
如图9中所展示,施主晶片40可叠加到受体晶片11上并接合到其且裂开部分208(图8)被移除,如前文关于图3到6所描述。所得的SMOI结构50可包含第一半导体衬底102、绝缘体材料104、非晶硅材料206、反应性导电材料404、非反应性导电材料402及第二半导体衬底202′。
如图10中所展示,SMOI结构50可经退火以使反应性导电材料404与非晶硅材料206发生化学反应从而形成导电硅化物材料410,所述导电硅化物材料掩埋于非反应性导电材料402下面。反应性导电材料404可由钛形成,其与非晶硅材料206发生反应以形成作为导电硅化物材料410的硅化钛。反应性导电材料404及非反应性导电材料402也可为单种材料,例如富含钛的氮化钛(MMTi)。富含钛的氮化钛中的过量钛可与非晶硅材料206发生反应从而形成导电硅化物材料410。对SMOI结构50进行退火以形成导电硅化物材料410可发生在(举例来说)从约600℃到约800℃的温度下。导电硅化物材料410与绝缘体材料104之间的接合强度可比非晶硅材料206与绝缘体材料104之间的接合强度大。与反应性导电材料404相比,导电硅化物材料410可对SMOI结构50提供较低电阻。
图11到14是形成包含掩埋于经掺杂半导体衬底下面的导电材料的SMOI结构70(图14)的实施例的方法的部分横截面图。图11图解说明受体晶片10,其为图1的实质复制品且可如上文关于图1所描述而形成。如图11中所展示,受体晶片可包含形成于第一半导体衬底102上方的绝缘体材料104。
图12是用以形成SMOI结构70(图14)的施主晶片60的一项实施例的部分横截面图。施主晶片60可类似于上文所描述的施主晶片20而包含前驱物半导电衬底202且可如上文关于图2所描述而形成。如此项技术中已知,前驱物半导电衬底202可经掺杂且活化以形成P+经掺杂区602、N-经掺杂区604及N+经掺杂区606。在一项实施例中,当所述前驱物半导电衬底还未包含可被此些高温工艺损坏的MMTiN材料610(图13)时,可使用高温工艺来掺杂前驱物半导电衬底202。在另一实施例中,可在已使用用于实现更好掺杂剂曲线控制的低温工艺形成了SMOI装置70(图14)之后形成P+经掺杂区602。尽管图12被描绘为包含P+经掺杂区602、N-经掺杂区604及N+经掺杂区606的特定次序,但应理解所属领域的技术人员可选择经掺杂区的任一组合以实现SMOI结构70(图14)的所要功能。由于施主晶片60具有可从其植入所要掺杂剂的两个暴露表面,因此与在施主晶片60接合到受体晶片10之后形成经掺杂区的情况相比,可更容易且更准确地控制经掺杂区602、604、606的深度及浓度(即,高度掺杂或轻度掺杂)。如图12中所展示,硅化物材料608可形成于前驱物半导体衬底202上方,例如N+经掺杂区606上方。可通过在前驱物半导体衬底202上方形成反应性导电材料以使所述反应性导电材料与前驱物半导体衬底202发生反应以形成硅化物材料608来形成硅化物材料608。硅化物材料608可与前驱物半导体衬底202具有低欧姆接触。可在硅化物材料608上方形成氮化钛金属模式(MMTiN)材料。可借助此项技术中已知的沉积技术(例如,举例来说ALD、CVD或PVD)来形成MMTiN材料610及硅化钨材料612。与MMTiN材料610的厚度相比,硅化物材料608的厚度可相对薄。举例来说,硅化物材料608可具有从约到约的厚度。MMTiN材料610可具有从约到约的厚度。此外,如图12中所描绘,可通过将原子物质植入到前驱物半导体衬底202中来形成裂开部分208,从而如前文关于图2所描述而形成经植入分区210。如图12中所展示,可在前驱物半导体衬底202的P+经掺杂区602内形成经植入分区210。当形成经植入分区210时硅化物材料608及MMTiN材料610可对原子物质的植入具有大致最小影响。
如图13中所展示,硅化钨材料612及非晶硅材料206可形成于硅化物材料608上方。可借助此项技术中已知的沉积技术(例如,举例来说ALD、CVD或PVD)来形成硅化钨材料612。硅化钨材料612可为比MMTiN氮化物材料610更好的导体。在一些实施例中,可在形成经植入分区210之后在硅化钛材料612及MMTiN材料610上方形成硅化钨材料612。
如图14中所展示,施主晶片60可叠加到受体晶片10上并接合到其且裂开部分208(图13)被移除,如前文关于图3到6所描述。所得的SMOI结构70可包含第一半导体衬底102、绝缘体材料104、非晶硅材料206、硅化钨材料612、MMTiN材料610、硅化物材料608及包含N+经掺杂区606、N-经掺杂区604及P+经掺杂区602的第二半导体衬底202′。在一些实施例中,可在P+经掺杂区602上方形成第二导电材料(未展示)以形成可用以形成半导体装置的顶部电极,如下文更详细描述。
图15到18是形成包含经掺杂半导体材料的SMOI结构90(图18)的实施例的另一方法的部分横截面图。图15是图1的实质复制品且可如上文关于图1所描述而形成。如图15中所展示,受体晶片10包含形成于第一半导体衬底102上方的绝缘体材料104。
图16是用以形成SMOI结构90(图18)的施主晶片80的一项实施例的部分横截面图。除了施主晶片80可包含安置于前驱物半导体衬底202与导电材料204之间的经掺杂半导电材料802以外,施主晶片80可大致类似于上文关于图2所描述的施主晶片20且可如上文关于图2所描述而形成。经掺杂半导电材料802可由(举例来说)锗(Ge)、碳化硅(SiC)及氮化镓(GaN)中的至少一者形成。前驱物半导体衬底202可经掺杂以形成至少一个P+或N+经掺杂区804。经掺杂半导电材料802也可经掺杂以形成P经掺杂区806及N经掺杂区808。在一个实例中,P经掺杂区806可包含邻近前驱物半导体衬底202的P+或N+经掺杂区804的P经掺杂碳化硅材料且N-经掺杂区808可包含邻近P经掺杂区806的N经掺杂碳化硅材料。可使用常规沉积或原位生长技术(且其可包含(举例来说)化学气相沉积(CVD)(例如低压CVD或等离子增强CVD)、原子层沉积(ALD)、旋涂沉积、热分解或热生长)在前驱物半导体衬底202上形成经掺杂半导电材料802。可在经掺杂半导电材料802上方沉积导电材料204及非晶硅材料206,且可用原子物质植入前驱物半导体衬底202以形成经植入分区210及裂开部分208,如上文关于图2所描述。
如图17中所展示,施主晶片80可叠加到受体晶片10上并接合到其且裂开部分208被移除,如前文关于图3到6所描述。所得的SMOI结构90包含第一半导体衬底102、绝缘体材料104、非晶硅材料206、导电材料204、包含N经掺杂区808及P经掺杂区806的经掺杂半导电材料802及包含P+或N+经掺杂区804的第二半导体衬底202′。如图18中所展示,可使用此项技术中已知的技术(例如CMP)来抛光第二半导体衬底202′。
图19到21是形成包含绝缘体材料104及高k电介质材料112的SMOI结构120(图21)的实施例的另一方法的部分横截面图。图19是图1的实质复制品且可如上文关于图1所描述而形成。如图19中所展示,受体晶片10包含形成于第一半导体衬底102上方的绝缘体材料104。
图20是用以形成SMOI结构120(图21)的施主晶片110的一项实施例的部分横截面图。除了施主晶片110包含安置于前驱物半导体衬底202与导电材料204之间的高k电介质材料112以外,施主晶片110可大致类似于上文关于图2所描述的施主晶片20且可如上文关于图2所描述而形成。高k电介质材料112可由(举例来说)二氧化硅、氧化铪及锆、铝、镧、锶、钛或其组合的其它氧化物、硅酸盐或铝酸盐(其包含但不限于Ta2O5、ZrO2、HfO2、TiO2、Al2O3、Y2O3、La2O3、HfSiOx、ZrSiOx、LaSiOx、YSiOx、ScSiOx、CeSiOx、HfLaSiOx、HfAlOx、ZrAlOx及LaAlOx)形成。另外,可使用多金属氧化物,也可在单层或复合层中使用氮氧化铪、氮氧化铱及其它高k电介质材料。可使用常规沉积或原位生长技术(且其可包含(举例来说)化学气相沉积(CVD)(例如低压CVD或等离子增强CVD)、原子层沉积(ALD)、旋涂沉积、热分解或热生长)在前驱物半导体衬底202上形成高k电介质材料112。任选地,施主晶片110还可包含金属113及经掺杂区115。金属113可包含(举例来说)反应性导体,例如钛金属模式(MMTi)、钛(Ti)、坦(Ta)、钴(Co)及镍(Ni)。可在高k电介质材料112上方沉积导电材料204及非晶硅材料206,且可用原子物质植入前驱物半导体衬底202以形成经植入分区210及裂开部分208,如上文关于图2所描述。
如图21中所展示,施主晶片110可叠加到受体晶片10上并接合到其且裂开部分208(图20)被移除,如前文关于图3到6所描述。所得的SMOI结构120包含衬底102、绝缘体材料104、非晶硅材料206、导电材料204、高k电介质材料112及第二半导体衬底202′。
图22到28是形成包含经图案化导电材料204′的SMOI结构140(图28)的另一实施例的方法的横截面图。图22是图1的实质复制品且可如上文关于图1所描述而形成。如图22中所展示,受体晶片10包含形成于第一半导体衬底102上方的绝缘体材料104。
图23是用以形成SMOI结构140(图28)的施主晶片130的一项实施例的部分横截面图。施主晶片130包含其上形成有导电材料204及帽盖材料132的前驱物半导体衬底202。帽盖材料132可由电介质材料(例如氮化物材料或氧化物材料)形成。可借助此项技术中已知的沉积技术(包含但不限于ALD、CVD或PVD)来形成帽盖材料132。
如图24中所展示,帽盖材料132及导电材料204可经图案化以形成包含经图案化帽盖材料132′及经图案化导电材料204′的至少一个结构134。可使用此项技术中已知的技术(例如光致抗蚀剂遮蔽及各向异性蚀刻)来图案化帽盖材料132及导电材料204。或者,在一些实施例中,可使用镶嵌流程(其在此项技术中已知且因此本文未详细描述)将经图案化帽盖材料132′及经图案化导电材料204′形成为至少一个结构134。如图25中所展示,可在经图案化帽盖材料132′及经图案化导电材料204′的至少一个结构134上方沉积层间电介质材料136。层间电介质材料136可用以将至少一个结构134与邻近结构134隔离。如图26中所展示,可(例如)借助此项技术中已知的CMP来移除层间电介质材料136以暴露经图案化帽盖材料132′的上部表面。经图案化帽盖材料132′可充当CMP停止层。
如图27中所展示,可在层间电介质材料136及经图案化帽盖材料132′上方形成非晶硅材料206。也可用原子物质来植入施主晶片130从而形成经植入分区210及裂开部分208,如前文关于图2所描述。如图28中所展示,施主晶片130可叠加到受体晶片10并接合到其且裂开部分208被移除,如前文关于图3到6所描述。所得的SMOI结构140包含第一半导体衬底102、绝缘体材料104、非晶硅材料206、经图案化帽盖材料132′及导电材料204′的至少一个结构134及第二半导体衬底202′,所述至少一个结构134由层间电介质材料136电隔离。由于包含导电材料204′的柱134经图案化且由层间电介质材料136分离,因此导电材料204′可在无进一步处理的情况下用作互连件(例如字线或位线),如下文更详细描述。
在额外实施例中,导电材料204可形成于受体晶片而非施主晶片上。举例来说,图29到31图解说明形成包含导电材料204的SMOI结构170(图31)的实施例的另一方法的部分横截面图。如图29中所展示,受体晶片150包含第一半导体衬底102、绝缘体材料104及导电材料204。受体晶片150可任选地包含接合材料152。接合材料152(如果存在)可如前文所描述为非晶硅材料,或接合材料152可为氧化物材料,例如二氧化硅。在一些实施例中,可图案化并用层间电介质材料(未展示)填充导电材料204,如上文关于图22到28所描述。
图30是用以形成SMOI结构170(图31)的施主晶片160的一项实施例的部分横截面图。施主晶片160可包含前驱物半导体衬底202及非晶硅材料206。可用原子物质来植入施主晶片160从而形成经植入分区210及裂开部分208,如前文关于图2所描述。
如图31中所展示,施主晶片160可叠加到受体晶片150上并接合到其且裂开部分208可被移除,如前文关于图3到6所描述。所得的SMOI结构170包含第一半导体衬底102、绝缘体材料104、导电材料204、接合到非晶硅材料206的接合材料152(如果存在)及第二半导体衬底202′。
在额外实施例中,可通过在施主晶片上产生多个硅材料层来形成多个SMOI结构。举例来说,图32到34图解说明形成包含导电材料204的SMOI结构200(图32)的实施例的另一方法的部分横截面图。如图32中所展示,受体晶片180包含第一半导体衬底102、绝缘体材料104及导电材料204。
图33是用以形成SMOI结构200(图34)的施主晶片190的一项实施例的部分横截面图。施主晶片190可包含前驱物半导体衬底202、锗化硅(SiGe)材料192的至少一个部分及外延(EPI)硅材料194的至少一个部分。可借助此项技术中已知的方法且以任一所要厚度形成SiGe材料192及EPI硅材料194。另外,SiGe材料192及EPI硅材料194可经掺杂或未经掺杂。尽管图33展示SiGe材料192的一个部分及EPI硅材料194的一个部分,但可通过形成SiGe材料192及EPI硅材料194的交替部分而存在多个部分。在一些实施例中,可任选地在EPI硅材料194或SiGe材料192的最上部分上方形成非晶硅材料206,其用虚线来图解说明。或者,在一些实施例中,可省略非晶硅材料206且可将EPI硅材料194或SiGe材料192的最上部分接合到受体晶片180。还可用原子物质来植入施主晶片190从而形成经植入分区210及裂开部分208,如前文关于图2所描述。
如图34中所展示,施主晶片190可叠加到受体晶片180上并接合到其,且裂开部分208可被移除,如前文关于图3到6所描述。所得的SMOI结构200包含第一半导体衬底102、绝缘体材料104、导电材料204、非晶硅材料206(如果存在)、EPI硅材料194的至少一个部分、SiGe材料192的至少一个部分及第二半导体衬底202′。尽管将图33描绘为将非晶硅材料206接合到导电材料204,但EPI硅材料194、SiGe材料192或非晶硅材料206(如果存在)中的任一者可用以将施主晶片190接合到受体晶片180。一旦形成SMOI结构200,就可(例如,举例来说)利用湿式底切蚀刻来移除SiGe材料192的若干部分。然后可用电介质材料(未展示)(例如氧化物材料)重新填充SiGe材料192的经移除部分或可留下所述经移除部分未填充从而形成气隙(未展示)。用电介质材料或气隙来替代SiGe材料192的若干部分可用以在衬底102上形成多个SMOI结构。在又另外实施例中,可在没有导电材料204的情况下形成SMOI结构200,从而在没有导电材料204的情况下在衬底102上形成多个SMOI结构。
在额外实施例中,可用多部分掩埋式电介质材料来形成SMOI结构。举例来说,图35到38图解说明形成包含多部分掩埋式电介质材料的SMOI结构250(图38)的实施例的另一方法的部分横截面图。如图35中所展示,受体晶片220包含第一半导体衬底102、绝缘体材料104、氧化物材料222的至少一个部分及氮化物材料224的至少一个部分。在一些实施例中,可任选地省略绝缘体材料104。可在交替部分中形成氧化物材料222及氮化物材料224。可借助此项技术中已知的方法且以任一所要厚度形成氧化物材料222及氮化物材料224。尽管将图35图解说明为包含氧化物材料222的与氮化物材料224的两个部分交替的两个部分,但应理解可存在任一数目个氧化物材料222及氮化物材料224的部分。
图36是用以形成SMOI结构250(图38)的施主晶片230的一项实施例的部分横截面图。施主晶片230可大致类似于上文在图2中所描述的施主晶片20且可如上文关于图2所描述而形成。如图36中所展示,施主晶片230可包含前驱物半导体衬底202及非晶硅材料206。还可用原子物质来植入施主晶片230从而形成经植入分区210及裂开部分208。
如图37中所展示,施主晶片230可叠加到受体晶片220上并接合到其且裂开部分208可被移除,如前文关于图3到6所描述。所得的SMOI结构240包含第一半导体衬底102、绝缘体材料104、氧化物材料222的至少一个部分、氮化物材料224的至少一个部分、非晶硅材料206及第二半导体衬底202′。尽管将图37描绘为将非晶硅材料206接合到氧化物材料222的至少一个部分,但氮化物材料224的至少一个部分、氧化物材料222的至少一个部分或额外非晶硅材料(未展示)中的任一者可用以将施主晶片230接合到受体晶片220。一旦形成SMOI结构240,就可(例如,举例来说)通过利用湿式蚀刻的选择性底切来选择性地移除氮化物材料224的部分。然后可用导电材料226重新填充氮化物材料224的经移除部分,从而形成图38中所展示的SMOI结构250。用导电材料226替代氮化物材料224可用以形成具有多个掩埋式导电材料层226的SMOI结构250。尽管展示导电材料层226具有相等厚度,但应理解不同的导电材料层226可依据SMOI结构250的所要用途而具有变化的厚度。导电材料226的多个层可用以形成多个互连件,例如字线及位线。在额外实施例中,当在第二半导体衬底202′上/中形成半导体装置时,可仅利用导电材料226的最上部分来形成如下文更详细描述的半导体装置,且导电材料226的下部部分可保持完好无损。导电材料226的保持完好无损的下部部分可帮助改善SMOI结构250的接合强度及稳定性。
可利用本文所描述的SMOI结构30、50、70、90、120、140、170、200、250来形成此项技术中已知的众多半导体装置,其包含在汤(Tang)等人的题目为“具有偏置栅极的单晶体管存储器单元(One-transistor Memory Cell with Bias Gate)”的美国专利第7,589,995号、阿南旦(Ananthan)等人的题目为“双功函数凹入存取装置及其形成方法(Dual Work Function Recessed Access Device and Methods of Forming)”的美国专利申请公开案第2007/0264771号、汤等人的题目为“与具有浮动主体的存储器单元相关的方法、装置及系统(Methods,Devices,and Systems Relating to Memory Cells Having a FloatingBody)”的美国专利申请案第12/410,207号、汤的题目为“与具有浮动主体的存储器单元相关的方法、装置及系统(Methods,Devices,and Systems Relating to Memory CellsHaving a Floating Body)”的美国专利申请案第12/419,658号中所描述的半导体装置。前述文件中的每一者的揭示内容以全文引用的方式并入本文中。SMOI结构30、50、70、90、120、140、170、200、250可用以形成具有两个或两个以上端子的任一半导体装置。举例来说,SMOI结构30、50、70、90、120、140、170、200、250可用以形成动态随机存取存储器(DRAM)、电阻式非易失性RAM(ReRAM)、相变RAM(PCRAM)、一次可编程只读存储器(OTP ROM)或高速缓冲存储器装置。
图39图解说明半导体装置300的实施例的一个实例,所述半导体装置包含具有掩埋于第二半导体衬底312下面的导电材料304的SMOI结构301。SMOI结构301可包含(举例来说)第一半导体衬底306、绝缘体材料308、非晶硅材料310、导电材料304及第二半导体衬底312。可以类同于上文相关于图1到6、7到10、11到14、15到18、19到21、22到28、32到34或35到38所描述的方式的方式来形成SMOI结构301。
可借助常规技术在第一方向上图案化非晶硅材料310、导电材料304及第二半导体衬底312以形成位线314。或者,如果以类同于上文相关于图22到28所描述的方式的方式形成SMOI结构301,那么可已经在所述第一方向上图案化了导电材料304。可借助常规技术在垂直于所述第一方向的第二方向上图案化第二半导体衬底312以在位线314上方形成柱316。如此项技术中所已知,柱316可经掺杂以形成漏极区318、源极区320及通道区322。或者,第二半导体衬底312可已经经掺杂,如前文关于图11到14及图15到18所描述。由于漏极区318、源极区320及通道区322从柱316的主体垂直形成且柱316直接在位线314的顶部,因此与常规平面配置的情况相比可实现较高装置密度。可在邻近通道区322的柱316的侧壁上形成栅极电介质324。也可在邻近栅极电介质324的柱316的侧壁上形成栅极326。可使用包含常规间隔件蚀刻技术(本文未详细描述)的常规技术来形成栅极电介质324及栅极326。
通过利用SMOI结构301来形成半导体装置300,可在少至三个图案化动作中形成半导体装置300。如前文所描述,可在第一方向上图案化第二半导体衬底312以形成位线314,可在第二方向上图案化第二半导体衬底312以在所述位线上方形成柱316,且可图案化栅极326及栅极电介质324以在柱316的侧壁上形成栅极326及栅极电介质324。另外,由于漏极区318、源极区320及通道区322由位线314上方的柱316形成,因此不需要单独的接触件将位线314与漏极区318电连接。此外,由于可在形成半导体装置300之前在第一半导体衬底306上形成逻辑装置(未展示)及后段工艺过程(BEOL)元件(未展示),因此半导体装置300不暴露于用于形成逻辑装置及BEOL元件的处理条件。避免暴露于此些处理条件可改善半导体装置300的可靠性。
图40图解说明半导体装置400的另一实施例,所述半导体装置包含具有掩埋于第二半导体衬底412下面的导电材料403的SMOI结构401。半导体装置400可包含耦合到存取装置(例如二极管422)的存储器单元。SMOI结构401可包含(举例来说)第一半导体衬底406、电介质材料408、非晶硅材料409、导电材料403及第二半导体衬底412。可以类同于上文相关于图1到6、7到10、11到14、15到18、19到21、22到28、32到34或35到38所描述的方式的方式来形成SMOI结构401。
可借助常规技术在第一方向上图案化非晶硅材料409、导电材料403及第二半导体衬底412以形成字线415。或者,如果以类同于上文相关于图22到28所描述的方式的方式形成SMOI结构401,那么可已经在所述第一方向上图案化了导电材料403。可在第二方向上借助常规技术来图案化第二半导体衬底412的一部分以形成柱423。可借助常规技术来掺杂第二半导体衬底412以在字线415上方形成二极管422。举例来说,第二半导体衬底412可由单晶硅材料形成且可经掺杂以形成N经掺杂硅材料414及P经掺杂硅材料416。N经掺杂硅材料414可包含第二半导体衬底412的在字线415上方延伸的未在第二方向上经蚀刻的一部分。P经掺杂硅材料416可包含第二半导体衬底412的在第二方向上经蚀刻的部分以形成柱423。或者,第二半导体衬底可已经经掺杂,如前文关于图11到14及图15到18所描述。可使用常规技术在二极管412上方形成存储器装置400的底部电极418。举例来说,在一项实施例中,可在图案化第二半导体衬底412之前在第二半导体衬底412上方沉积底部电极418的材料。然后可使用常规技术图案化且蚀刻底部电极418的材料,与此同时图案化且蚀刻第二半导体衬底412。使用本文未详细描述的常规技术,可在二极管422上方且与所述二极管电连通地形成存储器媒体420及端电极或位线424。
通过利用SMOI结构401来形成半导体装置400,可在少至三个图案化动作中形成半导体装置400。如前文所描述,可在第一方向上图案化非晶硅材料409、导电材料403及第二半导体衬底412以形成字线415;可在第二方向上图案化第二半导体衬底412及底部电极418以形成二极管422及底部电极418;以及可图案化存储器媒体420及位线424以在二极管422上方形成存储器媒体420及位线424。由于存储器媒体420为将沉积的最后材料中的一者,因此由于存储器媒体420可不暴露于高处理温度且不因高处理温度而改变,相变或抗变材料可用作存储器媒体420。
图41图解说明半导体装置500的另一实施例,所述半导体装置包含具有掩埋于第二半导体衬底514下面的导电材料504的SMOI结构502。半导体装置500可包含形成于SMOI结构502上方及/或其内的浮动主体存储器单元501。SMOI结构502可包含(举例来说)第一半导体衬底506、绝缘体材料508、非晶硅材料510、导电材料504、高k栅极电介质材料512及第二半导体衬底514。可以类同于上文相关于图29到31所描述的方式的方式形成SMOI结构502。
浮动主体存储器单元501包含在侧上由额外绝缘体材料518包围的作用区516。作用区516可由第二硅衬底514的单晶硅形成。第二硅衬底514的整个厚度可用以形成浮动主体存储器单元501、形成背栅极-电介质的下伏的高k栅极电介质材料512及形成金属背栅极的导电材料504。可通过掺杂作用区516的部分来形成源极及漏极区526。将与作用区516不同地掺杂源极及漏极区526。举例来说,作用区516可包含P经掺杂硅,而源极及漏极区526包含N经掺杂硅。
如图41中所展示,用于栅极电介质520的第二高k材料形成于作用区516上。用于高k栅极电介质520的材料具有大于二氧化硅的介电常数的介电常数。用于高k栅极电介质520的适合材料的实例包含硅酸铪、硅酸锆、二氧化铪或二氧化锆。在高k栅极电介质520上形成场效应晶体管(FET)栅极522。如在此项技术中已知,然后可与适合的蚀刻工艺组合使用常规光刻技术来界定FET栅极522及下伏的高k栅极电介质520。可使用本文未详细描述的常规技术形成间隔件524从而侧接FET栅极522的侧。
通过利用SMOI结构502来形成半导体装置500,可与导电材料504电连通地形成浮动主体存储器单元501,从而消除对浮动主体存储器单元501与导电材料504之间的额外电接触件的需要。另外,由于可在形成浮动主体存储器单元501之前在第一半导体衬底506上形成逻辑装置(未展示)及后段工艺过程(BEOL)元件(未展示),因此浮动主体存储器单元501不暴露于用以形成所述逻辑装置及所述BEOL元件的处理条件。避免暴露于此些处理条件可改善半导体装置500的可靠性。
图42图解说明半导体装置600的另一实施例,所述半导体装置包含具有掩埋于第二半导体衬底614下面的导电材料603的SMOI结构601。SMOI结构601可包含(举例来说)第一半导体衬底605、绝缘体材料607、非晶硅材料609、导电材料603、电介质材料611及第二半导体衬底614。可以类同于上文相关于图29到31所描述的方式的方式来形成SMOI结构601。
可如此项技术中所已知来图案化且掺杂第二半导体衬底614以形成浮动主体区616、漏极区618及源极区619。可进一步图案化第二半导体衬底614以在漏极区618与源极区619之间于浮动主体区616中形成凹部。可在所述凹部中形成字线620。可在字线620与浮动主体区616之间形成电介质材料622。掩埋式导电材料603充当用于存储器单元的掩埋式栅极。可在漏极区618上方形成通往位线626的接触件624。接触件624可包括(举例来说)N+经掺杂多晶硅插塞或金属插塞。可在源极区619上方形成共用源极628。
图43图解说明包含多个半导体装置600(图42)的半导体装置700。如图43中所图解说明,还可蚀刻非晶硅材料609、导电材料603及电介质材料611以形成平行于位线626的行。类似地,在额外实施例中,可蚀刻非晶硅材料609、导电材料603及电介质材料611以形成平行于位线626的行(未展示)。
通过利用SMOI结构601来形成半导体装置700,可在导电材料603的顶部上形成浮动主体区616,从而消除对浮动主体区616与导电材料603之间的额外电接触件的需要。另外,由于可在形成浮动主体区616之前在第一半导体衬底605上形成逻辑装置(未展示)及后段工艺过程(BEOL)元件(未展示),因此浮动主体区616不暴露于用于形成所述逻辑装置及所述BEOL元件的处理条件。避免使浮动主体区616暴露于此些处理条件可改善半导体装置600的可靠性。
例如前文所描述的半导体装置的半导体装置可用于本发明的电子系统的实施例中。举例来说,图44是根据本发明的说明性电子系统800的示意性框图。电子系统800可包括(举例来说)计算机或计算机硬件组件、服务器或其它联网硬件组件、蜂窝式电话、数字相机、个人数字助理(PDA)、便携式媒体(例如,音乐)播放器等。电子系统800包含至少一个存储器装置801。电子系统800可进一步包含至少一个电子信号处理器装置802(其通常称作“微处理器”)。电子信号处理器装置802及至少一个存储器装置801中的至少一者可包括(举例来说)上文所描述的半导体装置300、400、500、600、700的实施例。换句话说,电子信号处理器装置802及至少一个存储器装置801中的至少一者可包括包含具有掩埋式导电材料的SMOI结构的半导体装置的实施例,如前文关于图39到43中所展示的半导体装置300、400、500、600、700。电子系统800可进一步包含用户用来将信息输入到电子系统800中的一个或一个以上输入装置804,例如,举例来说鼠标或其它指针装置、键盘、触控板、按钮或控制面板。电子系统800可进一步包含用于将信息(例如,视频或音频输出)输出到用户的一个或一个以上输出装置806,例如,举例来说监视器、显示器、打印机、音频输出插孔、扬声器等。在一些实施例中,输入装置804及输出装置806可包括可用以将信息输入电子系统800及将视频信息输出到用户两者的单个触摸屏装置。一个或一个以上输入装置804及输出装置806可与存储器装置801及电子信号处理器装置802中的至少一者电连通。
总结
在一些实施例中,本发明包含绝缘体上半导体金属(SMOI)结构、包含此些结构的装置及用于形成此些结构的方法。所述SMOI结构可包含第一半导体衬底上的绝缘体材料、接合到所述绝缘体材料的非晶硅材料、所述非晶硅材料上方的导电材料及所述导电材料上方的第二半导体衬底。电介质材料也可安置于所述导电材料与所述第二半导体衬底之间。在其它实施例中,可图案化所述导电材料且可通过电介质材料将经图案化导电材料的邻近部分彼此分离。
在额外实施例中,本发明包含一种SMOI,所述SMOI包含第一半导体衬底上的绝缘体材料、接合到所述绝缘体材料的非晶锗材料、所述非晶锗材料上方的导电材料及所述导电材料上方的第二半导体衬底。
在额外实施例中,本发明包含一种SMOI结构,所述SMOI结构包含第一半导体衬底上的绝缘体材料、所述绝缘体材料上方的导电材料、外延硅材料的至少一个部分及硅-锗材料的至少一个部分、接合到所述绝缘体材料的所述外延硅材料的所述至少一个部分或所述硅-锗材料的所述至少一个部分及所述导电材料上方的第二半导体衬底。所述绝缘体材料可由其上形成有非晶硅材料的氧化物材料形成。
在额外实施例中,本发明包含一种SMOI结构,所述SMOI结构包含第一半导体衬底、形成于所述第一半导体衬底上方的氧化物材料的至少一个部分及导电材料的至少一个部分以及形成于所述导电材料上方的第二半导体衬底。
在又另外实施例中,本发明包含一种半导体装置,所述半导体装置包含第一半导体衬底上的绝缘体材料、接合到所述绝缘体材料的非晶硅材料、所述非晶硅材料上方的导电材料、所述导电材料上方的第二半导体衬底及所述第二硅衬底上的存储器单元。所述导电材料可形成互连件。逻辑装置也可形成于所述第一半导体衬底上。在一些实施例中,电介质材料可安置于所述导电材料与所述第二半导体衬底之间。所述半导体装置的存储器单元可包含浮动主体存储器单元,其包含通过绝缘材料大致物理隔离的作用区域、形成于所述作用区域内的漏极区及源极区、形成于作用区域上在所述漏极区与所述源极区之间的高k电介质材料及形成于所述高k电介质上的金属栅极。
在又另外的实施例中,本发明包含形成SMOI结构的方法,其包含:形成包括形成于第一半导体衬底上方的绝缘体材料的受体晶片;形成包括前驱物半导体衬底上方的导电材料、所述导电材料上方的非晶硅材料及所述前驱物半导体衬底内的经植入分区的施主晶片;将所述施主晶片的所述非晶硅材料接合到所述受体晶片的所述绝缘体材料;以及移除所述前驱物半导体衬底的接近所述前驱物半导体衬底内的所述经植入分区的一部分。在一些实施例中,在将所述施主晶片的所述非晶硅材料接合到所述绝缘体材料之前可借助化学、等离子或植入活化对非晶硅材料的至少一个表面及所述绝缘体材料的表面进行处理。
在又另外的实施例中,本发明包含一种制作半导体装置的方法,其包含:形成包括形成于第一半导体衬底上方的绝缘体材料的受体晶片;形成包括前驱物半导体衬底上方的导电材料、所述导电材料上方的非晶硅材料及所述前驱物半导体衬底内的经植入分区的施主晶片;将所述施主晶片的所述非晶硅材料接合到所述受体晶片的所述绝缘体材料;移除所述前驱物半导体衬底的接近所述经植入分区的一部分以形成第二半导体衬底;以及在所述第二半导体衬底上制作至少一个存储器单元。
在又另外的实施例中,本发明包含形成SMOI结构的方法,其包含:形成包括形成于第一半导体衬底上方的绝缘体材料的受体晶片;形成包括前驱物半导体衬底上方的导电材料、所述导电材料上方的非晶锗材料及所述前驱物半导体衬底内的经植入分区的施主晶片;将所述施主晶片的所述非晶锗材料接合到所述受体晶片的所述绝缘体材料;以及移除所述前驱物半导体衬底的接近所述前驱物半导体衬底内的所述经植入分区的一部分。
尽管易于对本发明做出各种修改及替代形式,但已在图式中以实例方式展示了具体实施例且在本文中对所述具体实施例进行了详细描述。然而,本发明并不打算限定于所揭示的特定形式。相反,本发明将涵盖属于以上所附权利要求书及其法定等效物所界定的本发明的范围内的所有修改形式、等效形式及替代形式。

Claims (20)

1.一种绝缘体上半导体金属结构,其包括:
与第一半导体衬底的半导体材料接触的绝缘体材料;
与所述绝缘体材料接触的导电材料,所述绝缘体材料在竖向上位于所述第一半导体衬底的半导体材料与所述导电材料之间;
与所述导电材料接触的非晶硅材料,所述导电材料在竖向上位于所述绝缘体材料与所述非晶硅材料之间;以及
与所述非晶硅材料接触的第二半导体衬底的半导体材料,所述非晶硅材料在竖向上位于所述导电材料与所述第二半导体衬底的半导体材料之间。
2.一种绝缘体上半导体金属结构,其包括:
与第一半导体衬底的半导体材料接触的绝缘体材料;
与所述绝缘体材料接触的导电材料,所述绝缘体材料在竖向上位于所述第一半导体衬底的半导体材料与所述导电材料之间;
外延硅材料的至少一个部分及硅-锗材料的至少一个部分,所述外延硅材料的所述至少一个部分或所述硅-锗材料的所述至少一个部分与所述导电材料接触,所述导电材料在竖向上位于所述绝缘体材料与接触所述导电材料的所述外延硅材料的所述至少一个部分或所述硅-锗材料的所述至少一个部分之间;以及
与接触所述导电材料的所述外延硅材料的所述至少一个部分或所述硅-锗材料的所述至少一个部分接触的第二半导体衬底的半导体材料,所述接触所述导电材料的所述外延硅材料的所述至少一个部分或所述硅-锗材料的所述至少一个部分接触在竖向上位于所述导电材料与所述第二半导体衬底的半导体材料之间。
3.根据权利要求2所述的绝缘体上半导体金属结构,其中所述绝缘体材料包括其上形成有非晶硅材料的氧化物材料。
4.一种绝缘体上半导体金属结构,其包括:
第一半导体衬底;
形成于所述第一半导体衬底上方的氧化物材料的至少一个部分及导电材料的至少一个部分;以及
形成于所述导电材料上方的第二半导体衬底。
5.一种半导体装置,其包括:
绝缘体材料,其在竖向上位于第一半导体材料的外部并与所述第一半导体材料接触;
非晶硅材料,其在竖向上位于所述绝缘体材料的外部并与所述绝缘体材料接触;
导电材料,其在竖向上位于所述非晶硅材料的外部并与所述非晶硅材料接触;
第二半导体材料,其在竖向上位于所述导电材料的外部;
浮动主体存储器单元,其包括:
作用区域,其包括第二半导体材料、位于所述作用区域的相对侧上的绝缘材料;
位于所述作用区域的所述第二半导体材料内的漏极区及源极区;
第一高k电介质材料,其在竖向上位于在所述漏极区与所述源极区之间的所述作用区域的所述第二半导体材料外部,并与所述第二半导体材料接触;晶体管栅极,其在竖向上位于所述第一高k电介质材料的外部,并与所述第一高k电介质接触;
第二高k电介质材料,其在竖向上位于所述导电材料和所述第二半导体材料之间,并与所述导电材料和所述第二半导体材料接触,所述第二高k电介质材料接触所述漏极区及所述源极区的底面以及位于所述漏极区与所述源极区之间的所述第二半导体材料的底面;且
所述导电材料横向地延伸至超出所述漏极区及所述源极区中的每一者的横向最外部边界。
6.一种用于制作绝缘体上半导体金属结构的方法,其包括:
形成包括形成于第一半导体衬底上方的绝缘体材料的受体晶片;
形成包括前驱物半导体衬底上方的导电材料、所述导电材料上方的非晶硅材料及所述前驱物半导体衬底内的经植入分区的施主晶片;
将所述施主晶片的所述非晶硅材料接合到所述受体晶片的所述绝缘体材料;以及
移除所述前驱物半导体衬底的接近所述前驱物半导体衬底内的所述经植入分区的一部分。
7.根据权利要求6所述的方法,其进一步包括:在将所述施主晶片的所述非晶硅材料接合到所述受体晶片的所述绝缘体材料之前借助化学、等离子或植入活化来处理所述非晶硅材料的表面及所述绝缘体材料的表面中的至少一者。
8.根据权利要求6所述的方法,其中形成包括形成于第一半导体衬底上方的绝缘体材料的受体晶片包括:在包括结晶硅的第一半导体衬底上方形成氧化物材料。
9.根据权利要求6所述的方法,其中形成包括前驱物半导体衬底上方的导电材料、所述导电材料上方的非晶硅材料及所述前驱物半导体衬底内的经植入分区的施主晶片包括:在结晶硅衬底上方形成导电材料、在所述导电材料上方形成非晶硅材料且在所述结晶硅衬底内形成植入有氢的分区。
10.根据权利要求6所述的方法,其中形成施主晶片进一步包括:在所述导电材料与所述前驱物半导体衬底之间形成电介质材料。
11.根据权利要求6所述的方法,其中形成包括前驱物半导体衬底上方的导电材料的施主晶片包括:在所述前驱物半导体衬底上方形成非反应性导电材料且在所述非反应性导电材料上方形成反应性导电材料,并使所述反应性导电材料与所述施主晶片的所述非晶硅材料发生反应以形成导电硅化物材料。
12.根据权利要求6所述的方法,其中在所述前驱物半导体衬底上方形成所述导电材料包括:
在所述前驱物半导体衬底上方沉积所述导电材料;
在所述导电材料上方形成帽盖材料;
图案化所述帽盖材料及所述导电材料;以及
在经图案化的所述帽盖材料与经图案化的所述导电材料的邻近部分之间形成电介质材料。
13.根据权利要求6所述的方法,其中形成所述施主晶片进一步包括:在所述导电材料与所述非晶硅材料之间形成外延硅材料的至少一个部分及硅-锗材料的至少一个部分。
14.根据权利要求6所述的方法,其中形成所述施主晶片进一步包括:在所述导电材料与所述非晶硅材料之间形成氧化物材料的至少一个部分及氮化物材料的至少一个部分。
15.一种制作半导体装置的方法,其包括:
形成包括形成于第一半导体衬底上方的绝缘体材料的受体晶片;
形成包括前驱物半导体衬底上方的导电材料、所述导电材料上方的非晶硅材料及所述前驱物半导体衬底内的经植入分区的施主晶片;
将所述施主晶片的所述非晶硅材料接合到所述受体晶片的所述绝缘体材料;
移除所述前驱物半导体衬底的接近所述经植入分区的一部分以形成第二半导体衬底;以及
在所述第二半导体衬底上制作至少一个存储器单元。
16.根据权利要求15所述的方法,其进一步包括:图案化所述导电材料以形成掩埋式字线及掩埋式位线中的至少一者。
17.根据权利要求15所述的方法,其中在所述第二半导体衬底上制作至少一个存储器单元包括:
由所述第二半导体衬底的在若干侧及底部上由额外绝缘体材料大致包围的一部分形成作用区域;
在所述作用区域上形成高k栅极电介质及金属栅极;以及
在所述作用区域中形成源极区及漏极区。
18.根据权利要求15所述的方法,其中在所述第二半导体衬底上制作至少一个存储器单元包括:
蚀刻所述第二半导体衬底以形成至少一个柱;
在所述至少一个柱中形成源极区、作用区域及漏极区;以及
在所述作用区域上形成栅极电介质及栅极。
19.根据权利要求15所述的方法,其中在所述第二半导体衬底上制作至少一个存储器单元包括:
在所述第二半导体衬底中形成二极管;以及
在所述二极管上形成底部电极、存储器媒体及顶部电极。
20.一种用于制作绝缘体上半导体金属结构的方法,其包括:
形成包括形成于第一半导体衬底上方的绝缘体材料的受体晶片;
形成包括前驱物半导体衬底上方的导电材料、所述导电材料上方的非晶锗材料及所述前驱物半导体衬底内的经植入分区的施主晶片;
将所述施主晶片的所述非晶锗材料接合到所述受体晶片的所述绝缘体材料;以及
移除所述前驱物半导体衬底的接近所述前驱物半导体衬底内的所述经植入分区的一部分。
CN201180011630.3A 2010-03-02 2011-02-10 绝缘体上半导体金属结构、形成此些结构的方法及包含此些结构的半导体装置 Active CN102782850B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/715,704 US9608119B2 (en) 2010-03-02 2010-03-02 Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US12/715,704 2010-03-02
PCT/US2011/024354 WO2011109146A2 (en) 2010-03-02 2011-02-10 Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures

Publications (2)

Publication Number Publication Date
CN102782850A CN102782850A (zh) 2012-11-14
CN102782850B true CN102782850B (zh) 2015-07-15

Family

ID=44530576

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180011630.3A Active CN102782850B (zh) 2010-03-02 2011-02-10 绝缘体上半导体金属结构、形成此些结构的方法及包含此些结构的半导体装置

Country Status (8)

Country Link
US (2) US9608119B2 (zh)
EP (1) EP2543069A4 (zh)
JP (1) JP5671070B2 (zh)
KR (1) KR101430855B1 (zh)
CN (1) CN102782850B (zh)
SG (2) SG183452A1 (zh)
TW (1) TWI466256B (zh)
WO (1) WO2011109146A2 (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8513722B2 (en) 2010-03-02 2013-08-20 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US9646869B2 (en) * 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US8288795B2 (en) 2010-03-02 2012-10-16 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
KR101670451B1 (ko) * 2010-03-12 2016-10-31 삼성전자주식회사 도전막 매립형 기판, 그 형성 방법, 반도체 소자 및 그 제조 방법
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
US8519431B2 (en) 2011-03-08 2013-08-27 Micron Technology, Inc. Thyristors
US8772848B2 (en) 2011-07-26 2014-07-08 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
KR20130113032A (ko) * 2012-04-05 2013-10-15 에스케이하이닉스 주식회사 반도체 기판, 이를 갖는 반도체 칩 및 적층 반도체 패키지
US9709740B2 (en) * 2012-06-04 2017-07-18 Micron Technology, Inc. Method and structure providing optical isolation of a waveguide on a silicon-on-insulator substrate
SG11201407282XA (en) * 2012-07-31 2015-01-29 Univ Nanyang Tech Semiconductor device and method for forming the same
TWI566328B (zh) * 2013-07-29 2017-01-11 高效電源轉換公司 具有用於產生附加構件之多晶矽層的氮化鎵電晶體
US20150171321A1 (en) * 2013-12-13 2015-06-18 Micron Technology, Inc. Methods of forming metal on inhomogeneous surfaces and structures incorporating metal on inhomogeneous surfaces
KR102206378B1 (ko) * 2014-06-13 2021-01-22 인텔 코포레이션 웨이퍼 본딩을 위한 표면 캡슐화
JP6165127B2 (ja) * 2014-12-22 2017-07-19 三菱重工工作機械株式会社 半導体装置及び半導体装置の製造方法
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
EP3326203B1 (en) 2015-07-24 2024-03-06 Artilux, Inc. Multi-wafer based light absorption apparatus and applications thereof
US10644187B2 (en) 2015-07-24 2020-05-05 Artilux, Inc. Multi-wafer based light absorption apparatus and applications thereof
CN111433918B (zh) * 2018-02-12 2024-01-23 趣眼有限公司 在短波红外线内针对cmos成像器的绝缘体上的锗
US11658208B2 (en) * 2018-03-20 2023-05-23 Intel Corporation Thin film transistors for high voltage applications
FR3079346B1 (fr) * 2018-03-26 2020-05-29 Soitec Procede de fabrication d'un substrat donneur pour le transfert d'une couche piezoelectrique, et procede de transfert d'une telle couche piezoelectrique
KR102558816B1 (ko) 2020-01-07 2023-07-21 양쯔 메모리 테크놀로지스 씨오., 엘티디. 금속-유전체 결합 방법 및 구조

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6255731B1 (en) * 1997-07-30 2001-07-03 Canon Kabushiki Kaisha SOI bonding structure
CN101405849A (zh) * 2006-03-16 2009-04-08 美光科技公司 具有基于碳化硅的非晶硅薄膜晶体管的堆叠非易失性存储器及其制造方法

Family Cites Families (283)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3988771A (en) 1974-05-28 1976-10-26 General Electric Company Spatial control of lifetime in semiconductor device
US4487639A (en) 1980-09-26 1984-12-11 Texas Instruments Incorporated Localized epitaxy for VLSI devices
US5106776A (en) * 1988-06-01 1992-04-21 Texas Instruments Incorporated Method of making high performance composed pillar dRAM cell
JPH0750772B2 (ja) 1989-01-24 1995-05-31 富士通株式会社 半導体装置およびその製造方法
US5102025A (en) * 1989-12-01 1992-04-07 Gary Weldele Computer tractor rail paper margin stripper
JPH0464249A (ja) 1990-07-04 1992-02-28 Fujitsu Ltd Soi基板の製造方法
DE69133311T2 (de) 1990-10-15 2004-06-24 Aptix Corp., San Jose Verbindungssubstrat mit integrierter Schaltung zur programmierbaren Verbindung und Probenuntersuchung
JP3081967B2 (ja) 1990-11-21 2000-08-28 富士通株式会社 シリコンオンインシュレータ基板の製造方法
US5102821A (en) 1990-12-20 1992-04-07 Texas Instruments Incorporated SOI/semiconductor heterostructure fabrication by wafer bonding of polysilicon to titanium
US5098861A (en) * 1991-01-08 1992-03-24 Unitrode Corporation Method of processing a semiconductor substrate including silicide bonding
JPH04283914A (ja) 1991-03-12 1992-10-08 Fujitsu Ltd 貼り合わせ半導体基板とその製造方法
WO1992017900A1 (en) * 1991-04-03 1992-10-15 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
FR2681472B1 (fr) 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
US5465249A (en) 1991-11-26 1995-11-07 Cree Research, Inc. Nonvolatile random access memory device having transistor and capacitor made in silicon carbide substrate
US5412598A (en) * 1992-04-27 1995-05-02 The University Of British Columbia Bistable four layer device, memory cell, and method for storing and retrieving binary information
JPH06104446A (ja) 1992-09-22 1994-04-15 Toshiba Corp 半導体装置
JPH0798460A (ja) * 1992-10-21 1995-04-11 Seiko Instr Inc 半導体装置及び光弁装置
US5260233A (en) 1992-11-06 1993-11-09 International Business Machines Corporation Semiconductor device and wafer structure having a planar buried interconnect by wafer bonding
US5600160A (en) * 1993-04-14 1997-02-04 Hvistendahl; Douglas D. Multichannel field effect device
US5510630A (en) * 1993-10-18 1996-04-23 Westinghouse Electric Corporation Non-volatile random access memory cell constructed of silicon carbide
US5471039A (en) 1994-06-22 1995-11-28 Panda Eng. Inc. Electronic validation machine for documents
JPH0888153A (ja) 1994-09-19 1996-04-02 Toshiba Corp 積層構造ウェハおよびその形成方法
DE4433845A1 (de) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
FR2729008B1 (fr) * 1994-12-30 1997-03-21 Sgs Thomson Microelectronics Circuit integre de puissance
US5981992A (en) * 1995-06-07 1999-11-09 International Business Machines Corporation Mechanical supports for very thin stacked capacitor plates
US6750091B1 (en) 1996-03-01 2004-06-15 Micron Technology Diode formation method
WO1997047041A2 (en) * 1996-06-05 1997-12-11 Philips Electronics N.V. Programmable, non-volatile memory device, and method of manufacturing such a device
US5920105A (en) * 1996-09-19 1999-07-06 Fujitsu Limited Compound semiconductor field effect transistor having an amorphous gas gate insulation layer
US7052941B2 (en) 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
US8018058B2 (en) 2004-06-21 2011-09-13 Besang Inc. Semiconductor memory device
US7888764B2 (en) 2003-06-24 2011-02-15 Sang-Yun Lee Three-dimensional integrated circuit structure
US7470598B2 (en) 2004-06-21 2008-12-30 Sang-Yun Lee Semiconductor layer structure and method of making the same
FR2755537B1 (fr) 1996-11-05 1999-03-05 Commissariat Energie Atomique Procede de fabrication d'un film mince sur un support et structure ainsi obtenue
JPH10150176A (ja) 1996-11-15 1998-06-02 Tadahiro Omi 半導体基体とその作製方法
US5874760A (en) * 1997-01-22 1999-02-23 International Business Machines Corporation 4F-square memory cell having vertical floating-gate transistors with self-aligned shallow trench isolation
US6225151B1 (en) * 1997-06-09 2001-05-01 Advanced Micro Devices, Inc. Nitrogen liner beneath transistor source/drain regions to retard dopant diffusion
US5936274A (en) 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US5909618A (en) * 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
JP4623451B2 (ja) 1997-07-30 2011-02-02 忠弘 大見 半導体基板及びその作製方法
FR2767416B1 (fr) 1997-08-12 1999-10-01 Commissariat Energie Atomique Procede de fabrication d'un film mince de materiau solide
FR2773261B1 (fr) 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
US5904507A (en) * 1998-02-23 1999-05-18 National Semiconductor Corporation Programmable anti-fuses using laser writing
US6242775B1 (en) 1998-02-24 2001-06-05 Micron Technology, Inc. Circuits and methods using vertical complementary transistors
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6365488B1 (en) 1998-03-05 2002-04-02 Industrial Technology Research Institute Method of manufacturing SOI wafer with buried layer
EP0945901A1 (de) * 1998-03-23 1999-09-29 Siemens Aktiengesellschaft DRAM-Zellenanordnung mit vertikalen Transistoren und Verfahren zu deren Herstellung
US6225165B1 (en) * 1998-05-13 2001-05-01 Micron Technology, Inc. High density SRAM cell with latched vertical transistors
US6545297B1 (en) 1998-05-13 2003-04-08 Micron Technology, Inc. High density vertical SRAM cell using bipolar latchup induced by gated diode breakdown
US6229161B1 (en) 1998-06-05 2001-05-08 Stanford University Semiconductor capacitively-coupled NDR device and its applications in high-density high-speed memories and in power switches
US6137128A (en) 1998-06-09 2000-10-24 International Business Machines Corporation Self-isolated and self-aligned 4F-square vertical fet-trench dram cells
JP4476390B2 (ja) * 1998-09-04 2010-06-09 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6245663B1 (en) * 1998-09-30 2001-06-12 Conexant Systems, Inc. IC interconnect structures and methods for making same
US20040017721A1 (en) 1998-10-30 2004-01-29 Schwabe Nikolai Franz Gregoe Magnetic storage device
US5977579A (en) * 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
JP2000208771A (ja) 1999-01-11 2000-07-28 Hitachi Ltd 半導体装置、液晶表示装置およびこれらの製造方法
US6288954B1 (en) 1999-01-19 2001-09-11 Micron Technology, Inc. Integrated circuit having an on-board reference generator
JP3911585B2 (ja) 1999-05-18 2007-05-09 富士通株式会社 半導体装置およびその製造方法
US6690038B1 (en) * 1999-06-05 2004-02-10 T-Ram, Inc. Thyristor-based device over substrate surface
FR2795865B1 (fr) 1999-06-30 2001-08-17 Commissariat Energie Atomique Procede de realisation d'un film mince utilisant une mise sous pression
US6225162B1 (en) * 1999-07-06 2001-05-01 Taiwan Semiconductor Manufacturing Company Step-shaped floating poly-si gate to improve gate coupling ratio for flash memory application
US6355520B1 (en) 1999-08-16 2002-03-12 Infineon Technologies Ag Method for fabricating 4F2 memory cells with improved gate conductor structure
US6391658B1 (en) * 1999-10-26 2002-05-21 International Business Machines Corporation Formation of arrays of microelectronic elements
US6902987B1 (en) * 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
KR100392166B1 (ko) 2000-03-17 2003-07-22 가부시끼가이샤 도시바 반도체 장치의 제조 방법 및 반도체 장치
US6434932B2 (en) 2000-03-23 2002-08-20 Minolta Co., Ltd. Control mechanism with actuator employing shape memory alloy and method for adjusting servo control of the control mechanism
US6797604B2 (en) 2000-05-08 2004-09-28 International Business Machines Corporation Method for manufacturing device substrate with metal back-gate and structure formed thereby
JP2002359247A (ja) * 2000-07-10 2002-12-13 Canon Inc 半導体部材、半導体装置およびそれらの製造方法
AU2001286432A1 (en) 2000-08-14 2002-02-25 Matrix Semiconductor, Inc. Dense arrays and charge storage devices, and methods for making same
US6621725B2 (en) 2000-08-17 2003-09-16 Kabushiki Kaisha Toshiba Semiconductor memory device with floating storage bulk region and method of manufacturing the same
US6600173B2 (en) 2000-08-30 2003-07-29 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
US6355501B1 (en) 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
US6583440B2 (en) * 2000-11-30 2003-06-24 Seiko Epson Corporation Soi substrate, element substrate, semiconductor device, electro-optical apparatus, electronic equipment, method of manufacturing the soi substrate, method of manufacturing the element substrate, and method of manufacturing the electro-optical apparatus
FR2818010B1 (fr) 2000-12-08 2003-09-05 Commissariat Energie Atomique Procede de realisation d'une couche mince impliquant l'introduction d'especes gazeuses
US6559471B2 (en) * 2000-12-08 2003-05-06 Motorola, Inc. Quantum well infrared photodetector and method for fabricating same
JP2002184993A (ja) 2000-12-11 2002-06-28 Sony Corp 半導体装置
US6576944B2 (en) * 2000-12-14 2003-06-10 Infineon Technologies Ag Self-aligned nitride pattern for improved process window
US6635550B2 (en) 2000-12-20 2003-10-21 Texas Instruments Incorporated Semiconductor on insulator device architecture and method of construction
US6570208B2 (en) * 2001-01-18 2003-05-27 International Business Machines Corporation 6F2 Trench EDRAM cell with double-gated vertical MOSFET and self-aligned STI
US6713791B2 (en) * 2001-01-26 2004-03-30 Ibm Corporation T-RAM array having a planar cell structure and method for fabricating the same
US6891205B1 (en) * 2001-03-22 2005-05-10 T-Ram, Inc. Stability in thyristor-based memory device
US7456439B1 (en) * 2001-03-22 2008-11-25 T-Ram Semiconductor, Inc. Vertical thyristor-based memory with trench isolation and its method of fabrication
US6897514B2 (en) 2001-03-28 2005-05-24 Matrix Semiconductor, Inc. Two mask floating gate EEPROM and method of making
KR100419021B1 (ko) 2001-03-30 2004-02-19 주식회사 하이닉스반도체 반도체소자의 구리 배선 제조방법
US6492662B2 (en) 2001-04-16 2002-12-10 Ibm Corporation T-RAM structure having dual vertical devices and method for fabricating the same
US6627924B2 (en) 2001-04-30 2003-09-30 Ibm Corporation Memory system capable of operating at high temperatures and method for fabricating the same
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
DE10128718B4 (de) 2001-06-13 2005-10-06 Infineon Technologies Ag Grabenkondensator einer DRAM-Speicherzelle mit metallischem Collarbereich und nicht-metallischer Leitungsbrücke zum Auswahltransistor
US6906354B2 (en) 2001-06-13 2005-06-14 International Business Machines Corporation T-RAM cell having a buried vertical thyristor and a pseudo-TFT transfer gate and method for fabricating the same
US6777745B2 (en) 2001-06-14 2004-08-17 General Semiconductor, Inc. Symmetric trench MOSFET device and method of making same
JP3647777B2 (ja) * 2001-07-06 2005-05-18 株式会社東芝 電界効果トランジスタの製造方法及び集積回路素子
JP2003030980A (ja) 2001-07-13 2003-01-31 Toshiba Corp 半導体記憶装置
DE10136544B4 (de) * 2001-07-26 2004-02-12 Infineon Technologies Ag Integrierter dynamischer Speicher und Betriebsverfahren
US6525953B1 (en) 2001-08-13 2003-02-25 Matrix Semiconductor, Inc. Vertically-stacked, field-programmable, nonvolatile memory and method of fabrication
US6593624B2 (en) * 2001-09-25 2003-07-15 Matrix Semiconductor, Inc. Thin film transistors with vertically offset drain regions
US6841813B2 (en) * 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
US6815781B2 (en) 2001-09-25 2004-11-09 Matrix Semiconductor, Inc. Inverted staggered thin film transistor with salicided source/drain structures and method of making same
EP1453094A4 (en) * 2001-11-07 2006-08-23 Shindengen Electric Mfg NOSE GUARD SEMICONDUCTOR COMPONENT
US6576532B1 (en) * 2001-11-30 2003-06-10 Motorola Inc. Semiconductor device and method therefor
US7081663B2 (en) * 2002-01-18 2006-07-25 National Semiconductor Corporation Gate-enhanced junction varactor with gradual capacitance variation
US20030186521A1 (en) 2002-03-29 2003-10-02 Kub Francis J. Method of transferring thin film functional material to a semiconductor substrate or optimized substrate using a hydrogen ion splitting technique
US6872645B2 (en) 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US7452757B2 (en) * 2002-05-07 2008-11-18 Asm America, Inc. Silicon-on-insulator structures and methods
US7042749B2 (en) 2002-05-16 2006-05-09 Micron Technology, Inc. Stacked 1T-nmemory cell structure
US6940748B2 (en) 2002-05-16 2005-09-06 Micron Technology, Inc. Stacked 1T-nMTJ MRAM structure
JP2004003398A (ja) 2002-05-31 2004-01-08 Shin Caterpillar Mitsubishi Ltd 建設機械
US6781907B2 (en) 2002-06-06 2004-08-24 Micron Technology, Inc. Temperature compensated T-RAM memory device and method
US6764774B2 (en) * 2002-06-19 2004-07-20 International Business Machines Corporation Structures with improved adhesion to Si and C containing dielectrics and method for preparing the same
US6914286B2 (en) * 2002-06-27 2005-07-05 Samsung Electronics Co., Ltd. Semiconductor memory devices using sidewall spacers
KR100738065B1 (ko) * 2002-07-10 2007-07-10 삼성전자주식회사 한 개의 트랜지스터와 데이터 저장 수단으로 한 개의저항체를구비하는 메모리 소자 및 그 구동 방법
JP3621695B2 (ja) * 2002-07-29 2005-02-16 株式会社東芝 半導体装置及び素子形成用基板
WO2004015764A2 (en) * 2002-08-08 2004-02-19 Leedy Glenn J Vertical system integration
US6838723B2 (en) 2002-08-29 2005-01-04 Micron Technology, Inc. Merged MOS-bipolar capacitor memory cell
US7224024B2 (en) 2002-08-29 2007-05-29 Micron Technology, Inc. Single transistor vertical memory gain cell
TWI320571B (en) * 2002-09-12 2010-02-11 Qs Semiconductor Australia Pty Ltd Dynamic nonvolatile random access memory ne transistor cell and random access memory array
US6690039B1 (en) * 2002-10-01 2004-02-10 T-Ram, Inc. Thyristor-based device that inhibits undesirable conductive channel formation
US6953953B1 (en) 2002-10-01 2005-10-11 T-Ram, Inc. Deep trench isolation for thyristor-based semiconductor device
US6965129B1 (en) 2002-11-06 2005-11-15 T-Ram, Inc. Thyristor-based device having dual control ports
US7710771B2 (en) 2002-11-20 2010-05-04 The Regents Of The University Of California Method and apparatus for capacitorless double-gate storage
JP3813123B2 (ja) * 2002-12-25 2006-08-23 株式会社沖データ 半導体装置及びledヘッド
US6812504B2 (en) 2003-02-10 2004-11-02 Micron Technology, Inc. TFT-based random access memory cells comprising thyristors
US6768156B1 (en) * 2003-02-10 2004-07-27 Micron Technology, Inc. Non-volatile random access memory cells associated with thin film constructions
US6713810B1 (en) * 2003-02-10 2004-03-30 Micron Technology, Inc. Non-volatile devices, and electronic systems comprising non-volatile devices
JP2004247545A (ja) * 2003-02-14 2004-09-02 Nissan Motor Co Ltd 半導体装置及びその製造方法
US6956256B2 (en) 2003-03-04 2005-10-18 Micron Technology Inc. Vertical gain cell
US6845034B2 (en) * 2003-03-11 2005-01-18 Micron Technology, Inc. Electronic systems, constructions for detecting properties of objects, and assemblies for identifying persons
JP4062262B2 (ja) 2003-03-20 2008-03-19 松下電器産業株式会社 ヘッド支持装置およびそれを用いたディスク装置
WO2004090984A1 (en) 2003-04-03 2004-10-21 Kabushiki Kaisha Toshiba Phase change memory device
US20040228168A1 (en) 2003-05-13 2004-11-18 Richard Ferrant Semiconductor memory device and method of operating same
US6958513B2 (en) 2003-06-06 2005-10-25 Chih-Hsin Wang Floating-gate memory cell having trench structure with ballistic-charge injector, and the array of memory cells
JP3933608B2 (ja) 2003-06-30 2007-06-20 株式会社東芝 半導体記憶装置及び半導体集積回路
JP4282388B2 (ja) 2003-06-30 2009-06-17 株式会社東芝 半導体記憶装置
US8125003B2 (en) * 2003-07-02 2012-02-28 Micron Technology, Inc. High-performance one-transistor memory cell
US6921692B2 (en) 2003-07-07 2005-07-26 Micron Technology, Inc. Methods of forming memory circuitry
US7018873B2 (en) * 2003-08-13 2006-03-28 International Business Machines Corporation Method of making a device threshold control of front-gate silicon-on-insulator MOSFET using a self-aligned back-gate
US7205185B2 (en) * 2003-09-15 2007-04-17 International Busniess Machines Corporation Self-aligned planar double-gate process by self-aligned oxidation
US6890819B2 (en) 2003-09-18 2005-05-10 Macronix International Co., Ltd. Methods for forming PN junction, one-time programmable read-only memory and fabricating processes thereof
US7195959B1 (en) * 2004-10-04 2007-03-27 T-Ram Semiconductor, Inc. Thyristor-based semiconductor device and method of fabrication
US7180135B1 (en) * 2003-10-06 2007-02-20 George Mason Intellectual Properties, Inc. Double gate (DG) SOI ratioed logic with intrinsically on symmetric DG-MOSFET load
US6888199B2 (en) 2003-10-07 2005-05-03 International Business Machines Corporation High-density split-gate FinFET
JP4044510B2 (ja) 2003-10-30 2008-02-06 株式会社東芝 半導体集積回路装置
EP1530229B1 (en) * 2003-11-04 2012-04-04 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Beam optical component for charged particle beams
JP2005150156A (ja) * 2003-11-11 2005-06-09 Toshiba Corp 磁気記憶装置
US7304327B1 (en) 2003-11-12 2007-12-04 T-Ram Semiconductor, Inc. Thyristor circuit and approach for temperature stability
US7268373B1 (en) 2003-11-12 2007-09-11 T-Ram Semiconductor, Inc. Thyristor-based memory and its method of operation
JP2005150393A (ja) * 2003-11-14 2005-06-09 Sharp Corp 受発光素子用サブマウント
US7015092B2 (en) * 2003-12-18 2006-03-21 Infineon Technologies North America Corp. Methods for forming vertical gate transistors providing improved isolation and alignment of vertical gate contacts
US6878991B1 (en) 2004-01-30 2005-04-12 Micron Technology, Inc. Vertical device 4F2 EEPROM memory
US20060010056A1 (en) 2004-02-12 2006-01-12 De La Motte Alain L System and method for high-yield returns in riskless-principal interest rate/yield arbitrage
US7075146B2 (en) 2004-02-24 2006-07-11 Micron Technology, Inc. 4F2 EEPROM NROM memory arrays with vertical devices
US6995456B2 (en) 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
US7049654B2 (en) * 2004-03-31 2006-05-23 Intel Corporation Memory with split gate devices and method of fabrication
WO2005104192A2 (en) * 2004-04-21 2005-11-03 California Institute Of Technology A METHOD FOR THE FABRICATION OF GaAs/Si AND RELATED WAFER BONDED VIRTUAL SUBSTRATES
JP4429798B2 (ja) 2004-05-12 2010-03-10 富士通マイクロエレクトロニクス株式会社 フィン型チャネルfetを用いたシステムlsi及びその製造方法
US7935836B2 (en) * 2004-05-18 2011-05-03 Alexander Graham Fallis Compounds comprising a linear series of five fused carbon rings, and preparation thereof
US20050261513A1 (en) * 2004-05-18 2005-11-24 Womack Gary B Process for producing indenol esters or ethers
US7112997B1 (en) 2004-05-19 2006-09-26 Altera Corporation Apparatus and methods for multi-gate silicon-on-insulator transistors
US8399934B2 (en) * 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US7141476B2 (en) * 2004-06-18 2006-11-28 Freescale Semiconductor, Inc. Method of forming a transistor with a bottom gate
US7120048B2 (en) 2004-06-21 2006-10-10 Honeywell International Inc. Nonvolatile memory vertical ring bit and write-read structure
FR2872627B1 (fr) 2004-06-30 2006-08-18 Commissariat Energie Atomique Assemblage par adhesion moleculaire de deux substrats
US9231201B2 (en) 2004-06-30 2016-01-05 Nxp B.V. Electric device with a layer of conductive material contacted by nanowires
FR2872625B1 (fr) 2004-06-30 2006-09-22 Commissariat Energie Atomique Assemblage par adhesion moleculaire de deux substrats, l'un au moins supportant un film conducteur electrique
US7518182B2 (en) * 2004-07-20 2009-04-14 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US20060034116A1 (en) * 2004-08-13 2006-02-16 Lam Chung H Cross point array cell with series connected semiconductor diode and phase change storage media
US7145186B2 (en) 2004-08-24 2006-12-05 Micron Technology, Inc. Memory cell with trenched gated thyristor
US7365385B2 (en) * 2004-08-30 2008-04-29 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US7458439B2 (en) 2004-08-31 2008-12-02 Caterpillar Inc. Machine control pedestal
US7259415B1 (en) 2004-09-02 2007-08-21 Micron Technology, Inc. Long retention time single transistor vertical memory gain cell
US7271052B1 (en) 2004-09-02 2007-09-18 Micron Technology, Inc. Long retention time single transistor vertical memory gain cell
CN1606170A (zh) 2004-09-24 2005-04-13 中国科学院物理研究所 基于双势垒隧道结共振隧穿效应的晶体管
US7566974B2 (en) * 2004-09-29 2009-07-28 Sandisk 3D, Llc Doped polysilicon via connecting polysilicon layers
JP4083160B2 (ja) 2004-10-04 2008-04-30 株式会社東芝 半導体記憶装置およびfbcメモリセルの駆動方法
US7476939B2 (en) 2004-11-04 2009-01-13 Innovative Silicon Isi Sa Memory cell having an electrically floating body transistor and programming technique therefor
EP1667223B1 (en) 2004-11-09 2009-01-07 S.O.I. Tec Silicon on Insulator Technologies S.A. Method for manufacturing compound material wafers
KR100618877B1 (ko) * 2004-11-19 2006-09-08 삼성전자주식회사 멀티비트 비휘발성 메모리 소자, 그 동작 방법 및 그 제조방법
US7326969B1 (en) * 2004-12-02 2008-02-05 T-Ram Semiconductor, Inc. Semiconductor device incorporating thyristor-based memory and strained silicon
US7173312B2 (en) * 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
KR100663359B1 (ko) * 2005-03-31 2007-01-02 삼성전자주식회사 리세스 채널 트랜지스터 구조를 갖는 단일 트랜지스터플로팅 바디 디램 셀 및 그 제조방법
US7816728B2 (en) 2005-04-12 2010-10-19 International Business Machines Corporation Structure and method of fabricating high-density trench-based non-volatile random access SONOS memory cells for SOC applications
KR100702014B1 (ko) 2005-05-03 2007-03-30 삼성전자주식회사 수직 채널 트랜지스터 구조를 갖는 단일 트랜지스터 플로팅바디 디램 소자들 및 그 제조방법들
US7279740B2 (en) 2005-05-12 2007-10-09 Micron Technology, Inc. Band-engineered multi-gated non-volatile memory device with enhanced attributes
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
JP4696964B2 (ja) * 2005-07-15 2011-06-08 ソニー株式会社 メモリ用の半導体装置
US7579623B2 (en) * 2005-07-22 2009-08-25 Translucent, Inc. Stacked transistors and process
US7768051B2 (en) * 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7538000B2 (en) 2005-07-28 2009-05-26 Freescale Semiconductor, Inc. Method of forming double gate transistors having varying gate dielectric thicknesses
US7511332B2 (en) * 2005-08-29 2009-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical flash memory
US20070047364A1 (en) 2005-08-31 2007-03-01 International Business Machines Corporation Methods and apparatus for varying a supply voltage or reference voltage using independent control of diode voltage in asymmetrical double-gate devices
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
KR100673012B1 (ko) 2005-09-02 2007-01-24 삼성전자주식회사 이중 게이트형 수직 채널 트랜지스터들을 구비하는다이내믹 랜덤 억세스 메모리 장치 및 그 제조 방법
US7227233B2 (en) 2005-09-12 2007-06-05 International Business Machines Corporation Silicon-on-insulator (SOI) Read Only Memory (ROM) array and method of making a SOI ROM
JP4599259B2 (ja) * 2005-09-20 2010-12-15 株式会社東芝 磁気素子及びこれを用いた磁気信号処理装置
KR100675285B1 (ko) 2005-10-10 2007-01-29 삼성전자주식회사 수직 트랜지스터를 갖는 반도체소자 및 그 제조방법
KR100660881B1 (ko) 2005-10-12 2006-12-26 삼성전자주식회사 수직 채널 트랜지스터를 구비한 반도체 소자 및 그 제조방법
KR100663368B1 (ko) * 2005-12-07 2007-01-02 삼성전자주식회사 반도체 메모리 장치 및 이 장치의 데이터 라이트 및 리드방법
US7786505B1 (en) 2005-12-16 2010-08-31 T-Ram Semiconductor, Inc. Reduction of charge leakage from a thyristor-based memory cell
US7563681B2 (en) * 2006-01-27 2009-07-21 Freescale Semiconductor, Inc. Double-gated non-volatile memory and methods for forming thereof
JP5011748B2 (ja) 2006-02-24 2012-08-29 株式会社デンソー 半導体装置
CN100454921C (zh) 2006-03-29 2009-01-21 华为技术有限公司 一种数字版权保护方法及系统
US8501581B2 (en) 2006-03-29 2013-08-06 Micron Technology, Inc. Methods of forming semiconductor constructions
US8008144B2 (en) 2006-05-11 2011-08-30 Micron Technology, Inc. Dual work function recessed access device and methods of forming
US20080003778A1 (en) * 2006-06-13 2008-01-03 Rensselaer Polytechnic Institute Low-temperature welding with nano structures
JP2008010503A (ja) 2006-06-27 2008-01-17 Toshiba Corp 半導体記憶装置およびその製造方法
KR100745934B1 (ko) * 2006-06-30 2007-08-02 주식회사 하이닉스반도체 반도체 소자 및 그의 형성 방법
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7410856B2 (en) 2006-09-14 2008-08-12 Micron Technology, Inc. Methods of forming vertical transistors
US7851859B2 (en) 2006-11-01 2010-12-14 Samsung Electronics Co., Ltd. Single transistor memory device having source and drain insulating regions and method of fabricating the same
US7592209B2 (en) 2006-11-13 2009-09-22 Intel Corporation Integration of a floating body memory on SOI with logic transistors on bulk substrate
US7619917B2 (en) 2006-11-28 2009-11-17 Qimonda North America Corp. Memory cell with trigger element
JP2008135670A (ja) * 2006-11-29 2008-06-12 Elpida Memory Inc 半導体装置およびその製造方法
US8217435B2 (en) * 2006-12-22 2012-07-10 Intel Corporation Floating body memory cell having gates favoring different conductivity type regions
US7888742B2 (en) * 2007-01-10 2011-02-15 International Business Machines Corporation Self-aligned metal-semiconductor alloy and metallization for sub-lithographic source and drain contacts
JP2008177273A (ja) 2007-01-17 2008-07-31 Toshiba Corp 半導体記憶装置及び半導体記憶装置の製造方法
KR100852233B1 (ko) * 2007-02-21 2008-08-13 삼성전자주식회사 수직형 다이오드의 형성 방법 및 이를 이용하는 상변화메모리 장치의 제조 방법
US8368137B2 (en) 2007-06-26 2013-02-05 Sandisk Technologies Inc. Dual bit line metal layers for non-volatile memory
US7816216B2 (en) * 2007-07-09 2010-10-19 Micron Technology, Inc. Semiconductor device comprising transistor structures and methods for forming same
US8159035B2 (en) * 2007-07-09 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gates of PMOS devices having high work functions
US7969808B2 (en) 2007-07-20 2011-06-28 Samsung Electronics Co., Ltd. Memory cell structures, memory arrays, memory devices, memory controllers, and memory systems, and methods of manufacturing and operating the same
KR100881825B1 (ko) * 2007-07-27 2009-02-03 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
JP4580966B2 (ja) * 2007-08-24 2010-11-17 株式会社東芝 ホイスラー合金を有する積層体、この積層体を用いたスピンmos電界効果トランジスタ及びトンネル磁気抵抗効果素子
US9129845B2 (en) 2007-09-19 2015-09-08 Micron Technology, Inc. Buried low-resistance metal word lines for cross-point variable-resistance material memories
US7439149B1 (en) 2007-09-26 2008-10-21 International Business Machines Corporation Structure and method for forming SOI trench memory with single-sided strap
US20090108351A1 (en) 2007-10-26 2009-04-30 International Business Machines Corporation Finfet memory device with dual separate gates and method of operation
US7719869B2 (en) * 2007-11-19 2010-05-18 Qimonda Ag Memory cell array comprising floating body memory cells
KR101027345B1 (ko) 2007-11-26 2011-04-11 주식회사 하이닉스반도체 핀 커패시턴스를 조절할 수 있는 정전기 방전 장치
KR20090054245A (ko) * 2007-11-26 2009-05-29 삼성전자주식회사 플로팅 바디 디램 소자 및 그 제조 방법
DE102007057728B4 (de) * 2007-11-30 2014-04-30 Infineon Technologies Ag Verfahren zur Herstellung eines Halbleiterbauelements mit einer Kurzschlusstruktur
US7940558B2 (en) 2007-12-21 2011-05-10 Qimonda Ag Integrated circuit comprising a thyristor and method of controlling a memory cell comprising a thyristor
KR100950472B1 (ko) 2007-12-28 2010-03-31 주식회사 하이닉스반도체 4f2 트랜지스터를 갖는 반도체 소자의 제조방법
US8558220B2 (en) 2007-12-31 2013-10-15 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element formed over a bottom conductor and methods of forming the same
US20090173984A1 (en) * 2008-01-08 2009-07-09 Qimonda Ag Integrated circuit and method of manufacturing an integrated circuit
US20090179262A1 (en) 2008-01-16 2009-07-16 Qimonda Ag Floating Body Memory Cell with a Non-Overlapping Gate Electrode
US7795691B2 (en) * 2008-01-25 2010-09-14 Cree, Inc. Semiconductor transistor with P type re-grown channel layer
US8014195B2 (en) 2008-02-06 2011-09-06 Micron Technology, Inc. Single transistor memory cell
US7742324B2 (en) 2008-02-19 2010-06-22 Micron Technology, Inc. Systems and devices including local data lines and methods of using, making, and operating the same
US20090246952A1 (en) 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US8030634B2 (en) 2008-03-31 2011-10-04 Macronix International Co., Ltd. Memory array with diode driver and method for fabricating the same
US7910451B2 (en) 2008-04-04 2011-03-22 International Business Machines Corporation Simultaneous buried strap and buried contact via formation for SOI deep trench capacitor
US7646847B2 (en) 2008-05-01 2010-01-12 Bruker Axs Inc. Handheld two-dimensional X-ray diffractometer
KR20090132872A (ko) 2008-06-23 2009-12-31 삼성전자주식회사 반도체 소자 및 반도체 기판
CN101621036B (zh) 2008-07-02 2011-08-17 中芯国际集成电路制造(上海)有限公司 具有非晶硅mas存储单元结构的半导体器件及其制造方法
KR101498873B1 (ko) * 2008-07-08 2015-03-04 삼성전자주식회사 디램 및 비휘발성 메모리 특성을 갖는 메모리 소자의 구동방법
KR101159879B1 (ko) * 2008-07-14 2012-06-25 에스케이하이닉스 주식회사 고집적 반도체 기억 장치
US20100044670A1 (en) * 2008-08-19 2010-02-25 Peiching Ling Semiconductor device structures having single-crystalline switching device on conducting lines and methods thereof
US8130537B2 (en) * 2008-09-09 2012-03-06 Qimonda Ag Phase change memory cell with MOSFET driven bipolar access device
KR20100070835A (ko) 2008-12-18 2010-06-28 삼성전자주식회사 사이리스터를 갖는 메모리 셀 및 그것을 포함한 메모리 장치
US8614131B2 (en) 2009-02-03 2013-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned static random access memory (SRAM) on metal gate
US8405121B2 (en) 2009-02-12 2013-03-26 Infineon Technologies Ag Semiconductor devices
KR101554531B1 (ko) 2009-02-12 2015-09-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR101073643B1 (ko) 2009-02-19 2011-10-14 서울대학교산학협력단 고성능 단일 트랜지스터 플로팅 바디 dram 소자 및 그 제조 방법
US7929343B2 (en) * 2009-04-07 2011-04-19 Micron Technology, Inc. Methods, devices, and systems relating to memory cells having a floating body
US8148780B2 (en) * 2009-03-24 2012-04-03 Micron Technology, Inc. Devices and systems relating to a memory cell having a floating body
KR101077453B1 (ko) 2009-03-31 2011-10-26 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US8362482B2 (en) * 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8508994B2 (en) 2009-04-30 2013-08-13 Micron Technology, Inc. Semiconductor device with floating gate and electrically floating body
US8183126B2 (en) * 2009-07-13 2012-05-22 Seagate Technology Llc Patterning embedded control lines for vertically stacked semiconductor elements
US10566462B2 (en) * 2009-07-30 2020-02-18 Infineon Technologies Austria Ag Bipolar semiconductor device and manufacturing method
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US8588025B2 (en) * 2009-12-30 2013-11-19 Westerngeco L.L.C. Method and apparatus for acquiring wide-azimuth marine data using simultaneous shooting
US8836036B2 (en) * 2010-01-05 2014-09-16 Globalfoundries Singapore Pte. Ltd. Method for fabricating semiconductor devices using stress engineering
US8513722B2 (en) 2010-03-02 2013-08-20 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US9646869B2 (en) 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US8288795B2 (en) 2010-03-02 2012-10-16 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
JP5394360B2 (ja) 2010-03-10 2014-01-22 東京エレクトロン株式会社 縦型熱処理装置およびその冷却方法
KR20110102738A (ko) 2010-03-11 2011-09-19 삼성전자주식회사 수직 채널 트랜지스터 및 그의 제조방법
KR101670451B1 (ko) 2010-03-12 2016-10-31 삼성전자주식회사 도전막 매립형 기판, 그 형성 방법, 반도체 소자 및 그 제조 방법
US8552490B2 (en) * 2010-06-18 2013-10-08 United Microelectronics Corp. Nonvolatile memory device with a high-K charge storage layer having a U-shaped,cross-sectional structure
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8435859B2 (en) 2011-02-16 2013-05-07 Micron Technology, Inc. Methods of forming electrical contacts
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
US8519431B2 (en) 2011-03-08 2013-08-27 Micron Technology, Inc. Thyristors
US8518812B2 (en) 2011-05-23 2013-08-27 Micron Technology, Inc. Methods of forming electrical contacts
US8772848B2 (en) * 2011-07-26 2014-07-08 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
US8530312B2 (en) 2011-08-08 2013-09-10 Micron Technology, Inc. Vertical devices and methods of forming
US8962465B2 (en) * 2012-10-15 2015-02-24 Micron Technology, Inc. Methods of forming gated devices
US9214389B2 (en) 2014-04-29 2015-12-15 Micron Technology, Inc. Methods of forming memory arrays
US9209187B1 (en) 2014-08-18 2015-12-08 Micron Technology, Inc. Methods of forming an array of gated devices
US9224738B1 (en) 2014-08-18 2015-12-29 Micron Technology, Inc. Methods of forming an array of gated devices
US9673054B2 (en) 2014-08-18 2017-06-06 Micron Technology, Inc. Array of gated devices and methods of forming an array of gated devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6255731B1 (en) * 1997-07-30 2001-07-03 Canon Kabushiki Kaisha SOI bonding structure
CN101405849A (zh) * 2006-03-16 2009-04-08 美光科技公司 具有基于碳化硅的非晶硅薄膜晶体管的堆叠非易失性存储器及其制造方法

Also Published As

Publication number Publication date
US20110215407A1 (en) 2011-09-08
US9608119B2 (en) 2017-03-28
KR101430855B1 (ko) 2014-08-18
EP2543069A4 (en) 2015-04-08
US20170194351A1 (en) 2017-07-06
WO2011109146A3 (en) 2011-12-15
JP2013521648A (ja) 2013-06-10
TWI466256B (zh) 2014-12-21
KR20120124071A (ko) 2012-11-12
SG183452A1 (en) 2012-09-27
TW201145487A (en) 2011-12-16
US10325926B2 (en) 2019-06-18
SG10201501458TA (en) 2015-04-29
JP5671070B2 (ja) 2015-02-18
CN102782850A (zh) 2012-11-14
EP2543069A2 (en) 2013-01-09
WO2011109146A2 (en) 2011-09-09

Similar Documents

Publication Publication Date Title
CN102782850B (zh) 绝缘体上半导体金属结构、形成此些结构的方法及包含此些结构的半导体装置
TW569397B (en) Dram cell arrangement with vertical MOS transistors and method for its fabrication
US9362397B2 (en) Semiconductor devices
TWI683424B (zh) 具有沉積的半導體插塞的立體記憶體元件及其形成方法
CN102822972B (zh) 浮动主体单元结构、包含其的装置及用于形成其的方法
US9646869B2 (en) Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
TWI704602B (zh) 具有源極結構的三維記憶體裝置和用於形成三維記憶體裝置的方法
CN111244100B (zh) 用于形成三维存储器器件中的结构增强型半导体插塞的方法
US11251199B2 (en) Three-dimensional NOR array including active region pillars and method of making the same
WO2017213721A1 (en) Within-array through-memory-level via structures and method of making thereof
KR20190105604A (ko) 강유전성 산화물 메모리 장치
US8658504B2 (en) Method for manufacturing semiconductor device
US9318369B2 (en) Patterns of a semiconductor device and method of manufacturing the same
TWI291733B (en) Memory device and fabrication method thereof
WO2014112496A1 (ja) 半導体装置及びその製造方法
EP4030470A1 (en) Stacked semiconductor device having mirror-symmetric pattern
US9768053B2 (en) Active structures of a semiconductor device and methods of manufacturing the same
US20160104746A1 (en) Methods of fabricating a variable resistance memory device using masking and selective removal
TW465084B (en) High dielectric constant nonvolatile memory and its manufacturing method
CN111788686B (zh) 三维存储器件及用于形成其的方法
US20120108034A1 (en) Substrate Structure Having Buried Wiring And Method For Manufacturing The Same, And Semiconductor Device And Method For Manufacturing The Same Using The Substrate Structure
TWI773086B (zh) 用於形成立體(3d)記憶體元件的方法
TW200811961A (en) Methods for manufacturing a semiconductor device and DRAM
TW202145528A (zh) 3d記憶體裝置
TW202145529A (zh) 用於形成三維(3d)記憶體裝置的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant