CN102844848A - 通过自由基成分化学气相沉积的共形层 - Google Patents

通过自由基成分化学气相沉积的共形层 Download PDF

Info

Publication number
CN102844848A
CN102844848A CN2011800187794A CN201180018779A CN102844848A CN 102844848 A CN102844848 A CN 102844848A CN 2011800187794 A CN2011800187794 A CN 2011800187794A CN 201180018779 A CN201180018779 A CN 201180018779A CN 102844848 A CN102844848 A CN 102844848A
Authority
CN
China
Prior art keywords
nitrogen
layer
siliceous
predecessor
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011800187794A
Other languages
English (en)
Inventor
梁璟梅
X·陈
D·李
N·K·英格尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/840,768 external-priority patent/US8741788B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102844848A publication Critical patent/CN102844848A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Abstract

描述了用以由无碳的硅与氮的前驱物与自由基-氮的前驱物形成含有硅与氮的共形介电层(例如,硅-氮-氢(Si-N-H)膜)的方法、材料以及系统。无碳的硅与氮的前驱物主要藉由接触自由基-氮的前驱物而激发。因为硅与氮的膜在无碳情况下形成,因此可在较少孔形成以及较低体积收缩率下完成膜至硬化的氧化硅的转化。可将经沉积的含硅与氮的膜完全或部分转化为氧化硅,所述氧化硅容许共形介电层的光学特性成为可选择的。可在低温下进行含硅与氮的薄膜的沉积,以在基板沟槽中形成衬垫层。已发现低温衬垫层来增进湿润特性,并且所述低温衬垫层容许可流动的膜更完整地填充沟槽。

Description

通过自由基成分化学气相沉积的共形层
相关申请的交叉引用
此申请要求2011年2月10日提出且名称为“通过自由基成分CVD的共形层(CONFORMAL LAYER BY RADICAL-COMPONENT CVD)”的美国专利申请第13/024,487号、2010年7月21日提出且名称为“使用非碳可流动的CVD工艺的氧化硅的形成(FORMATION OF SILICON OXIDE USINGNON-CARBON FLOWABLE CVD PROCESSES)”的美国专利申请第12/840,768号、以及2010年3月5日提出且名称为“通过自由基成分CVD的共形层(CONFORMAL LAYERS BY RADICAL-COMPONENT CVD)”的美国临时专利申请第61/311,061号的优先权。出于所有目的,这些申请的全部内容通过引用并入本文中。
背景技术
自从数十年前引进了半导体器件,半导体器件几何形状的尺寸已经显著地减小。现代半导体制造设备常规地制造具有45nm、32nm与28nm特征尺寸的器件,且正在发展并实现新设备以制造具有甚至更小几何形状的器件。减小的特征尺寸致使关于器件的结构特征具有减小的空间尺寸。关于器件的间隙与沟槽的宽度窄到间隙深度与器件宽度的纵横比变得高到足够使以介电材料来填充间隙更富有挑战性的程度。沉积的介电材料倾向于在间隙完全填满之前在顶部堵塞,从而在间隙中间产生空隙或缝隙。
数年来,已经发展许多技术来避免使介电材料堵塞间隙的顶部、或者“密封(heal)”已经形成的空隙或缝隙。一种方法以高度可流动的前驱物材料来开始,这些高度可流动的前驱物材料可形成在经图案化的基板表面上(例如,SOG沉积技术)。这些可流动的前驱物可流入并填充非常小的基板间隙,而不会形成空隙或弱的缝隙。
在某些应用中,基板间隙内部的表面可能不会被可流动的介电材料所润湿。举例而言,这倾向于在下方材料在高温下沉积时发生。因此,需要有新的沉积工艺以及材料,以在结构化基板上形成介电材料,致使可流动的材料可更容易地渗透基板表面中的间隙。本申请满足了这种和其它需求。
发明内容
描述了用以由无碳的硅与氮的前驱物与自由基-氮的前驱物形成含有硅与氮的共形介电层(例如,硅-氮-氢(Si-N-H)膜)的方法、材料以及系统。无碳的硅与氮的前驱物主要藉由接触自由基-氮的前驱物而激发。因为硅与氮的膜在无碳情况下形成,因此可在较少孔形成以及较低体积收缩率下完成膜至硬化的氧化硅的转化。可将经沉积的含硅与氮的膜完全或部分转化为氧化硅,所述氧化硅容许共形介电层的光学特性成为可选择的。可在低温下进行含硅与氮的薄膜的沉积,以在基板沟槽中形成衬垫层。已发现低温衬垫层来增进湿润特性,并且所述低温衬垫层容许可流动的膜更完整地填充沟槽。
本发明的实施例包括在基板处理腔室中的基板处理区域中的经图案化的基板上形成共形含硅与氮的层的方法。这些方法包括将无碳含硅与氮的前驱物与自由基-氮的前驱物混合。藉由接触自由基-氮的前驱物而主要地激发无碳含硅与氮的前驱物。这些方法还包括在经图案化的基板上沉积具有共形层厚度的共形含硅与氮的层。
本发明的实施例还包括形成具有降低的体积收缩率的含硅层的方法。这些方法包括移转含有间隙的基板,以及在基板上沉积共形含硅与氮的层。共形含硅与氮的层具有共形性。这些方法还包括在共形含硅与氮的层上沉积可流动的含硅与氮的层。含硅层包含共形含硅与氮的层以及可流动的含硅与氮的层二者。
额外的实施例与特征部分地在以下描述中阐述,且所述额外的实施例与特征部分地对在本领域技术人员参阅说明书时变得明显,或可藉由实施本发明而了解。可藉由说明书中所描述的工具、组合和方法来实现并获得本发明的特征与优点。
附图说明
可藉由参照本专利说明书的其余部分以及附图来实现对本发明的特性以及优点的进一步了解,其中在多个附图之间使用相似的附图标记来指出类似的部件。在某些例子中,子标号与附图标记有关联且伴随着连字号,以表示多个类似部件之一。当引用附图标记而未载明现存的子标号时,旨在引用所有这些多个类似部件。
图1为流程图,所述流程图绘示根据本发明的实施例的用以制作共形介电层的经选择步骤。
图2为流程图,所述流程图绘示根据本发明的实施例的用以形成具有可选择的光学特性的共形介电层的经选择步骤。
图3为流程图,所述流程图绘示根据本发明的实施例的用以在间隙中形成介电层的经选择步骤。
图4显示根据本发明的实施例的基板处理系统。
图5A显示根据本发明的实施例的基板处理腔室。
图5B显示根据本发明的实施例的基板处理腔室的喷头。
具体实施方式
描述了用以由无碳的硅与氮的前驱物与自由基-氮的前驱物形成含有硅与氮的共形介电层(例如,硅-氮-氢(Si-N-H)膜)的方法、材料以及系统。无碳的硅与氮的前驱物主要藉由接触自由基-氮的前驱物而激发。因为硅与氮的膜在无碳情况下形成,因此可在较少孔形成以及较低体积收缩率下完成膜至硬化的氧化硅的转化。可将经沉积的含硅与氮的膜完全或部分转化为氧化硅,所述氧化硅容许共形介电层的光学特性成为可选择的。可在低温下进行含硅与氮的薄膜的沉积,以在基板沟槽中形成衬垫层。在多个实施例中,已发现低温衬垫层来增进湿润特性,并且所述低温衬垫层容许可流动的膜更完整地填充沟槽。在导入关于用以形成共形介电层的方法以及系统的额外细节的过程中,本发明的实施例的各方面将被呈现在一个实施例的论述中,并可了解到,除非有相反的陈述,所述各方面也可被应用在其余实施例中。
图1为流程图,所述流程图绘示根据本发明的实施例的用以制作共形介电层的方法100中的经选择步骤。方法100包括将无碳的硅前驱物提供至反应腔室102。无碳的硅前驱物可以是,举例而言,硅与氮的前驱物、硅与氢的前驱物或含硅-氮-与-氢的前驱物、以及其它类型的硅前驱物。这些前驱物的具体实例可包括硅烷基胺(silyl-amine),如H2N(SiH3)、HN(SiH3)2和N(SiH3)3、以及其它硅烷基胺。这些硅烷基胺可与可作为载气、反应性气体、或两者的额外气体混合。额外气体的实例可包括H2、N2、NH3、He和Ar、以及其它气体。无碳的硅前驱物的实例也可包含单独的或与其它含硅气体(例如,N(SiH3)3)、含氢气体(例如,H2)和/或含氮气体(例如,N2、NH3)混合的硅烷(SiH4)。无碳的硅前驱物也可包括乙硅烷、丙硅烷、高阶硅烷以及氯化硅烷,所述乙硅烷、丙硅烷、高阶硅烷以及氯化硅烷是单独的或与另一个或前述无碳的硅前驱物结合。
除了无碳以外,硅前驱物还可为无氧。氧的缺乏可在由前驱物形成的硅与氮的层中造成较低的硅醇(Si-OH)基团浓度。沉积膜中的过多的硅醇部分可在自沉积层移除羟基(-OH)部分的后沉积步骤期间造成增加的孔隙率以及收缩率。在其它实施例中,硅前驱物含有氧,氧可并入共形含硅与氮的膜中。为了调整沉积膜的沉积特性和/或光学特性,含有氧可能是理想的。可如单独的前驱物一样导入含氧前驱物,且可同时使无碳的硅前驱物以及含氧前驱物流入基板处理区域。
同样,可将自由基-氮的前驱物提供至反应腔室104。自由基-氮的前驱物为含氮-自由基的物质,所述含氮-自由基的物质在反应腔室外部由更稳定的氮前驱物形成。举例而言,如NH3的稳定的氮前驱物可在反应腔室外部的等离子体单元中活化,以形成自由基-氮的前驱物,所述自由基-氮的前驱物接着输送进入反应腔室。在不同的实施例中,稳定的氮前驱物也可为包含NH3与N2、NH3与H2、NH3与N2与H2、以及N2与H2的混合物。在与N2以及H2的混合物中,也可用胼来取代NH3或与NH3组合。稳定的氮前驱物(以及自由基-氮的前驱物)也可伴随着载气,如氩气(Ar)、氢气(H2)、氮气(N2)、氦气等等。所产生的自由基-氮的前驱物可为·N、·NH、·NH2中的一种或多种,且也可能伴随着在等离子体中所形成的离子化物质。
一般而言,不包含氮的自由基前驱物也将容许形成含硅与氮的层。若包含氮的话,自由基前驱物可为自由基-氮的前驱物,所述自由基-氮的前驱物与前述前驱物一起供应至远程等离子体区域。自由基前驱物在反应腔室中的与沉积区域分隔的一个区段中产生,前驱物在沉积区域中混合并反应,以在沉积基板(例如,半导体晶圆)上沉积硅与氮的层。在自由基前驱物为自由基-氮的前驱物的实施例中,稳定的氮前驱物流入远程等离子体区域并由等离子体激发。稳定的氮前驱物(以及自由基-氮的前驱物)也可伴随着载气,如氢气(H2)、氮气(N2)、氩气、氦气等等。还在所揭示的实施例中发现,由基本上由氮气(N2)所组成(有或没有额外惰性载气)的输入气体形成的自由基-氮的前驱物来产生有利的膜。在含硅前驱物包含氮的实施例中,自由基-氮的前驱物也可由基本上由氢气(H2)(以及任选的惰性载气)所组成的输入气体所形成的自由基前驱物所取代。
在多个实施例中,自由基-氮的前驱物在反应腔室中的藉由筛具或喷头与基板处理区域分隔的一个区段中产生。在基板处理区域中,无碳的硅前驱物以及自由基-氮的前驱物混合并反应106,以在沉积基板上沉积共形含硅与氮的膜108。自由基-氮的前驱物对无碳的硅前驱物提供主要激发。在多个实施例中,自由基-氮的前驱物对无碳的硅前驱物提供仅有的激发。在沉积期间,没有或基本上没有等离子体功率被施加至基板处理区域。
在不同的实施例中,基板处理区域中的压力低于200mTorr(毫托)、150mTorr、100mTorr、75mTorr或50mTorr之一。在不同的实施例中,共形含硅与氮的膜的厚度可低于30nm(纳米)、20nm、15nm、10nm以及5nm之一。在不同的实施例中,共形含硅与氮的膜的生长速率可低于30nm/min(纳米/分)、20nm/min、15nm/min、10nm/min以及5nm/min之一。如前所述,参照图1所描述的界限也可应用于本文他处所描述的其它实施例。前驱物的流速可够低以在基板处理区域中构成相对较低的压力。或者,可增加抽取速度。在不同的实施例中,共形含硅与氮的膜沉积期间的基板温度可低于200°C、150°C、100°C、75°C以及50°C之一。随着沉积之后,可固化和/或退火所述膜,以增加膜的氧含量,如参照图2而更详细地在本文中讨论。
现在参见图2,显示另一流程图,所述流程图绘示了根据本发明的实施例的用以在经图案化的基板上形成共形介电膜的方法200中的经选择步骤。方法200可包括移转经图案化的基板202。基板可具有在生产基板上的电气部件(例如,晶体管)以及互连的过程期间所存在的沟槽、间隙以及其它垂直特征。可用已在描述图1的过程中所呈现的方法,在基板上沉积共形含硅与氮的层204。
在沉积含硅与氮的膜之后,可在含氧气氛206中或简单暴露至含氧气氛206加热经图案化的基板。当导入含氧气氛时,基板可保持在反应腔室中,或者可将基板转移至导入含氧气氛的不同腔室。含氧气氛可包括一种或多种含氧气体,如分子氧(O2)、臭氧(O3)、水蒸汽(H2O)和氧化氮(NO、NO2等等)、以及其它含氧气体。含氧气氛也可包括自由基氧以及羟基物质,如原子氧(O)、氢氧化物(OH)等,所述自由基氧以及羟基物质可远程产生,并传输到基板腔室中。含氧物质的离子也可存在。
含氧气氛提供了氧气,以将含硅与氮的膜部分或完全转化为氧化硅(SiO2)膜。在含硅与氮的膜中缺少碳导致在最终氧化硅膜中形成显著较少的孔。在含硅与氮的膜中缺少碳也导致在转化为氧化硅期间,膜的较少体积缩小(即,收缩率)。举例而言,在转化为氧化硅时由含碳的硅前驱物形成的硅-氮-碳层可能收缩40体积%或更高的情况下,实质上无碳的硅与氮的膜可能收缩约15体积%或更低。
在多个实施例中,在暴露至含氧气氛期间,可加热经图案化的基板至高于50°C、100°C、150°C、200°C、250°C、300°C、400°C、500°C、600°C、800°C以及1000°C之一。在多个实施例中,在暴露至含氧气氛期间,基板温度可低于100°C、200°C、300°C、400°C、500°C、600°C、800°C、1000°C或1100°C之一。在不同的实施例中,所述上限中的任一个可结合所述下限中的任一个,以形成额外的基板温度范围。
实施例可包含具有不同温度以及气氛的多个加热阶段。举例而言,可在较低的第一温度下,在包括水蒸汽(H2O)的气氛中进行第一加热阶段,而可在较高的第二温度下,在实质上缺乏水蒸汽的干燥含氧气氛中进行第二加热阶段。也可在非含氧气氛(例如,干燥的N2、He、Ar等等)中进行第三加热阶段。在其它实施例中,在高温氧气(O2)退火(例如,高于800°C)之前,可在低温(例如,介于200°C与400°C之间)下进行臭氧固化。在不同的实施例中,含氧气氛固化和/或退火将折射率(在193nm下测量)的实部降低至低于1.8、1.75、1.7或1.65之一。在不同的实施例中,固化和/或退火将消光系数(折射率的虚部量;同样在193nm下测量)降低至低于0.15、0.10、0.075、0.050或0.025之一。在不同的实施例中,转化为氧化硅是部分的,且转化后的消光系数高于0.010、0.025、0.050、0.075以及0.10之一。在额外的实施例中,所述上限中的任一个可结合所述下限中的任一个,以形成额外的消光系数范围。
图3为流程图,所述流程图绘示根据本发明的实施例的用以在基板上的间隙中形成介电层的方法300中的经选择步骤。方法300可包括将经图案化的基板移转到基板处理区域中302。基板可具有多个间隙,供在基板上形成的器件部件(例如,晶体管)的间隔与结构所用。间隙可具有高度以及宽度,所述高度以及宽度定义高度与宽度(即,H/W)的纵横比(AR),所述纵横比显著大于1:1(例如,5:1或更大、6:1或更大、7:1或更大、8:1或更大、9:1或更大、10:1或更大、11:1或更大、12:1或更大,等等)。在许多例子中,高AR是因为小的间隙宽度,所述间隙宽度的范围介于约90nm至约22nm或更小(例如,约90nm、65nm、45nm、32nm、22nm、16nm等等)。
如参照图2至3所描述,可在基板上沉积共形含硅与氮的层304。如本文中所使用,共形层指的是与所述表面具有相同外形的表面上的大体上一致的材料层,即,所述层的表面以及被覆盖的表面大体上为平行的。本领域普通技术人员将理解,所沉积的材料可能不会是100%共形的,且因此术语“大体上”容许可接受的公差。在本文中将共形性量化为在两个不同位置处所测量的共形层的两个厚度的比例:其中一个厚度是在间隙的侧壁上,且另一个厚度是在间隙底部。在跨越晶圆或跨越管芯的厚度有显著变化的情况下,理解来自多个取样点的平均测量值。注意,间隙填充膜的沉积期间所发生的各种效应使壁厚度或底部厚度成为较小者。可将两个厚度中的较小者除以较大者并且将比例转换为百分比。在不同的实施例中,依此方式所测量的含硅与氮的层的共形性可大于70%、80%、90%以及95%之一。
藉由增加基板处理区域中的压力,在共形层上沉积可流动的含硅与氮的层306。可藉由增加前驱物(自由基氮前驱物和/或无碳含硅前驱物)中的一个或二个的流速来完成步骤306。交替或结合,可藉由部分关闭阀门或降低真空泵的实际抽取速度来降低抽取速度。在不同的实施例中,当可流动的层形成时,基板处理区域中的压力大于500mTorr、750mTorr、1Torr或2Torr之一。共形层的湿润特性协助可流动层渗透到间隙中。因为所述层是可流动的,所述层可填充具有高纵横比的间隙,而不会在填充材料的中央周围产生空隙或弱的缝隙。举例而言,在完全填充间隙之前,所沉积的可流动的材料不大可能过早阻塞间隙的顶部以在间隙中间留下空隙。
流动性(flowability)可能归因于将自由基-氮的前驱物与无碳的硅前驱物混合所造成的各种性质。这些性质可包括在经沉积的膜中的显著的氢成分和/或短链聚硅氮烷(polysilazane)聚合物的存在。在膜形成期间以及之后,这些短链生长并网络化以形成更密集的介电材料。举例而言,经沉积的膜可具有硅氮烷类Si-NH-Si骨干(即,Si-N-H膜)。当硅前驱物以及自由基-氮的前驱物两者为无碳时,经沉积的含硅与氮的膜实质上也为无碳。当然,“无碳”不必然表示膜没有痕量的碳。碳污染物可存在于前驱物材料中而构成它们进入经沉积的硅与氮前驱物的方式。然而,这些碳杂质的量远小于可能在具有碳部分的硅前驱物(例如,TEOS、TMDSO等等)中发现的量。
相似于图1至2的先前实施例,共形且可流动的含硅与氮的膜的沉积之后可以是固化和/或退火308。可部分或完全转化至氧化硅,并且所述转化可能影响可流动的膜以及共形沉积的膜二者。含氧气氛可包括分子氧、臭氧和水蒸汽、以及其它气体。在某些例子中,可自包括含氧气体的混合物击发等离子体,而在其它例子中,不从气体中形成等离子体。
进入CVD腔室的含氧气体可包括一种或多种化合物,所述一种或多种化合物在进入腔室前被活化(例如,激化(radicalize)、离子化等等)。举例而言,含氧气体可包括自由基氧物质、自由基羟物质等等,所述自由基氧物质、自由基羟物质等藉由通过远程等离子体源暴露更稳定的前驱物化合物而活化。更稳定的前驱物可包括产生羟(OH)自由基与离子的水蒸气以及过氧化氢(H2O2)、以及产生原子氧(O)自由基与离子的分子氧和/或臭氧。
示范性氧化硅沉积系统
可执行本发明的实施例的沉积腔室可包括高密度等离子体化学气相沉积(HDP-CVD)腔室、等离子体增强化学气相沉积(PECVD)腔室、次大气压化学气相沉积(SACVD)腔室和热化学气相沉积腔室、以及其它类型的腔室。可执行本发明的实施例的CVD系统的具体实例包括可购自美国加利福尼亚州圣克拉拉市(Santa Clara)的应用材料公司(Applied Material,Inc.)的CENTURA
Figure BDA00002247766200081
HDP-CVD腔室/系统、以及
Figure BDA00002247766200082
PECVD腔室/系统。
可与本发明的示范性方法一起使用的基板处理腔室的实例可包含在Lubomirsky等人于2006年5月30日提出且名称为“用于介电间隙填充的处理腔室(PROCESS CHAMBER FOR DIELECTRIC GAPFILL)”的共同转让的美国临时专利申请第60/803,499号中所显示以及描述的那些基板处理腔室,出于所有目的,所述申请的全部内容通过引用并入本文中。额外的示范性系统可包括在美国专利第6,387,207以及6,830,624号中所示以及描述的那些系统,出于所有目的,这些专利也通过引用并入本文中。
沉积系统的实施例可并入较大制造系统内,以生产集成电路芯片。图4显示根据所揭示的实施例的一个此类沉积系统400、烘烤和固化腔室。在所述图中,一对前开式晶圆盒(FOUP)402供应一个基板、多个基板(例如,300mm直径的晶圆),所述基板由机器人手臂404承接,并在置入晶圆处理腔室408a-f之一以前置入低压保持区406内。可用第二机器人手臂410以自保持区406向处理腔室408a-f来回移转基板晶圆。
处理腔室408a-f可包括一个或多个系统部件,用以在基板晶圆上沉积、退火、固化和/或蚀刻可流动的介电膜。在一种配置中,两对处理腔室(例如,408c-d以及408e-f)可用以在基板上沉积可流动的介电材料,且第三对处理腔室(例如,408a-b)可用来退火所沉积的介电材料。在另一种配置中,相同的两对处理腔室(例如,408c-d以及408e-f)可被配置成在基板上沉积并退火可流动的介电膜,而第三对腔室(例如,408a-b)可用来进行经沉积的膜的UV或E-光束固化。在再一种配置中,所有的三对腔室(例如,408a-f)可被配置成在基板上沉积并固化可流动的介电膜。在又一种配置中,两对处理腔室(例如,408c-d以及408e-f)可用来进行可流动的介电膜的沉积以及UV或E-光束固化两者,而第三对处理腔室(例如,408a-b)可用以退火介电膜。所述工艺中的任一个或多个可针对与不同实施例所显示的制造系统分离的(多个)腔室进行。
此外,处理腔室408a-f中的一个或多个可如湿式处理腔室一样地进行配置。这些处理腔室包括在含有湿气的气氛中加热可流动的介电膜。因此,系统400的实施例可包括湿式处理腔室408a-b以及退火处理腔室408c-d,以对经沉积的介电膜进行湿式以及干式退火二者。
图5A为根据所揭示的实施例的基板处理腔室500。远程等离子体系统(RPS)510可处理气体,所述气体接着通过气体入口组件511行进。气体入口组件511内可见到两种不同的气体供应通道。第一通道512承载通过远程等离子体系统RPS 510的气体,而第二通道513避开RPS 500。在所揭示的实施例中,第一通道502可供工艺气体所用,而第二通道513可供处理气体所用。所示的盖体(或导电顶部)521以及开孔隔板553之间设有绝缘环524,所述绝缘环524容许AC电位施加至相对于开孔隔板553的盖体521。工艺气体通过第一通道512行进到腔室等离子体区域520中,且可在腔室等离子体区域520中由等离子体单独激发或与RPS 510联合激发。腔室等离子体区域520和/或RPS 510的组合可称为远程等离子体系统。开孔隔板(又称为喷头)553隔离腔室等离子体区域520以及喷头553下方的基板处理区域570。喷头553容许等离子体存在于腔室等离子体区域520中,以避免直接在基板处理区域570中激发气体,而仍容许被激发的物质自腔室等离子体区域520行进至基板处理区域570中。
接附至基板处理区域的排放系统的抽取速度可经选择并配置,以使基板处理区域中的压力维持在200mTorr、150mTorr、100mTorr、75mTorr或50mTorr之一以下,以促进共形含硅与氮的膜的沉积。
喷头553位于腔室等离子体区域520以及基板处理区域570之间,且容许腔室等离子体区域520内所生成的等离子体流出物(前驱物或其它气体的激发衍生物)通过贯穿板厚度的多个穿孔556。喷头553也具有一个或多个凹陷容积551,所述凹陷容积可填充以蒸气或气体形式的前驱物(如含硅前驱物),并通过小孔555进入基板处理区域570,而非直接进入腔室等离子体区域520。在此揭示的实施例中,喷头553的厚度大于穿孔556的最小直径550的长度。为了维持显著浓度的激发物质自腔室等离子体区域520渗透至基板处理区域570,可藉由形成部分通过喷头553的穿孔556的较大直径部分来限缩穿孔的最小直径550的长度526。在所揭示的实施例中,穿孔556的最小直径550的长度的数量级可等于或小于穿孔556的最小直径的数量级。
在所示的实施例中,喷头553可(经由穿孔556)散布含有氧、氢和/或氮的工艺气体,和/或由腔室等离子体区域520中的等离子体所激发之后的此类工艺气体的等离子体流出物。在多个实施例中,通过第一通道512导入RPS 510和/或腔室等离子体区域520的工艺气体可含有氧气(O2)、臭氧(O3)、N2O、NO、NO2、NH3、NxHy(包括N2H4、硅烷、乙硅烷、TSA以及DSA)中的一种或多种。工艺气体也可包含载气,如氦气、氩气、氮气(N2)等等。第二通道513也可递送工艺气体和/或载气,和/或用以自生长的膜或经沉积的膜移除非期望成分的膜-固化气体。等离子体流出物可包括工艺气体的离子化或中性衍生物,且在本文中也可称为有关于导入的工艺气体的原子构成要素的自由基-氧前驱物和/或自由基-氮的前驱物。
在多个实施例中,穿孔556的数量可介于约60至约2000之间。穿孔556可具有多种形状,但最容易制作成圆形。在所揭示的实施例中,穿孔556的最小直径550可介于约0.5mm以及约20mm之间、或介于约1mm以及约6mm之间。还可自由选择穿孔的截面形状,所述截面形状可被制作成圆锥状、圆柱状或两种形状的组合。在不同的实施例中,用来将气体导入基板处理区域570的小孔555的数量可介于约100以及约5000之间、或介于约500以及约2000之间。小孔555的直径可介于约0.1mm以及约2mm之间。
图5B为根据所揭示的实施例的与处理腔室一起使用的喷头553的底部视图。喷头553相当于图5A中所绘示的喷头。穿孔556被描绘为在喷头553的底部具有较大的内径(ID),而在喷头553的顶部具有较小ID。小孔555基本上平均地散布在喷头表面上、甚至在穿孔556之间,与本文所描述的其它实施例相比,所述散布可协助提供更平均的混合。
当通过喷头553中的穿孔556抵达的等离子体流出物与通过源自凹陷容积551的小孔555抵达的含硅前驱物结合时,在基板处理区域570内的基座(未绘示)所支撑的基板上生成示范性膜。虽然基板处理区域570也可经配备以支持供诸如固化的其它工艺所用的等离子体,但在示范性膜生长期间,等离子体不存在。
可在喷头553上的腔室等离子体区域520中或在喷头553下的基板处理区域570中点燃等离子体。等离子体存在于腔室等离子体区域520中,以自含氮与氢的气体流入物产生自由基氮前驱物。将通常在射频(RF)范围内的AC电压施加于处理腔室的导电顶部521以及喷头553之间,以在沉积期间点燃腔室等离子体区域520中的等离子体。RF电源产生13.56MHz的高RF频率,但也可单独或结合13.56MHz频率而产生其它频率。
当启用基板处理区域570中的底部等离子体,以固化膜或清洁基板处理区域570边界的内表面时,可使顶部等离子体处于低功率或无功率状态。可藉由在喷头553以及腔室的基座或底部之间施加AC电压来点燃基板处理区域570中的等离子体。当等离子体存在时,可将清洁气体导入基板处理区域570。
基座可具有供热交换流体流动以控制基板的温度的热交换通道。此配置容许冷却或加热基板的温度,以维持相对较低的温度(自0°C到约120°C)。热交换流体可包含乙二醇以及水。也可使用配置成制做平行同心圆形式的两个完整匝数的嵌入式单环嵌入加热器元件,来电阻性地加热基座的晶圆支撑盘(较佳为铝、陶瓷或两者的组合)以达到相对较高的温度(自约120°C到约1100°C)。加热器元件的外部可邻近支撑盘的周边,而加热器元件的内部可在具有较小半径的同心圆的路线上。连接加热器元件的线路通过基座的杆部。
基板处理系统由系统控制器所控制。在示范性实施例中,系统控制器包括硬盘驱动器、软盘驱动器以及处理器。处理机含有单板计算机(SBC)、模拟和数字输入/输出板、接口板以及步进马达控制器板。CVD系统的各种部件符合Versa Modular European(VME)标准,所述标准定义板、插件架以及连接器尺寸和类型。VME标准还定义具有16位数据总线以及24位地址总线的总线结构。
系统控制器控制CVD机器的全部活动。系统控制器执行系统控制软件,所述系统控制软件为储存在计算机可读介质中的计算机程序。较佳地,所述介质为硬盘驱动器,但是所述介质也可为其它类型的存储器。计算机程序包含多指令集,所述指令集支配特定工艺的时序、气体的混合、腔室压力、腔室温度、RF功率等级、基座位置以及其它参数。也可使用储存在其它存储器装置(包含如软盘或另一适当的驱动器)的其它计算机程序来命令系统控制器。
可使用由系统控制器所执行的计算机程序产品来实施在基板上沉积膜叠层的工艺、或用以清洁腔室的工艺。计算机程序代码可以任何惯用的计算机可读编程语言来撰写:例如,68000汇编语言、C、C++、Pascal、Fortran或其它编程语言。可使用惯用的文本编辑器将合适的程序代码输入单个文件或多个文件中,并储存或体现在计算机可使用介质中,如计算机的存储器系统。若输入的代码文本是在高级语言中,则编译所述代码,并接着将所产生的编译器代码与预先编译的Microson
Figure BDA00002247766200121
库例程的H标码链接。为了执行链接的、经编译的H标代码,系统用户援引H标代码,致使计算机系统在存储器中加载所述代码。CPU接着读取并执行所述代码以进行程序中所标识的任务。
用户与控制器之间的接口经由平板触敏监视器。在较佳的实施例中,使用了两个监视器,其中一个安装在清洁室墙壁上供操作员所用,而另一个安装在墙壁后供服务技师所用。在一次只接受一个输入的情况下,这两个监视器可同步显示相同的信息。为了选择特定画面或功能,操作员触摸触敏监视器的指定区域。被触摸的区域改变所述触摸的区域的加亮颜色,或者显示一个新的菜单或画面,以确认操作员与触敏监视器之间的通信。其它装置,如键盘、鼠标或其它指示或通信装置可取代或附加至触敏监视器,以容许用户与系统控制器通信。
腔室等离子体区域或RPS中的区域可称作远程等离子体区域。在多个实施例中,自由基氮前驱物在远程等离子体区域中生成,并行进至基板处理区域内,其中无碳含硅前驱物藉由自由基氮前驱物激发。在多个实施例中,无碳含硅前驱物仪由自由基氮前驱物激发。在多个实施例中,等离子体功率基本上可仪施加至远程等离子体区域,以确保自由基氮前驱物对无碳含砖前驱物提供主要的激发。
在本文中,在含砖与氮的层生长期间以及在某些其它处理步骤期间,可将基板处理区域描述为“无等离子体"。“无等离子体”并不必然意味着所述区域完全没有等离子体。在等离子体区域内生成的离子化物质可行进至基板处理区域内,但无碳含砖前驱物实质上不会被施加到等离子体区域的等离子体功率所激发。很难界定腔室等离子体区域中的等离子体的边界,且所述边界可能通过唢头中的通孔侵入基板处理区域上方。在感应耦合的等离子体的情况下,少量离子化可直接在基板处理区域中实行。此外,低强度等离子体可在基板处理区域中生成,而不需消除形成膜的可流动本性。在自由激氮前驱物生成期间,具有比腔室等离子体区域低得多的强度的等离子体的所有成因,都不偏离本文中所用的“无等离子体”的范畴。
如在本文中所使用的,“基板”可为在所述基板上形成有各个层或在所述基板上未形成各个层的支撑基板。支撑基板可为各种掺杂浓度以及轮廓的绝缘体或半导体,且可为,例如在集成电路制造中所使用的类型的半导体基板。“氧化硅”的层用以作为含硅与氧的材料的简写,且可与含硅与氧的材料交换使用。由此,氧化硅可包括多种浓度的其它构成要素,如氮、氢、碳等等。在某些实施例中,氧化硅基本上由硅与氧组成。术语“前驱物”用来指示任何工艺气体,所述工艺气体参与自表面移除材料或在表面上沉积材料的反应。处于“激发状态”的气体描述其中至少某些气体分子处于震动激发状态、游离状态和/或离子化状态的气体。气体可为两种以上气体的组合。术语“沟槽”或“间隙”被使用在本文各处,并非暗示蚀刻的几何形状具有大的水平纵横比。自表面的上方观看,沟槽以及间隙可呈现圆形、椭圆形、多边形、矩形或各种其它形状。
在已描述若干实施例之后,本领域技术人员将认识到,在不偏离本发明的精神的情况下可使用各种修改、替代构造以及等效方案。另外,未描述若干熟知的工艺以及元件以避免不必要地混淆本发明。因此,以上描述不应视为限制本发明的范畴。
在提供一范围的值的情况下,除非上下文另有明确指定,应理解具体地揭示所述范围的上限与下限之间的每一中间值,精确度为至下限单位的十分之一。涵盖在陈述范围中的任一陈述值或中间值与在所述陈述范围中的任一其它陈述值或中间值之间的每一较小范围。这些较小范围的上限以及下限可独立地包括在所述范围中或排除在所述范围之外,且在界限中任一个、没有任一界限或两界限包括在所述较小范围中的每一范围也涵盖在本发明内,所述每一范围受制于所陈述范围中任何特定排除的界限。在所陈述范围包括所述界限中一个或两个的情况下,也包括排除那些所包括的界限中一个或两个的范围。
如本文以及所附权利要求中所使用,除非上下文另有明确指定,否则单数形式“一”、“一个”以及“所述”包括多个指示物。因此,例如,引用“一个工艺”包括多个此类工艺,且引用“所述前驱物”包括参照一个或多个前驱物以及本领域技术人员已知的所述前驱物的等效物,等等。
同样,在本说明书中以及以下权利要求中使用的词汇“包含”、“包括”、“含有”、“具有”以及“有”时,旨在指定陈述的特征、整数、部件或步骤的存在,但所述词汇不排除一个或多个其它特征、整数、部件、步骤、动作或组的存在或添加。

Claims (22)

1.一种在基板处理腔室中的基板处理区域中的经图案化的基板上形成共形含硅与氮的层的方法,所述方法包含:
将无碳含硅与氮的前驱物与自由基-氮的前驱物混合,其中藉由接触所述自由基-氮的前驱物而主要地激发所述无碳含硅与氮的前驱物;以及
在所述经图案化的基板上沉积具有共形层厚度的共形含硅与氮的层。
2.如权利要求1所述的方法,其特征在于,在所述共形含硅与氮的膜的沉积期间,所述基板处理区域中的共形沉积压力低于或约为200mTorr。
3.如权利要求1所述的方法,其特征在于,在所述共形含硅与氮的膜沉积期间,所述基板的共形沉积温度低于或约为200°C。
4.如权利要求1所述的方法,其特征在于,所述共形层厚度低于或约为20nm。
5.如权利要求1所述的方法,还包含:藉由使所述含硅与氮的层暴露至臭氧,将所述含硅与氮的层转化为含硅与氧的层。
6.如权利要求1所述的方法,其特征在于,所述无碳含硅与氮的前驱物包含硅烷基胺。
7.如权利要求6所述的方法,其特征在于,所述硅烷基胺包含N(SiH3)3
8.如权利要求1所述的方法,其特征在于,所述自由基-氮的前驱物在与所述无碳含硅与氮的前驱物混合之前使用等离子体自含氮与氢的气体所产生。
9.如权利要求8所述的方法,其特征在于,所述含氮与氢的气体包含选自由氨气、N2以及H2所构成的组中的气体。
10.如权利要求1所述的方法,其特征在于,所述共形含硅与氮的层包含无碳Si-N-H层。
11.如权利要求1所述的方法,其特征在于,藉由使所述含硅与氮的层暴露至含氧气氛,将所述共形含硅与氮的层转化为氧化硅层。
12.如权利要求11所述的方法,其特征在于,所述含氧气氛包含选自由氧气、臭氧以及水蒸汽所构成的组中的一种或多种气体。
13.一种形成具有降低的体积收缩率的含硅层的方法,所述方法包含:
移转含有间隙的基板;
在所述基板上沉积共形含硅与氮的层,其中所述共形含硅与氮的层具有共形性;以及
在所述共形含硅与氮的层上沉积可流动的含硅与氮的层,其中所述含硅层包含所述共形含硅与氮的层以及所述可流动的含硅与氮的层二者。
14.如权利要求13所述的方法,还包含:在含氧气氛中加热所述含硅层,以增加氧含量,其中所述含硅层保留沉积在所述间隙中的所述无碳含硅与氮的层的约85%以上的体积。
15.如权利要求13所述的方法,其特征在于,所述共形含硅与氮的层的所述共形性大于或约为80%。
16.如权利要求13所述的方法,其特征在于,所述共形含硅与氮的层藉由含硅与氮的前驱物与自由基-氮的前驱物的反应沉积在所述基板上,其中所述自由基-氮的前驱物对所述硅与氮前驱物提供主导性激发。
17.如权利要求16项所述的方法,其特征在于,所述含硅与氮的前驱物包含N(SiH3)3,且所述自由基-氮的前驱物由等离子体-活化的NH3形成。
18.如权利要求14所述的方法,其特征在于,所述含氧气氛包含O2、O3或H2O中的至少一个。
19.如权利要求13所述的方法,其特征在于,所述间隙中的所述含硅层为实质上没有空隙的。
20.如权利要求16所述的方法,其特征在于,所述含硅与氮的层包含Si-N-H层。
21.如权利要求13所述的方法,其特征在于,所述共形含硅与氮的层包含Si-N-H层。
22.如权利要求13项所述的方法,其特征在于,所述基板间隙具有约50nm或更小的宽度。
CN2011800187794A 2010-03-05 2011-02-10 通过自由基成分化学气相沉积的共形层 Pending CN102844848A (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US31106110P 2010-03-05 2010-03-05
US61/311,061 2010-03-05
US12/840,768 US8741788B2 (en) 2009-08-06 2010-07-21 Formation of silicon oxide using non-carbon flowable CVD processes
US12/840,768 2010-07-21
US13/024,487 2011-02-10
US13/024,487 US8563445B2 (en) 2010-03-05 2011-02-10 Conformal layers by radical-component CVD
PCT/US2011/024378 WO2011109148A2 (en) 2010-03-05 2011-02-10 Conformal layers by radical-component cvd

Publications (1)

Publication Number Publication Date
CN102844848A true CN102844848A (zh) 2012-12-26

Family

ID=44531714

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011800187794A Pending CN102844848A (zh) 2010-03-05 2011-02-10 通过自由基成分化学气相沉积的共形层

Country Status (7)

Country Link
US (1) US8563445B2 (zh)
JP (1) JP2013521650A (zh)
KR (1) KR101853802B1 (zh)
CN (1) CN102844848A (zh)
SG (1) SG183873A1 (zh)
TW (1) TWI534290B (zh)
WO (1) WO2011109148A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105659366A (zh) * 2013-11-01 2016-06-08 应用材料公司 使用远程等离子体cvd技术的低温氮化硅膜
CN107408491A (zh) * 2015-02-27 2017-11-28 应用材料公司 氮化铝阻挡层

Families Citing this family (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
WO2011084752A2 (en) 2010-01-07 2011-07-14 Applied Materials, Inc. In-situ ozone cure for radical-component cvd
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) * 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US8921235B2 (en) * 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9219006B2 (en) * 2014-01-13 2015-12-22 Applied Materials, Inc. Flowable carbon film by FCVD hardware using remote plasma PECVD
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6315809B2 (ja) * 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9947576B2 (en) 2015-07-13 2018-04-17 Applied Materials, Inc. UV-assisted material injection into porous films
US9871100B2 (en) * 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10876206B2 (en) 2015-09-01 2020-12-29 Silcotek Corp. Thermal chemical vapor deposition coating
US10087521B2 (en) * 2015-12-15 2018-10-02 Silcotek Corp. Silicon-nitride-containing thermal chemical vapor deposition coating
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10017856B1 (en) 2017-04-17 2018-07-10 Applied Materials, Inc. Flowable gapfill using solvents
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
WO2018222771A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
US20180363133A1 (en) * 2017-06-16 2018-12-20 Applied Materials, Inc. Method and Apparatus for Void Free SiN Gapfill
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
KR20200042009A (ko) 2017-09-12 2020-04-22 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102585074B1 (ko) 2017-11-11 2023-10-04 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
KR102622303B1 (ko) 2017-11-16 2024-01-05 어플라이드 머티어리얼스, 인코포레이티드 고압 스팀 어닐링 프로세싱 장치
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
SG11202006867QA (en) 2018-01-24 2020-08-28 Applied Materials Inc Seam healing using high pressure anneal
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR102542281B1 (ko) * 2018-07-24 2023-06-13 램 리써치 코포레이션 이종 전구체 상호 작용을 사용한 탄화 실리콘 막의 컨포멀한 증착
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR20220056248A (ko) 2018-10-19 2022-05-04 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
CN112996950A (zh) 2018-11-16 2021-06-18 应用材料公司 使用增强扩散工艺的膜沉积
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060102977A1 (en) * 2004-07-01 2006-05-18 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US20070004170A1 (en) * 2005-06-14 2007-01-04 Atsuko Kawasaki Method of manufacturing semiconductor device
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US20090194809A1 (en) * 2008-02-04 2009-08-06 Nec Electronics Corporation Semiconductor memory and method for manufacturing the same

Family Cites Families (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
FR2598520B1 (fr) * 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4818326A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4910043A (en) * 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) * 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
KR930009549B1 (ko) 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) * 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US5966595A (en) 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2871580B2 (ja) 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
FR2759362B1 (fr) * 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) * 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) * 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6187682B1 (en) * 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
TW445570B (en) * 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) * 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) * 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6875687B1 (en) * 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
EP1095958B1 (en) 1999-10-25 2006-02-08 Dow Corning Corporation Soluble silicone resin compositions
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
DE10196026B4 (de) 2000-04-04 2011-02-10 Asahi Kasei Kabushiki Kaisha Überzugs-Zusammensetzung, dünner Film, zur Verwendung des dünnen Films und Verfahren zur Herstellung eines dünnen porösen Kieselsäure-Films
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
TW533489B (en) * 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (ja) * 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) * 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) * 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) * 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
JP3990920B2 (ja) 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
US6886491B2 (en) 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
JP2003017556A (ja) 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100421046B1 (ko) * 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
EP1417474B1 (en) 2001-07-25 2021-12-29 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
KR100428768B1 (ko) 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) * 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (ja) 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
JP3868324B2 (ja) 2002-04-15 2007-01-17 三菱電機株式会社 シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
WO2003090268A1 (fr) 2002-04-19 2003-10-30 Tokyo Electron Limited Procede de traitement de substrat et procede de production de dispositifs a semi-conducteurs
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6734082B2 (en) * 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) * 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP4140768B2 (ja) 2003-04-24 2008-08-27 株式会社日立国際電気 半導体原料
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) * 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
EP1717848A4 (en) 2004-02-17 2010-03-24 Toagosei Co Ltd PROCESS FOR PRODUCING SILICONE OXIDE FILM
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
EP1751325A4 (en) * 2004-06-04 2009-05-13 Applied Microstructures Inc STEAM-PHASE DEPOSITION CONTROLLING MULTILAYER COATINGS BONDED BY OXIDE LAYER
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) * 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) * 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
WO2006039503A2 (en) 2004-09-30 2006-04-13 Aviza Technology, Inc. Method and apparatus for low temperature dielectric for deposition using monomolecular precursors
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7282681B2 (en) 2005-05-05 2007-10-16 General Electric Company Microwave fabrication of airfoil tips
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
KR20080028963A (ko) * 2005-07-08 2008-04-02 에비자 테크놀로지, 인크. 실리콘 함유 필름의 증착 방법
JP4860953B2 (ja) * 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) * 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7544603B2 (en) * 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) * 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) * 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4618178B2 (ja) * 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
DE602006008190D1 (de) 2006-04-03 2009-09-10 Air Liquide Verfahren zur abscheidung von siliciumnitridfilmen und/oder siliciumoxidnitridfilmen mittels cvd
US7524750B2 (en) * 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) * 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7514375B1 (en) * 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7629273B2 (en) * 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7781352B2 (en) * 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2009027134A (ja) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
KR100866143B1 (ko) * 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
US7622369B1 (en) * 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
WO2011084752A2 (en) * 2010-01-07 2011-07-14 Applied Materials, Inc. In-situ ozone cure for radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (ja) 2010-04-05 2011-11-04 Denso Corp 排気ガス循環装置
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US9285168B2 (en) * 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US20120083133A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
JP5566845B2 (ja) * 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法
US8664127B2 (en) * 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060102977A1 (en) * 2004-07-01 2006-05-18 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US20070004170A1 (en) * 2005-06-14 2007-01-04 Atsuko Kawasaki Method of manufacturing semiconductor device
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US20090194809A1 (en) * 2008-02-04 2009-08-06 Nec Electronics Corporation Semiconductor memory and method for manufacturing the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105659366A (zh) * 2013-11-01 2016-06-08 应用材料公司 使用远程等离子体cvd技术的低温氮化硅膜
CN107408491A (zh) * 2015-02-27 2017-11-28 应用材料公司 氮化铝阻挡层
CN107408491B (zh) * 2015-02-27 2020-12-04 应用材料公司 氮化铝阻挡层

Also Published As

Publication number Publication date
US20110217851A1 (en) 2011-09-08
US8563445B2 (en) 2013-10-22
TW201142073A (en) 2011-12-01
WO2011109148A3 (en) 2012-02-23
TWI534290B (zh) 2016-05-21
KR20130014543A (ko) 2013-02-07
KR101853802B1 (ko) 2018-05-02
WO2011109148A2 (en) 2011-09-09
SG183873A1 (en) 2012-10-30
JP2013521650A (ja) 2013-06-10

Similar Documents

Publication Publication Date Title
CN102844848A (zh) 通过自由基成分化学气相沉积的共形层
CN102498551A (zh) 使用非碳可流动cvd处理形成氧化硅
CN102668045A (zh) 不含碳自由基成分cvd膜的氧掺杂
CN101802984B (zh) 在基板上形成氧化硅层的方法
CN102754193A (zh) 使用氧化物衬垫的可流动电介质
CN103890910B (zh) 等离子体活化保形电介质膜沉积的方法和装置
CN103688345A (zh) 用于减少脱气的表面处理及沉积
JP4987083B2 (ja) Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
CN102714156A (zh) 自由基成分cvd的原位臭氧固化
CN103975419B (zh) 等离子体活化保形电介质膜沉积
CN102687252A (zh) 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
CN103415914A (zh) 平面化后的致密化
TWI442471B (zh) 形成至少一介電層之方法與系統
KR20120091235A (ko) 인장성 막들에 대한 응력 관리
CN103477422A (zh) 低温氧化硅转换
CN103038868A (zh) 用于流动式cvd间隙填充的富含氧化物的衬垫层
CN102741989A (zh) 固化无碳可流动cvd膜
CN102668061A (zh) 后平坦化致密化
CN103348456A (zh) 自由基蒸汽化学气相沉积
TW200945415A (en) Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
TW201134977A (en) Reduced pattern loading using BIS(DIETHYLAMINO)SILANE (C8H22N2Si) as silicon precursor
CN103154102A (zh) 胺硬化的硅-氮-氢薄膜
CN108352303A (zh) 氧化硅的自对准遮蔽
KR20150126874A (ko) 제어되는 에어 갭 형성
TW201203315A (en) Preferential dielectric gapfill

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20121226