CN103149803A - 曝光装置、及元件制造方法 - Google Patents

曝光装置、及元件制造方法 Download PDF

Info

Publication number
CN103149803A
CN103149803A CN2013100203069A CN201310020306A CN103149803A CN 103149803 A CN103149803 A CN 103149803A CN 2013100203069 A CN2013100203069 A CN 2013100203069A CN 201310020306 A CN201310020306 A CN 201310020306A CN 103149803 A CN103149803 A CN 103149803A
Authority
CN
China
Prior art keywords
wafer
liquid
recess
inner periphery
moving body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2013100203069A
Other languages
English (en)
Other versions
CN103149803B (zh
Inventor
安田雅彦
杉原太郎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikon Corp
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Publication of CN103149803A publication Critical patent/CN103149803A/zh
Application granted granted Critical
Publication of CN103149803B publication Critical patent/CN103149803B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70975Assembly, maintenance, transport or storage of apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making

Abstract

能管理位置测量用标记未存在的移动体的位置。将以可拆装方式搭载既定形状的板件(50)的移动体(WST)的位置,以供界定其移动座标系统的测量装置(18等)来测量,并以对准系统(ALG)检测板件(50)的一部分,根据其检测结果与对应的该测量装置的测量结果,来取得板件(50)外周边缘的位置资讯。据此,即使于该移动体(WST)上位置测量用的标记(基准标记)等未存在,仍可根据板件外周边缘的位置资讯,在以该测量装置所界定的移动座标系统上管理板件的位置,亦即移动体的位置。

Description

曝光装置、及元件制造方法
本申请是申请日为2005年11月18日,申请号为200580038725.9的发明名称为“位置测量方法、位置控制方法、测量方法、装载方法、曝光方法及曝光装置、及元件制造方法”的发明专利申请的分案申请。
技术领域
本发明是关于位置测量方法、位置控制方法、测量方法、装载方法、曝光方法及曝光装置、以及元件制造方法,更详言之,是关于位置测量方法,用来测量以可拆装方式装载于移动体上的板件的位置资讯;利用该位置测量方法的位置控制方法;测量方法,用来测量搭载在移动体上,用以装载物体的板件(形成有开口)的资讯;利用该测量方法的物体的装载方法;利用该装载方法的曝光方法及适于实施该各方法的曝光装置;以及使用该曝光装置或该曝光方法的元件制造方法。
背景技术
现有习知,在供制造半导体元件(集成电路)、液晶显示元件等电子元件的微影步骤中,透过投影光学系统,将光罩或标线片(以下统称为“标线片”)的图案像转印于涂布有光阻(感光剂)的晶圆或玻璃板件等感光性物体(以下称为“晶圆”)上的复数个照射区域,主要是使用步进重复方式的缩小投影曝光装置(步进机)或步进扫描方式的投影曝光装置(扫描步进机(亦称扫描机))等。
然而,随着半导体元件的高集成化,电路图案的微细化,为了谋求提高投影曝光装置所具备的投影光学系统的解析度,曝光用光的波长(曝光波长)逐渐变短,并且,投影光学系统的数值孔径(NA)逐渐增大。另一方面,由于该些曝光波长的变短及投影光学系统的NA增大(大NA),而使焦点深度变小。曝光波长将来确实会更短,如此若焦点深度太小,则会产生曝光动作时聚焦裕度不足之虞。
因此,就实质缩短曝光用波长,且与空气中相较,增大(增广)焦点深度的方法而言,最近利用液浸法的曝光装置备受瞩目。就利用此液浸法的曝光装置而言,已知有以水或有机溶剂等液体局部填满投影光学系统下面与晶圆表面之间的状态下,进行曝光(例如,参照专利文献1)。在记载于该专利文献1的曝光装置中,液体中的曝光用光波长,是利用空气中的1/n倍(n是液体的折射率,通常为1.2~1.6左右)的特性来提高解析度,并且,与该解析度同样的解析度与未利用液浸法所得到的投影光学系统(能制造此种投影光学系统)相较,能扩大n倍焦点深度,亦即与空气中相较,实质上能扩大n倍焦点深度。
然而,最近提出,在曝光装置的晶圆载台,在保持于晶圆载台的晶圆周围,配置形成与晶圆大致呈一致的平坦部且能拆装的板件。将此种能拆装的板件使用在晶圆载台的情形,必须正确了解板件的位置。
又,于晶圆载台使用板件的情形,必须于该板件的中央部形成晶圆定位用的开口(例如,半导体晶圆的情形,使用圆形开口),但例如,板件的圆形开口真圆度低,成为变形圆形或椭圆形的情形,晶圆外周面与开口内周面间隙会变成不一样,而产生晶圆与板件的开口内壁面接触、或在板件的开口内无法插入晶圆等不良情况之虞。
又,因板件的开口与晶圆间的间隙非常窄,故装载晶圆时,若晶圆与板件的相对位置未正确对位,则晶圆装载动作变成不易进行。
又,使用液浸法的曝光装置的情形,在板件的开口边缘与晶圆外周边缘之间隙宽的部分,会有液体渗入之虞。
(专利文献1)国际公开第99/49504号文本
发明内容
从第1观点来看,本发明的位置测量方法,是测量以可拆装方式搭载于移动体上的既定形状的板件的位置资讯,其包含:
外周边缘位置取得步骤,是一边以界定其移动座标系统的测量装置测量该移动体的位置,一边检测该板件的一部分,并根据其检测结果与对应的该测量装置的测量结果,来取得该板件外周边缘的位置资讯。
依此,能将移动体(以可拆装方式搭载既定形状的板件)的位置,以限定其移动座标系统的测量装置来测量,且检测该板件的一部分,根据其检测结果与对应的该测量装置的测量结果,来取得该板件外周边缘的位置资讯。因此,在该测量装置所界定的移动座标系统上,能管理板件外周边缘的位置。
从第2观点来看,本发明的位置控制方法,是用以控制以可拆装方式搭载板件的移动体的位置;根据使用本发明的位置测量方法所测量的该板件外周边缘的位置资讯,控制该移动体的位置。
依此,因根据使用本发明的位置测量方法所测量的该板件外周边缘的位置资讯,控制该移动体位置,故能考量板件外周边缘的位置,来管理移动体的位置。
如此,本发明的位置控制方法能使用于曝光装置。因此,从第3观点来看,本发明的第1曝光装置,亦可使用本发明的位置控制方法。
从第4观点来看,本发明的测量方法,是用以测量以可拆装方式搭载于移动体上且形成有用以装载物体的开口的板件的资讯,其包含:
内周边缘位置取得步骤,检测该板件的一部分,并根据其检测结果来取得该开口内周边缘的位置资讯。
依此,检测以可拆装方式搭载于移动体上且形成有用以装载物体的开口的板件的资讯,并根据其检测结果来取得该开口内周边缘的位置资讯。因此,能根据该内周边缘的位置资讯,算出开口的位置或形状等。
从第5观点来看,本发明的装载方法,是用以将物体装载于移动体上,该移动体是以可拆装方式搭载具有装载物体用的开口的板件;根据使用本发明的测量方法所取得的该板件的开口内周边缘的位置资讯,将该物体装载于该移动体上的该板件开口内。
依此,根据使用本发明的测量方法所取得的该板件的开口内周边缘的位置资讯,将该物体装载于该移动体上的该板件的开口内。因此,容易将物体装载于移动体上的板件的开口内。
从第6观点来看,本发明的第1曝光方法,是用以将物体曝光,其包含以下步骤:
使用本发明的第1装载方法,将该物体装载于该移动体上的该板件的开口内;以及
将曝光用光束照射于该移动物体上所装载的该物体上。
依此,使用本发明的第1装载方法,将物体装载于移动体上的板件的开口内,将曝光用光束照射于该移动物体上所装载的物体上,以进行曝光。
从第7观点来看,本发明的第2装载方法,是用以将被处理物体装载于移动体上端部的凹部内,其包含以下步骤:
将物体装载于该移动体上的凹部内;以及
取得步骤,是取得该凹部内周边缘与装载于该凹部内的该物体上的位置关系资讯。
此处,“物体”亦包含被处理物体的概念。亦即,在装载步骤中,亦可将被处理物体装载于移动体的凹部内,亦可载置其他物体,例如,以取得上述位置关系为目的的专用物体。
无论如何,取得步骤是取得凹部内周边缘与装载于该凹部内的该物体的位置关系资讯。因此,根据所取得的位置关系,能以期望的位置关系将物体装载于移动体的凹部内。
从第8观点来看,本发明的第2曝光方法,是用以将被处理物体曝光,其包含以下步骤:
使用本发明的第2装载方法,在该移动体上的凹部内装载该被处理物体;以及
将曝光用光束照射于该移动体的凹部内所装载的该被处理物体。
依此,使用本发明的第2装载方法,在移动体上的凹部内装载被处理物体,将曝光用光束照射于该移动体的凹部内所装载的被处理物体上,以进行曝光。
从第9观点来看,本发明的第2曝光装置,是用以将曝光用光束照射于物体上,其具备:
第1载台,以可拆装方式搭载既定形状的板件;
位置测量系统,供测量该第1载台的位置;
检测装置,供检测该第1载台的一部分;以及
外周边缘位置取得装置,一边使用该位置测量系统测量该第1载台的位置,一边使用该检测装置检测该板件的一部分,并根据其检测结果与对应的该位置测量系统的测量结果,来取得该板件外周边缘的位置资讯。
依此,利用外周边缘位置取得装置,使用位置测量系统,一边测量以可拆装方式搭载于既定形状的板件的第1载台位置,一边使用检测装置检测该板件的一部分,并根据其检测结果与对应的该位置测量系统的测量结果,来取得该板件外周边缘的位置资讯。因此,在以该位置测量系统所界定的移动座标系统上,能管理搭载于第1载台的板件外周边缘的位置。
依第10观点来看,本发明的第3曝光装置,是用以将曝光用光束照射于物体上;其具备:
曝光用载台,用以搭载形成有开口的既定形状的板件,在该开口内装载物体;
位置测量系统,供测量该曝光用载台的位置;
检测装置,可检测该曝光用载台的一部分;以及
内周边缘位置取得装置,是一边使用该位置测量系统测量该曝光用载台的位置,一边使用该检测装置检测该板件的一部分,并根据其检测结果与对应的该位置测量系统的测量结果,来取得该开口内周边缘的位置资讯。
依此,利用内周边缘位置取得装置,一边使用位置测量系统测量曝光用载台位置,一边使用检测装置检测板件的一部分,并根据其检测结果与对应的该位置测量系统的测量结果,来取得该开口内周边缘的位置资讯。因此,根据该内周边缘的位置资讯,能取得开口的位置或形状等资讯。
在微影步骤中,使用本发明的第1~3曝光装置,借此能于物体上精度良好地形成图案,借此,能以高良率制造微元件。同样地,在微影步骤中,使用本发明的第1、第2曝光方法,借此能在物体上精度良好地形成图案,借此,能以高良率制造微元件。因此,进一步从另一观点来看,本发明的元件制造方法,是使用第1~3曝光装置中任一装置,或第1、第2曝光方法中任一方法。
附图说明
图1是表示一实施形态的曝光装置的概略构成图。
图2是表示图1的载台装置的立体图。
图3是表示图1的测量用载台的立体图。
图4是表示晶圆台的俯视图。
图5是用以说明干涉计系统的构成图。
图6是表示一实施形态的曝光装置的控制系统的主要构成方块图。
图7是表示朝晶圆台的基准状态复原动作时,主控制装置(内部CPU)的处理算法的流程图。
图8是用以说明以图7的流程图所示的处理算法开始条件的图,表示其开始时的晶圆台WTB位置一例的图。
图9(A)~(D)是分别表示取得拨液板外周边缘的位置资讯时,第1号、第2号、第3号、第4号的测量点定位于对准系统的摄影视野的状态。
图10(A)是表示依序测量拨液板的+Y侧端部边缘上复数处测量点的位置资讯时,晶圆台WTB移动的状态,图10(B)是表示于4边的边缘皆设定3点测量点情形的状态。
图11是表示从晶圆台上的拨液板交换到进行下一拨液板交换为止间进行一系列处理时,主控制装置(内部CPU)的处理算法的流程图(其1)。
图12是表示从晶圆台上的拨液板交换到进行下一拨液板交换为止间进行一系列处理时,主控制装置(内部CPU)的处理算法的流程图(其2)。
图13是表示步骤222的子路径的流程图。
图14是表示步骤236的子路径的流程图。
图15(A)~(D)是分别表示取得拨液板的开口内周边缘的位置资讯时,第1号、第2号、第3号、第4号的测量点定位于对准系统的摄影视野的状态。
图16(A)~(D)是分别表示取得拨液板的开口内周边缘的位置资讯时,第5号、第6号、第7号、第8号的测量点定位于对准系统的摄影视野的状态。
图17(A)是表示取得开口内周边缘上8处摄影资料状态的示意概念图,图17(B)是表示取得工具用晶圆外周边缘上8处摄影资料状态的示意概念图。
图18是表示拨液板边缘部附近的放大侧面图。
图19(A)~(D)是用以说明变形例的图(其一)。
图20(A)~(C)是用以说明变形例的图(其二)。
20:主控制装置              50:拨液板(板件)
50a:开口                    100:曝光装置
118:干涉计系统              132:液浸机构
ALG:对准系统(检测装置)      FM:基准标记板区域(测量用构件)
IL:照明光(曝光用光束)      Lq:水(液体)
MST:测量用载台(第2载台)     W:晶圆(物体)
WST:晶圆载台(第1载台、移动体)
WTB:晶圆台(曝光用载台)
具体实施方式
以下,根据图1~图17(B),说明本发明的一实施形态。
图1是表示本发明的位置测量方法、位置控制方法、测量方法、装载方法及曝光方法的实施,较佳的一实施形态的曝光装置100的概略构成。此曝光装置100是步进扫描方式的投影曝光装置,亦即扫描步进机(亦称为扫描机)。此曝光装置100具备:照明系统10、用来保持标线片R的标线片载台RST、投影单元PU、载台装置150(具有晶圆载台WST及测量用载台MST)、以及该些的控制系统。在晶圆载台WST上装载晶圆。
照明系统10,例如,揭示于日本特开2001-313250号公报及对应此的美国专利申请公开第2003/0025890号等般,是由光源、包含光学积分器[复眼透镜、棒型积分器(内面反射型积分器)或绕射光学元件等]等的照度均一光学系统、分束器、中继透镜、可变NA滤光器、及标线片遮板等(皆未图示)所构成。只要在本国际申请案所指定的指定国(或所选择的选择国)的国内法令许可下,援用上述公报及对应的美国专利申请公开说明书中的揭示作为本说明书的记载的一部分。
此照明系统10,是利用作为曝光用光束的照明光(曝光用光)IL,以大致均一照度来照明标线片R上的标线片遮板所界定的狭缝状的照明区域部分。此处,作为照明光IL举一例,能使用ArF准分子激光(波长为193nm)。
在该标线片载台RST上,电路图案等形成于其图案面(图1的下面)的标线片R,例如,是利用真空吸附来固定。标线片载台RST,例如,是利用包含线性马达等标线片载台驱动部11(图1中未图示,参照图6),在与照明系统10的光轴(与后述的投影光学系统PL的光轴AX一致)垂直的XY平面内能微驱动,并且,能以既定扫描方向(此处,是指图1中的纸面内左右方向的Y轴方向)所指定的扫描速度来驱动。
标线片载台RST的载台移动面内的位置(包含绕Z轴周围的旋转)是利用标线片激光干涉计(以下,称为“标线片干涉计”)116,透过移动镜15[实际上,设有Y移动镜(具有与Y轴方向正交的反射面)与X移动镜(具有与X轴方向正交的反射面)],例如,以0.5~1nm左右的解析度持续检测。此标线片干涉计116的测量值是传送至主控制装置20(图1未图示,参照图6),主控制装置20是依此标线片干涉计116的测量值,算出标线片载台RST的X轴方向、Y轴方向、及θz方向(绕Z轴周围的旋转方向)的位置,并且,依此算出结果,控制标线片载台驱动部11,借此控制标线片载台RST的位置(及速度)。此外,亦可替代移动镜15,镜面加工标线片载台RST的端面而形成反射面(相当于移动镜15的反射面)。
在标线片R上方,以既定距离间隔朝X轴方向设置由TTR(Through TheReticle)对准系统所构成的一对标线片对准检测系统RAa、Rab;该TTR对准系统是使用曝光波长的光透过投影光学系统PL以同时观察标线片R上的一对标线片对准标记与对应该些的测量用载台MST上一对基准标记(以下,称为“第1基准标记”)。作为该些标线片对准检测系统RAa、RAb,例如,能使用与揭示于日本特开平7-176468号公报(对应美国专利第5,646,413号)等同样的构成者。只要在本国际申请案所指定的指定国(或所选择的选择国)的国内法令许可下,援用上述公报及对应的美国专利说明书中的揭示作为本说明书的记载的一部分。
该投影单元PU是配置于标线片载台RST的图1中的下方。投影单元PU是由镜筒40与投影光学系统PL(由以既定位置关系保持于该镜筒40内的复数个光学元件所构成)所构成。作为投影光学系统PL,能使用折射光学系统(由具有Z轴方向共通的光轴AX的复数个透镜(透镜元件)所构成)。此投影光学系统PL,例如,是两侧远心且具有既定的投影倍率(例如,1/4或1/5倍)。因此,利用来自照明系统10的照明光IL,来照明标线片R上的照明区域,利用透过此标线片R的照明光IL,透过投影光学系统PL(投影单元PU),将该照明区域内的标线片R的电路图案缩小像(电路图案一部分的缩小像),形成于与表面涂布有光阻(感光剂)的晶圆W上的该照明区域呈共轭的区域(曝光区域)。
此外,本实施形态的曝光装置100,因使用液浸法来进行曝光,故随着数值孔径NA的实质增大,标线片侧的开口亦变大。因此,在仅由透镜所构成的折射光学系统中,不易满足珀兹伐(Petzval)条件,投影光学系统会有大型化的倾向。为了避免该投影光学系统的大型化,亦可使用包含反射镜与透镜所构成的反射折射系统。
又,本实施形态的曝光装置100,因使用液浸法来进行曝光,故在构成投影光学系统PL的最像面侧(晶圆侧)的光学元件的透镜(以下,亦称为“前透镜”)91的附近,设有液体供应嘴51A(构成液浸机构132)与液体回收嘴51B。
在该液体供应嘴51A,其一端是连接未图示的供应管(连接于液体供应装置88(在图1中未图示,参照图6)),该液体回收嘴51B,其一端是连接未图示的回收管(连接于液体回收装置92(在图1中未图示,参照图6))。
该液体供应装置88是由液体的储存槽、加压泵、温度控制装置、以及阀(对供应管用来控制液体的供应及停止)所构成。就阀而言,例如,不仅能供应及停止液体,而且亦能调整流量,较佳是使用流量控制阀。该温度控制装置是将液体储存槽内的液体温度调整为与收容曝光装置本体的室(未图示)内的温度相同的温度。
此外,用来供应液体的储存槽、加压泵、温度控制装置、以及阀等不必全部具备于曝光装置100,亦能以设置曝光装置100的工厂等设备来代替至少一部分。
该液体回收装置92是由液体的储存槽、吸引泵、以及阀(通过回收管,用来控制液体的回收及停止)所构成。就阀而言,较佳是对应该液体供应装置88侧的阀,使用流量控制阀。
此外,用来回收液体的储存槽、吸引泵、以及阀等不必全部具备于曝光装置100,亦能以设置曝光装置100的工厂等设备来代替至少一部分。
作为上述液体,此处,能使用透过ArF准分子激光(波长为193nm)的超纯水(以下,除了特别必要的情形外,简称为“水”)。超纯水在半导体制造工厂等容易大量取得,并且具有对晶圆上的光阻或光学透镜等无不良影响的优点。
水对ArF准分子激光的折射率n大致为1.44。该水中,照明光IL的波长被缩短为193nm×1/n=约134nm。
该液体供应装置88及液体回收装置92皆具备控制器,各控制器由主控制装置20控制(参照图6)。液体供应装置88的控制器根据来自主控制装置20的指示,以既定开度打开连接于供应管的阀,通过液体供应嘴51A,将水供应于前透镜91与晶圆W(或后述的板件)之间。又,此时,液体回收装置92的控制器根据来自主控制装置20的指示,以既定开度打开连接于回收管的阀,通过液体回收嘴51B,将水从前透镜91与晶圆W间回收于液体回收装置92(液体的储存槽)的内部。此时,主控制装置20,在前透镜91与晶圆W之间,从液体供应嘴51A供应的水量与通过液体回收嘴51B所回收的水量以持续保持相等的方式,对液体供应装置88的控制器及液体回收装置92的控制器发出指令。因此,被保持于前透镜91与晶圆W间的水Lq持续更新。
由上述说明可知,本实施形态的液浸机构132是由上述液体供应装置88、液体回收装置92、供应管、回收管、液体供应嘴51A、以及液体回收嘴51B等所构成的局部液浸机构,将晶圆W曝光的情形,于晶圆W上的一部分形成液浸区域。
此外,在投影单元PU下方设有测量用载台MST的情形,亦与上述同样,在后述的测量用台MTB与前透镜91间亦能填满水。
此外,上述说明中,为了简化其说明,虽分别设有液体供应嘴与液体回收嘴,但未限于此,例如,如揭示于国际公开第99/49504号小册子般,亦可采用具有多数个嘴的构成。主要是若能在构成投影光学系统PL最下端的光学构件(前透镜)91与晶圆W间供应液体,则其构成亦可任意者。例如,揭示于国际公开第2004/053955号说明书的液浸机构,或揭示于欧洲专利公开第1420298号公报的液浸机构亦能适用于本实施形态的曝光装置。
该载台装置150具备:框架FC、设于该框架FC上的底盘12、配置于该底盘12上面上方的晶圆载台WST及测量用载台MST、作为位置测量系统(包含作为测量该些载台WST及MST位置的位置测量装置的干涉计16、18)的干涉计系统118(参照图6)、以及供驱动载台WST、MST的载台驱动部124(参照图6)。
该框架FC,由以立体图表示载台装置150的图2可知,在其X侧方向一侧与另一侧端部附近,把Y轴方向当作长边方向,朝上方凸出的凸部FCa、FCb是由一体形成的大致平板状的构件所构成。
该底盘12是由称为定盘的板状构件所构成,配置于框架FC的该凸部FCa、FCb间的区域上。底盘12上面的平坦度被加工得非常高,作为晶圆载台WST及测量用载台MST移动时的导引面。
该晶圆载台WST是如图2所示,具备:配置于底盘12上的晶圆载台本体28;以及晶圆台WTB,是通过未图示的Z倾斜驱动机构搭载于该晶圆载台本体28上作为曝光用载台。Z倾斜驱动机构,实际上,是由以3点支撑晶圆台WTB的3个致动器(例如,音圈马达)等所构成,能朝Z轴方向、θx方向(绕X轴周围的旋转方向)、θy方向(绕Y轴周围的旋转方向)的3自由度方向微驱动。
该晶圆载台本体28是由以截面矩形框状且朝X轴方向延伸的中空构件所构成。在此晶圆载台本体28的下面,设有复数个例如4个未图示的空气静压轴承(例如,空气轴承),通过该些空气轴承,晶圆载台WST是通过数μm左右之间隙以非接触方式支撑于该导引面上方。
在该框架FC的凸部FCa上方,如图2所示,配置朝Y轴方向延伸的Y轴用固定构件86。同样地,在该框架FC的凸部FCb上方,配置朝Y轴方向延伸的Y轴用固定构件87。该些Y轴用固定构件86、87是利用设于各下面未图示的空气静压轴承(例如,空气轴承),通过既定间隙浮置支撑于凸部FCa、FCb的上方。Y轴用固定构件86、87于本实施形态中是由沿Y轴方向以既定间隔配置的具有复数个永久磁铁的磁极单元所构成。
在该晶圆载台本体28的内部设有可动构件90,是由沿X轴方向以既定间隔配置的具有复数个永久磁铁的截面U字形磁极单元所构成。
在可动构件90的内部空间,插入沿X轴方向的X轴用的固定构件80。此X轴用的固定构件80,是由沿X轴方向以既定间隔配置的内设复数个电枢线圈的电枢单元所构成。此种情形,利用由磁极单元所构成的可动构件90与由电枢单元所构成的X轴用固定构件80,构成使晶圆载台WST朝X轴方向驱动的动磁型的X轴线性马达。以下,使用与该固定构件(X轴用固定构件)80同一符号,适当称上述X轴线性马达为X轴线性马达80。亦可使用动圈型线性马达。
在该X轴用固定构件80的长边方向一侧与另一侧端部,分别固定可动构件(例如,由沿Y轴方向以既定间隔配置的内设复数个电枢线圈的电枢单元所构成)82、83。该些可动构件82、83是分别从内侧插入前述的Y轴用固定构件86、87。即,本实施形态,利用由电枢单元所构成的可动构件82、83与由磁极单元所构成的Y轴用固定构件86、87,构成动圈型的2个Y轴线性马达。以下,使用与各可动构件82、83同一符号,适当称上述2个Y轴线性马达为Y轴线性马达82、Y轴线性马达83。此外,亦可使用动磁型线性马达作为Y轴线性马达82、83。
即,晶圆载台WST是利用X轴线性马达80朝X轴方向驱动,并且,利用一对Y轴线性马达82、83,与X轴线性马达80一体朝Y轴方向驱动。又,晶圆载台WST使Y轴线性马达82、83所产生的Y轴方向的驱动力稍差异,借此亦能朝θz方向旋转驱动。
晶圆台WSB,如图4的俯视图所示,是俯视呈大致正方形,在其上面,设有供保持晶圆W的夹头方式的晶圆保持具WH以及板保持具PH。
晶圆保持具WH是如图4所示,具备:在晶圆台WTB上面的中央部的既定面积圆形区域内以既定间隔设置的复数个第1销32(32、……)、第1边缘部30(由包围配置该第1销32、32、……的圆形区域的圆环状凸部所构成)、以及3个圆筒状的第2边缘部35A、35B、35C[分别凸设于与该圆形区域的中心(保持具中心)的距离相等的大致正方形的各顶点位置]。各第1销32的前端、第1边缘部30、以及第2边缘部35A、35B、35C的上端面是设定为大致同一高度。
在该第2边缘部35A、35B、35C的内周,分别形成俯视圆形的贯穿孔39,在各贯穿孔的内部具有圆柱形状,在上下方向(图4中与纸面正交方向)分别设有可动的上下移动销(中心凸部)34a、34b、34c。该些3个中心凸部34a~34c是通过构成载台驱动部124(参照图6)的未图示的上下移动机构,在上下方向(在图4中与纸面正交的Z轴方向),同时仅以同一量,使升降(上下移动)。装载晶圆及卸载晶圆时,中心凸部34a~34c利用上下移动机构来驱动,借此利用中心凸部34a~34c,从下方支撑晶圆W,能以该状态使晶圆W上下移动。
在被晶圆台WTB上面的该第1边缘部30包围的圆形区域,如图4所示,复数个排气口36从该圆形区域的中心(保持具中心)以既定间隔形成辐射状(具有大致120°的中心角间隔的3条半径线方向)。该些排气口36形成于与第1销32未干涉的位置。各排气口36分别通过该些正下方的配管,分别连接于形成于晶圆台WTB内部的排气路38A、38B、38C,该些排气路38A、38B、38C分别通过真空排气管41a、41b、41c,连接于第1真空排气机构44(参照图6)。
本实施形态,晶圆W被装载于晶圆台WTB的晶圆保持具WH上,当利用主控制装置20,通过第1真空排气机构44开始真空排气动作时,被该晶圆W与第1边缘部30与3个第2边缘部35A、35B、35C包围的空间内部会成为负压状态,该晶圆W被吸附保持于复数个第1边缘部32与第1边缘部30与3个第2边缘部35A、35B、35C。
在晶圆台WTB上面的该第1边缘部30的外侧,凸设由与该第1边缘部30同心的圆环状凸部所构成的第3边缘部45。在第3边缘部45的外侧,形成其内侧被第3边缘部45区隔、外侧被晶圆台WTB的外部隔壁48包围的凹部49。在凹部49的内部底面,以既定间隔设有复数个第2销53,其前端的高度与第3边缘部45及外部隔壁48同一高度。此种情形,第3边缘部45及外部隔壁48上端面的高度是设定成较第1隔壁若干低。在如此构成的第3边缘部45及外部隔壁48以及复数个第2销53上,以可拆装方式搭载中央部具有圆形开口50a的大致正方形的板件的拨液板(例如拨水板)50。此拨液板50,扩及周围全体,外周面是以较晶圆台WTB外部隔壁48的外面朝外侧稍凸出的状态,搭载于晶圆台WTB上。亦即,包含晶圆台WTB上面的第3边缘部45及外部隔壁48及复数个第2销53,构成用以保持拨液板50的夹头方式的板保持具PH。
此处,在设有构成该板保持具PH的被第3边缘部45与外部隔壁48区隔的复数个第2销53的区域,亦与上述晶圆保持具WH同样,以既定间隔形成复数个排气口(未图示),各排气口分别通过该些正下方的配管,分别连接于晶圆台WTB内部所形成的未图示的排气路,该些排气路分别通过未图示的真空排气管,连接于图6所示的第2真空排器气机构56。
本实施形态,利用主控制装置20,通过上述第2真空排气机构56,拨液板50与第3边缘部45与外部隔壁48包围的空间(凹部49的内部空间)内部被真空吸引,拨液板50被吸附保持于板保持具PH。此处,例如,由于容易拆卸拨液板50,因此于上述空间内部,设有与前述中心凸部34a~34c同样的上下移动销,主控制装置20亦可控制该上下移动销的驱动机构。
本实施形态,在被上述板保持具PH吸附保持的拨液板50的上面与被吸附保持于晶圆保持具WH的晶圆W的表面以使其大致位于同一高度的方式(参照图1),来设定构成晶圆保持具WH及板保持具PH的各部高度。又,在保持于板保持具PH的状态下,拨液板50的开口50a内周边缘是与第3边缘部45内周壁大致一致。亦即,本实施形态,在第3边缘部45与拨液板50的开口50a内壁面的内侧,形成用以装载晶圆W的凹部140,在该凹部140内设有晶圆保持具WH。又,晶圆W的外周边缘与拨液板50的开口50a内周边缘间之间隙例如以0.1~0.4mm左右的值,来设定开口50a的形状及大小。又,晶圆W以保持于晶圆保持具WH的状态,在晶圆台WTB的上面,外观上形成全平坦面。
此外,晶圆台WTB是由热膨胀率低的材料(例如,陶瓷等具有某种程度弹性材料)所形成,将整体呈大致正方形的陶瓷等材料表面蚀刻,借此,第1边缘部30、第2边缘部35A、35B、35C、第3边缘部45、复数个第1销32、以及复数个第2销53等一体形成。
在该拨液板50的表面,施以使用氟系材料等的拨液处理(此处,进行拨液涂层等拨液处理),形成拨液面(拨水面)。拨液板50的拨液(拨水)面,一般不能承受远紫外域或真空紫外域的光,由于该曝光用光的照射,使得拨液(拨水)性能劣化。又,在拨液板50的上面,因亦有形成液体附着痕迹(水痕等)之虞,故使拨液板50能易于拆装(更换)。此外,拨液板50的保持不仅能以真空吸附方式来进行,亦能以静电吸附等另一方式来进行。
又,在晶圆W的表面涂布有光阻(感光剂)。本实施形态,举一例作为感光剂是使用ArF准分子激光用感光剂,且具有拨液性(拨水性,接触角为80°~85°)者。当然,亦可于该感光剂的上层,涂布具有拨液性(与液体的接触角为90°~120°)的顶涂布层的形成材料。此外,晶圆W的表面,亦可未必具有拨液性,亦可使用与液体的接触角为60°~80°左右的光阻。又,亦可于晶圆W的侧面及背面的至少一部分施以拨液处理。同样地,亦可于晶圆保持具WH、板保持具PH的至少一部分施以拨液处理。
上述方式所构成的晶圆台WTB位置是利用干涉计系统118(参照图6)进行测量,关于此点进行后述。
该测量系统MST是如图2所示,由把X轴方向当作长边方向的Y载台81等复数个构件的组合所构成,通过设于其最下面(最接近底盘12的构件的下面)的复数个空气静压轴承(例如,空气轴承),在底盘12的上面(导引面)上方,通过数μm左右的间隙,以非接触方式支撑。
测量用载台MST,由图3的立体图可知,具备:Y载台81,是具有分别固定于朝X轴方向细长的长方形的板状测量用载台本体81c与该测量用载台本体81c上面的X轴方向的一侧、另一侧的一对凸出部81a、81b;调平用台52,是配置于该测量系统本体81c的上面上方;以及测量用台MTB,是设于该调平用台52上。
在构成该Y载台81的测量用载台本体81c的X轴方向的一侧与另一侧端面,分别固定由电枢单元(内设沿Y轴方向,以既定间隔配置的复数个电枢线圈)所构成的可动构件84、85。该些可动构件84、85皆分别从内侧插入前述的Y轴用的固定构件86、87。亦即,本实施形态,利用由电枢单元所构成的可动构件84、85与由该可动构件84、85皆插入的磁极单元所构成的Y轴用固定构件86、87,构成二个动圈型Y轴线性马达。以下,使用与各可动构件84、85相同的符号,将上述二个Y轴线性马达亦分别适当称为Y轴线性马达84、Y轴线性马达85。本实施形态,利用该些Y轴线性马达84、85,使测量用载台MST全体朝Y轴方向驱动。此外,亦能把此Y轴线性马达84、85作为动磁型线性马达。
在该测量用载台本体81c的底面,设有前述的复数个空气静压轴承。在此测量用载台本体81c上面的X轴方向一侧、另一侧的+Y侧端部附近,该一对凸出部81a、81b是以相互对向的方式固定。在该些凸出部81a、81b相互间,在XY面内,分别朝X轴方向延伸的固定构件61、固定构件63,以隔既定间隔架设于Z轴方向(上下)。
在该调平用台52的+X侧端面,设有X音圈马达54a的可动构件,该X音圈马达54a的固定构件是固定于测量用载台本体81c的上面。又,在该调平用台52的-Y侧端面,分别设有Y音圈马达54b、54c的可动构件,该些Y音圈马达54b、54c的固定构件是固定于测量用载台本体81c的上面。该X音圈马达54a是由,例如由磁极单元所构成的可动构件与由电枢单元所构成的固定构件所构成,利用该些间电磁相互作用,产生X轴方向的驱动力。又,该Y音圈马达54b、54c亦同样构成,产生Y轴方向的驱动力。亦即,调平用台52是利用X音圈马达54a,使Y载台81朝X轴方向驱动,利用Y音圈马达54b、54c,使Y载台81朝Y轴方向驱动。又,使Y音圈马达54b、54c所产生的驱动力不同,借此能将调平用台52使Y载台81朝绕Z轴周围旋转方向(θz方向)驱动。
在该调平用台52的内部,分别配置产生Z轴方向驱动力的3个Z音圈马达(省略图示)。
亦即,调平用台52利用前述的X音圈马达54a、Y音圈马达54b、54c、以及配置于内部未图示的Z音圈马达,能以非接触方式,微幅驱动于6自由度方向(X、Y、Z、θx、θy、θz)。
返回图3,该测量用台MTB具备:测量用台本体59;以及可动构件62、64,是把上下并排固定于该测量用台本体59的+Y侧面的X轴方向当作长边方向,截面大致呈U字形。
该可动构件62具备:YZ截面大致呈U字形的可动构件轭;以及永久磁铁组,是由沿X轴方向以既定间隔,且交互配置于该可动构件轭内面(上下面)的N极永久磁铁与S极永久磁铁的复数组所构成;且成为卡合于该固定构件61的状态。在可动构件62的可动构件轭的内部空间,沿X轴方向,形成交替磁场。该固定构件61是由电枢单元(例如,内设沿X轴方向,以既定间隔配置的复数个电枢线圈)所构成。亦即,利用固定构件61与可动构件62,构成使测量用台MTB朝X轴方向驱动的动磁型的X轴线性马达LX。
该可动构件64具备:YZ截面大致呈U字形的可动构件轭;以及设于该可动构件轭内面(上下面)各一个N极永久磁铁与S极永久磁铁,形成卡合于前述固定构件63的状态。在可动构件64的可动构件轭的内部空间,形成+Z方向或-Z方向的磁场。该固定构件63具备:电枢线圈,是配置成利用N极磁铁与S极磁铁,形成于其内部的磁场中,以仅于X轴方向上使电流流动。亦即,利用可动构件64与固定构件63,构成使测量用台MTB朝Y轴方向驱动的动磁型的Y音圈马达VY。
由上述说明可知,本实施形态是利用Y轴线性马达82~85及X轴线性马达80、供驱动晶圆台WTB的未图示的Z倾斜驱动机构、测量用载台上的上述各马达(54a~54c、LX、VY、以及未图示的Z音圈马达),构成图6所示的载台驱动部124。构成此载台驱动部124的各种驱动机构是由图6所示的主控制装置20控制。
该测量用台MTB进一步具备:用以进行与曝光相关的各种测量的测量器类。进一步详述此,是在测量用台本体59的上面,例如,设有由Zerodur(Schott公司的商品名)或石英玻璃等玻璃材料所构成的板件101。在此板件101上,大致全面涂布铬,在各处设有测量器用区域,或标线片透射率的测量等时所使用的高低基准反射面区域,或基准标记区域FM[形成有日本特开平5-21314号公报(对应美国专利第5,243,195号)或日本特开平10-050600号公报(对应美国专利第6,243,158号)等所揭示的复数个基准标记]。该基准标记区域构成测量构件。板件101的表面为平坦面。又,只要在本国际申请案所指定的指定国(或所选择的选择国)的国内法令许可下,援用上述各公报及对应的各美国专利说明书中的揭示作为本说明书的记载的一部分。
在该测量器用区域施以图案化,且各种测量用开口图案。作为此测量用开口图案,例如,形成空间像测量用开口图案(例如狭缝状开口图案)、照明不均测量用针孔开口图案、照度测量用开口图案、以及波面像差测量用开口图案等。
在该空间像测量用开口图案下方的测量用台本体59内部设有受光系统,其透过该空间像测量用开口图案,接收透过投影光学系统PL及水照射于板件101的曝光用光,借此构成例如日本特开2002-14005号公报(对应美国专利申请公开第2002/0041377号说明书)等所揭示的空间像测量器,测量被投影光学系统PL投影的图案空间像(投影像)的光强度。只要在本国际申请案所指定的指定国(或所选择的选择国)的国内法令许可下,援用上述公报及对应的美国专利申请公开说明书中的揭示作为本说明书的记载的一部分。
又,在照明不均测量用针孔开口图案下方的测量用台本体59内部,设有包含受光元件的受光系统,借此构成照度不均测量器[例如揭示于日本特开昭57-117238号公报(对应美国专利第4,465,368号)等],其具有在投影光学系统PL的像面上接收照明光IL的针孔状受光部。只要在本国际申请案所指定的指定国(或所选择的选择国)的国内法令许可下,援用上述公报及对应的美国专利说明书中的揭示作为本说明书的记载的一部分。
又,于照度测量用开口图案下方的测量用台本体59内部,例如,设有包含受光元件的受光系统,借此构成照度监控器[例如在日本特开平11-16816号公报(对应美国专利申请公开第2002/0061469号说明书)等所揭示者],其具有在投影光学系统PL的像面上透过水接收照明光IL的既定面积的受光部。只要在本国际申请案所指定的指定国(或所选择的选择国)的国内法令许可下,援用上述公报及对应的美国专利申请公开说明书中的揭示作为本说明书的记载的一部分。
又,在波面像差测量用开口图案下方的测量用台本体59内部,例如,设有包含微透镜阵列的受光系统,借此构成波面像差测量器[例如,揭示在国际公开第99/60361号小册子说明书(对应欧洲专利第1,079,223号说明书)等]。只要在本国际申请案所指定的指定国(或所选择的选择国)的国内法令许可下,援用上述国际公开小册子及对应的欧洲专利说明书中的揭示作为本说明书的记载的一部分。
此外,图6中,上述空间像测量器、照度不均测量器、照度监控器、以及波面像差测量器是以测量器组43来表示。
此外,本实施形态是透过投影光学系统PL与水,利用曝光用光(照明用光)IL,进行将晶圆W曝光的液浸曝光,使用照明光IL的测量所使用的上述照度监控器、照度不均测量器、空间像测量器、以及波面像差测量器等是透过投影光学系统PL及水,来接收照明光IL。因此,亦可于板件101的表面施以拨水涂层。又,上述各测量器,例如,亦可仅光学系统等的一部分搭载于测量用载台MST,亦可将测量器全体配置于测量用载台MST。又,上述空间像测量器、照度不均测量器、照度监控器、以及波面像差测量器未必具备其全部,亦可视需要,仅搭载一部分。
上述方式构成的测量用载台MST(测量用台MTB)的位置是利用后述的干涉计系统118(参照图6)来测量。
又,本实施形态的曝光装置100,在供保持投影单元PU的保持构件,设有作为图1所示的离轴对准系统(以下,简称为“对准系统”ALG)。作为此对准系统ALG,能使用影像处理方式的FIA(场像对准:Field ImageAlignment)系统的感测器,例如,揭示于日本特开2001-257157号公报(对应美国专利申请公开第2001/0023918号)或日本特开平8-213306号公报(对应美国专利申请公开第2001/0023918号)或日本特开平8-213306号公报(对应美国专利第5,783,833号)等,将未使晶圆上的光阻感光的宽带的检测用光束照射于对象标记,利用来自该对象标记的反射光,使用摄影元件(CCD等)拍摄成像于受光面的对象标记像与未图示的指标(设于对准系统ALG内的指标板上的指标图案)的像,并输出该摄影讯号。来自对准系统ALG的摄影讯号是供应至图6的主控制装置20。又,只要在本国际申请案所指定的指定国(或所选择的选择国)的国内法令许可下,援用上述各公报及对应的美国专利申请公开说明书、或美国专利说明书中的揭示作为本说明书的记载的一部分。
此外,作为对准系统ALG,未限于FIA系统,当然能单独或适当组合对准感测器,将相干(coherrent)的检测光照射于对象标记,检测从该对象标记产生的散射光或绕射光,或使从该对象标记所产生的两个绕射光(例如,同次数的绕射光,或朝同方向绕射的绕射光)干涉而检测。
此外,保持对准系统ALG的光学元件或光学元件的保持构件等,亦可配置于晶圆台WTB的移动面附近,在担心因液体飞散而附着液体的构件设置拨水性盖。又,光学元件与供保持该光学元件的保持构件的间隙等,在担心液体朝对准系统ALG渗入的间隙配置O形环等密封构件。进而,对准系统ALG的终端的光学元件表面或固定于对准系统ALG的干涉计用的反射镜表面等,配置于晶圆台WTB移动面附近的光学构件表面是以拨液性材料加以被膜,不仅能防止附着水,而且即使附着水,操作者等作业者亦能轻易擦去。
进而,本实施形态的曝光装置100,图1中虽省略图示,其设有包含照射系统90a及受光系统90b(参照图6)的例如与日本特开平6-283403号公报(对应美国专利第5,448,332号)等所揭示者同样的斜入射方式的多点焦点检测系统。本实施形态,举一例,照射系统90a是在投影单元PU的-X侧,以悬吊方式支撑于供保持投影单元PU的保持构件,受光系统90b是在投影单元PU的+X侧,以悬吊方式支撑于保持构件的下方。亦即,照射系统90a及受光系统90b、与投影光学系统PL是安装于同一构件,两者的位置关系维持一定。又,只要在本国际申请案所指定的指定国(或所选择的选择国)的国内法令许可下,援用上述公报及对应的美国专利说明书中的揭示作为本说明书的记载的一部分。
其次,说明干涉计系统118的构成及作用。
在该晶圆台WTB的-X侧端面及-Y侧端面,施以镜面加工,如图2所示,分别形成反射面17X、17Y。又,在该测量用台MTB的-X侧端面、+Y侧的端面、以及-Y侧端面,施以镜面加工,分别形成反射面117X、117Y1、117Y2
干涉计系统118是如图5所示,由Y轴干涉计16、18、78以及X轴干涉计46、66、76所构成。
Y轴干涉计16、18皆具有,连结投影光学系统PL的投影中心(光轴AX)以及对准系统ALG的检测中心的与Y轴平行的测长轴。该些Y轴干涉计16、18皆是具有至少3条光轴的多轴干涉计,各光轴的输出值能独立测量。又,X轴干涉计46,是具有在Y轴干涉计16、18的测长轴与投影光学系统PL的投影中心垂直交叉的测长轴。该些X轴干涉计46、66皆是具有至少2条光轴的多轴干涉计,各光轴的输出值能独立测量。上述4个干涉计16、18、46、66的输出值(测量值)是供应至图6所示的主控制装置20。例如,图5的状态,来自Y轴干涉计16的干涉计光束(测长光束)是投射于测量用台WTB的反射面117Y1,来自Y轴干涉计18的干涉计光束(测长光束)是投射于测量用台WTB的反射面17Y,来自X轴干涉计46的干涉计光束(测长光束)是投射于测量用台WTB的反射面117X,来自X轴干涉计66的干涉计光束(测长光束)是投射于测量用台WTB的反射面17X。干涉计16、18、46、66是分别接收来自各光轴测长光束的该各反射面的反射光,借此,在每一光轴,测量从各反射面的基准位置(一般,于投影单元PU侧面或离轴对准系统ALG(参照图6、图5等)的侧面配置固定反射镜,把此当作基准面)的测量方向的位移。
图5的情形,主控制装置20是根据来自Y轴干涉计18的输出值,不仅测量晶圆台WTB的Y轴方向的位置(Y位置),亦测量绕X轴周围的旋转量(纵转量)及绕Z轴周围的旋转量(偏转量)。又,主控制装置20是根据来自Y轴干涉计16的输出量,不仅测量测量用台MTB的Y轴方向位置(Y位置),亦测量绕X轴周围的旋转量(纵转量)及绕Z轴周围的旋转量(偏转量)。又,主控制装置20是根据来自X轴干涉计66的输出值(测量值),不仅测量晶圆台WTB的X轴方向位置(X位置),亦测量绕Y轴周围的旋转量(横转量)。又,主控制装置20是根据来自X轴干涉计46的输出值(测量值),测量测量用台MTB的X位置以及横转量。
由图5可知,本实施形态,来自Y轴干涉计18的干涉计光束是在晶圆载台WST的对准时及曝光时的移转范围的全区域,持续投影至移动镜17Y,来自Y轴干涉计16的干涉计光束是在测量用载台MST的移转范围的全区域,持续投影至移动镜117Y1。因此,针对Y轴方向,除了晶圆载台WST移动至以二点链线表示于图5中的晶圆交换位置的情形等外,载台WST、MST的Y位置是由主控制装置20根据Y轴干涉计18、16的测量值进行管理。
另一方面,亦由图2及图5可知,主控制装置20,仅来自X轴干涉计46的干涉计光束,在反射面17X所照射的范围,根据X轴干涉计46的输出值,管理晶圆台WTB(晶圆载台WST)的X位置,并且,仅来自X轴干涉计46的干涉计光束,在反射面117X所照射的范围,根据X轴干涉计46的输出值,管理测量用台MTB(测量用载台MST)的X位置。
又,主控制装置20,来自X轴干涉计46及X轴干涉计66的干涉计光束是同时包含反射面17X所照射的范围,晶圆对准时,晶圆台WTB(晶圆载台WST)的X位置是使用X轴干涉计66进行管理,曝光时的晶圆台WTB(晶圆载台WST)的X位置是使用X轴干涉计46进行管理。借此,即使于晶圆对准时及曝光时,亦无阿贝(Abbe)误差,而能管理晶圆台WTB(晶圆载台WST)的X位置。
剩余的X轴干涉计76、Y轴干涉计78,是当位于以干涉计46、66、18无法管理的晶圆交换位置附近时用以管理晶圆载台WST位置的干涉计。根据该些干涉计76、78的测量值,主控制装置20是根据干涉计46、66、18的输出值,管理无法管理X位置期间的晶圆台WTB(晶圆载台WST)的位置。
又,测量用载台MST由图5的状态进一步位于+Y侧的待机位置时,X轴干涉计66,当然,来自X轴干涉计46的干涉计光束亦未照射至反射面117X。由此状态将测量用载台MST移动至-Y方向时,主控制装置20,来自X轴干涉计46的干涉计光束是由未照射至反射面117X的状态,在开始照射反射面117X后的时点,重置当时无法用来控制的X轴干涉计46,然后,使用X轴干涉计46,管理测量用台MTB(测量用载台MST)的X位置。其他的干涉计能进行使用邻接的干涉计的输出(测量值)的重置(连结重置)动作。亦即,在各干涉计的重置前时点,来自邻接的两台干涉计的测长光束是在同时照射至反射面的时点,在其之前,仍旧使用晶圆载台WST或测量用载台MST的位置控制所使用的X轴干涉计或Y轴干涉计的测定值,将重置对象的干涉计重置(预设),借此,能无妨碍地使用其重置后的干涉计,能管理晶圆载台WST或测量用载台MST的位置。当然,测量用台MTB位于待机位置时,亦可追加供测量测量用台MTB的X轴方向位置的干涉计。
进而,本实施形态的曝光装置100,晶圆交换位置(装载位置)能定位于晶圆载台WST可移动范围的+X侧端部附近且-Y侧端部附近的位置,在此晶圆交换位置有晶圆载台WST时,进行标线片对准及对准系统ALG的基线测量。于此晶圆交换位置有晶圆载台WST时,因来自Y轴干涉计18的干涉计光束(测长光束)照射至测量用台MTB的反射面117Y2,故首先,主控制装置20重置该Y轴干涉计18的测量值。接着,主控制装置20使用该重置后的Y轴干涉计18与X轴干涉计46,管理测量用台MTB的位置,并开始标线片对准及对准系统ALG的基线测量的一系列动作。此是因为晶圆对准时及曝光时,使用晶圆台WTB(晶圆载台WST)的位置测量所使用的Y轴干涉计18,管理测量用台MTB的位置,并使用测量用台MTB上的前述基准标记区域FM测量基线,使用其所测量的基线,进行曝光时的晶圆台WTB的位置控制,借此防止产生因控制所使用的干涉计的差异所造成的位置误差之故。
本实施形态,标线片对准时,利用主控制装置20,液浸机构132的液体供应装置88及液体回收装置92的各阀的开闭控制是如前述般进行,在投影光学系统PL的前透镜91与测量用台WTB的基准标记区域FM之间,持续填满水。接着,借由主控制装置20,使用标线片对准检测系统RAa、RAb,检测出与标线片R上的至少一对标线片对准标记的基准标记区域FM上的至少一对第1基准标记的相对位置(第1相对位置),然后,测量用台WTB根据基线的设计值,使基准标记区域FM移动至位于对准系统ALG的正下方位置,以水Lq未存在于基准标记区域FM上的状态,使用对准系统ALG,检测出基准标记区域FM上的第2基准标记,检测出该对准系统ALG的检测中心与第2基准的相对位置(第2相对位置)。接着,主控制装置20根据上述第1相对位置与第2相对位置与基线的设计值与一对第1基准标记与第2基准标记的位置关系,算出对准系统ALG的基线。
本实施形态,利用三个Y轴干涉计16、18、78与三个X轴干涉计46、66、76,构成图6的干涉计系统118,但此种干涉计系统的构成只是一例,当然,本发明未限定于此。
回到图1,于曝光装置100,设有将晶圆搬送至晶圆载台WST的搬送臂70。此搬送臂70较佳是在检测出晶圆中心位置及旋转角的未图示的预对准装置与位于晶圆交换的晶圆载台WST之间搬送晶圆者,亦可使用滑动方式的臂,亦可使用水平多关节型的机器人手臂等。本实施形态包含:此搬送臂70、未图示的预对准装置、以及对该预对准装置从外部搬送的搬送部,而构成用以搬送晶圆至晶圆载台WST的搬送系统72(参照图6)。
图6是表示曝光装置100的控制系统的主要构成。此控制系统是以主控制装置20[由综合控制全体装置的微电脑(或工作站)所构成]构成。
又,晶圆台WTB、测量用台MTB的XY面内的位置是如上述,能用干涉计系统118的各干涉计,以0.5~1nm左右的解析度来测量,但因于本实施形态的拨液板50未存在位置测量基准的标记等,故例如,来自所有Y轴干涉计或所有X轴干涉计的干涉计光束未照射晶圆台WTB的反射面后,至少重置一台干涉计后,不易将晶圆台WTB恢复基准状态(或最后干涉计光束关闭前的状态)。又,本实施形态中,拨液板50的周围是从晶圆台WTB(反射面)朝外侧伸出,故为避免拨液板50的外周边缘碰撞,不易进行晶圆台WTB的位置控制。特别是,拨液板50交换后等,亦不易控制晶圆台WTB的位置。鉴于该点,本实施形态的曝光装置100是利用主控制装置20,如下述般测量拨液板50的位置,依此测量结果,进行晶圆台WTB的位置管理。
图7是表示,举一例,交换拨液板50后,恢复为所执行的晶圆台WTB的基准状态动作时,主控制装置20(内部CPU)的处理算法流程图。开始此处理算法,是指重置干涉计18的测量值后,将晶圆载台WST移动至图8所示的位置时者。此时,晶圆台WTB的位置是根据干涉计18、76的测量值,由主控制装置20管理。此外,晶圆台WTB本身的θz方向的旋转误差小到能予以忽视程度者。又,如前述,晶圆台WTB(晶圆载台WST)等移动时,虽执行前述的干涉计的测量值的连结预设,但在以下的处理算法说明中,为了简化其说明,省略关于干涉计的测量值的连结预设的说明等,在以干涉计系统118的测长轴所界定的载台座标系统(X,Y)上,管理晶圆载台WST(晶圆台WTB)的位置者。考量由于以连结预设将所邻接的X轴干涉计的测量值、Y干涉计的测量值依序接替,故即使如此假定亦不会有特别的问题。
首先,图7的步骤202中,将表示拨液板50外周边缘测量点号码的第1计数器的计数值n初始化为1(n←1)。此处,作为测量对象的区域是限定为N个,此处为4个区域,亦即,限定拨液板50的上下左右各边缘的中央点者。
在其次的步骤204中,使用干涉计系统118,测量晶圆台WTB的位置,并移动用以将拨液板50外周边缘上的第n号(此处为第1号)的测量点定位于对准系统ALG正下方的晶圆载台WST。
图9(A)是表示晶圆台WTB(晶圆载台WST)上的拨液板50外周边缘上的第1号测量点定位于对准系统ALG的摄影视野时的情况。此外,图9(A)~图9(D)中,符号ALG’是表示对准系统ALG的摄影视野。
回到图7,步骤206中,使用对准系统ALG,拍摄该外周边缘上第n号(此处,指第1号)的测量点,取入其摄影资料(摄影讯号),并且,取入此时的干涉计系统118的测定值,于未图示的记忆体内对应两者加以储存。
其次的步骤208中,判断第1计数器的计数值n是否达到N(此处,N=4),此时,因n=1,故此处的判断为否定,移至步骤210,将第1计数器的计数值n加1后,回到步骤204。
以后,步骤208中的判断为肯定前,重复步骤204→206→208→210的环路处理。借此,从图9(A)的位置,于分别表示于图9(B)、图9(C)、图9(D)的位置,依序定位晶圆台位置,在各定位位置,使用对准系统ALG拍摄拨液板50的外周边缘,将与该摄影资料的晶圆台WTB的位置资讯储存于记忆体内。
接着,当图9(D)所示的拨液板50的-X侧的边缘摄影的取入完成,步骤208中的判断为肯定,移至步骤212。
步骤212中,根据储存于记忆体内的各边缘的摄影资料(摄影结果)与对应的干涉计系统118的测量结果,利用影像处理方法,取得拨液板50的第1号~第N号(此处为第4号)的外周边缘测量点的位置资讯。
其次的步骤214中,根据所获得的N处(此处为4处)的外周边缘的位置资讯,算出拨液板50的位置资讯,例如,算出拨液板50既定基准点(例如中心点)的载台座标系统(X,Y)上的位置资讯等后,视需要,进行步骤216的处理后,图7的流程图所示的处理便告完成。
根据如此所测量的拨液板50外周边缘的位置资讯或拨液板50的位置资讯,其后的晶圆台WTB的位置管理是由主控制装置20进行,例如,主控制装置20,俾避免使搭载于晶圆台WTB的拨液板50的外周边缘与测量用载台WST碰撞,根据拨液板50外周边缘的位置资讯或拨液板50的位置资讯,控制晶圆台WTB(晶圆载台WST)的位置与测量用载台MST的位置的至少一方。
此处,例如,进行上述步骤216处理的情形,与前述的拨液板50的位置资讯同样,取得晶圆保持具一部分的位置资讯,根据其位置资讯与上述步骤212或214所取得的拨液板50的位置资讯,算出晶圆保持具WH(晶圆台WTB)与拨液板的位置关系。
此处,例如,亦测量拨液板50的θz旋转的情形,将拨液板50外周边缘的测量预先设定复数处(亦即,合计5处以上)于至少一个边缘上,较佳是依照与前述图7同样的流程图来进行处理。第10(A)图是表示依序测量+Y侧端部边缘上的复数处测量点的位置资讯时的晶圆台WTB的移动情形。接着,此时,前述的步骤214中,作为拨液板50的位置资讯,较佳是包含上述基准点的位置资讯,根据其复数处测量对象区域所设定的边缘上的至少2点位置资讯,亦算出其边缘的θz旋转(亦即,对拨液板50的载台座标系统的旋转角)。
此种情形中,亦可拨液板50的四边边缘皆设定复数个测量点,求出各边缘的θz旋转。例如,如第10(B)图的示意图所示,亦可于四边的边缘皆设定3点测量点,算出所获得的各边缘的θz旋转的平均值。
此外,实际上,虽对准系统ALG的摄影视野ALG’固定,晶圆台WTB移动,但图10(B)中,为了方便起见,相对于固定的晶圆载台WTB,摄影视野ALG’呈移动般表示。
此外,本实施形态中,在包含对拨液板50的大致中心对称的2处的复数处,拍摄拨液板50的外周边缘,但摄影处未限定于此,亦可对拨液板50的大致中心非对称的2处。例如,亦可在包含拨液板50一边的外周边缘一处及与该一边相对的另一边外周边缘一处的复数处,拍摄外周边缘。此种情形,因至少能取得相对的二边外周边缘的大致对称影像,故能算出拨液板50的位置资讯(例如中心位置)。
其次,关于以本实施形态的曝光装置100来进行,从晶圆台WTB上的拨液板交换,到进行下一拨液板的交换前之间的一系列处理,是根据表示主控制装置20(内部CPU)的处理算法的图11、图12的流程图进行说明。以下处理算法的说明中,关于前述干涉计测量值的连结预设的说明等予以省略者,以干涉计系统118的测长轴所界定的载台座标系统(X,Y)上,管理晶圆载台WST(晶圆载台WTB)的位置。
首先,图11的步骤222中,执行测量拨液板的开口内周边缘的位置资讯的子路径的处理。
此步骤222的子路径中,首先,图13的步骤302中,将表示拨液板50的开口50a内周边缘的测量点顺序的第2计数器的计数值m初始化为1(m←1)。此处,作为测量点限定M个,此处为8个,亦即,限定从拨液板50的开口50a的中心,包含上下左右方向的中心角45°的8方向辐射状延伸的8条线与内周边缘的交点的8点。
其次的步骤304中,使用干涉计系统118测量晶圆台WTB的位置,并将拨液板50的开口50a内周边缘上的第m号(此处为第1号)的测量点定位于对准系统ALG的摄影视野的正下方,移动晶圆台WTB(晶圆载台WST)。
图15(A)是表示第1号的测量点定位于对准系统ALG的摄影视野时的情况。此外,图15(A)~图15(D)、图16(A)~图16(D)中,符号ALG’是表示对准系统ALG的摄影视野。
其次的步骤306中,使用对准系统ALG,拍摄开口50a内周边缘上的第m号(此处为第1号)的测量点,取入其摄影资料(摄影讯号),并且,取入此时的干涉计系统118的测量值,于未图示的记忆体内对应两者加以储存。
其次的步骤308中,判断第2计数器的计数值m是否达到M(此处,M=8),此时,因m=1,故此处的判断为否定,移至步骤310,将第2计数器的计数值m加1后,回到步骤304。
以后,步骤308中的判断为肯定前,重复步骤304→306→308→310的环路处理。借此,从第15(A)图的位置,于分别表示于图15(B)、图15(C)、图15(D)、图16(A)、图16(B)、图16(C)、图16(D)的位置,依序定位晶圆台WTB,在各定位位置,使用对准系统ALG,拍摄拨液板50的开口50a内周边缘,将与该摄影资料的晶圆台WTB的位置资讯储存于记忆体内。
接着,当图16(D)所示的开口50a内周边缘上的第8号测量点的摄影资料的取入完成,步骤308中的判断为肯定,移至步骤314。以此时点,如图17(A)的示意图所示,开口50a内周边缘上8处的摄影资料及所对应的晶圆台WTB的位置资讯资料储存于记忆体内。此外,实际上,虽对准系统ALG的摄影视野ALG’固定,晶圆台WTB移动,但图17(A)中,为了方便起见,相对于固定的晶圆台WTB,摄影视野ALG’呈移动般表示。
步骤314中,根据储存于记忆体内的开口50a内周边缘上的M处(此处为8处)的摄影资料(摄影结果)与对应的干涉计系统118的测量结果,利用影像处理方法,取得拨液板50的开口50a内周边缘上的第1号~第M号(此处为第8号)的测量点的位置资讯后,完成此子路径处理,返回主路径的步骤224(参照图11)。
步骤224中,根据所获得的M处(此处为8处)的开口50a内周边缘的位置资讯,例如,以最小平方法等算出拨液板50的开口50a的位置资讯,例如,算出开口50a既定基准点(例如中心点)的载台座标系统(X,Y)上的位置资讯后(亦即,根据内周边缘的位置资讯,决定被干涉计系统118所界定的载台座标系统与开口50a的位置关系),移至步骤226。
步骤226中,根据上述M处(此处为8处)的开口50a内周边缘的位置资讯,利用既定运算,算出拨液板50a的形状资讯(此形状资讯中至少包含开口50a的真圆度)。此处,所谓真圆度是表示根据开口50a的理想真圆表示偏差的评价量,能以对开口50a的轮廓的开口50a中心的最大半径与最小半径的差来界定。此处,成为此真圆度基准的圆的中心,亦可是以其次的一a.~d.中任一种方法所算出的中心。a.最小区域中心法(MZC):以两个同心圆隔开口轮廓时,同心圆的半径差为最小的中心,b.最小平方中心法(LSC):最小平方平均圆(离基准圆的偏差的平方和成为最小的圆)的中心,c.最小外切圆中心法(MCC):以最小外切开口轮廓的圆的中心,d.最大内切圆中心法(MIC):以最大内切开口轮廓的圆的中心。
其次的步骤228中,判断以上述步骤226所算出的真圆度是否小于第1阈值。此处,第1阈值是限定作为拨液板使用容许的极限值。据此,此步骤228中的判断为否定的情形,此拨液板50,因该曝光装置以无法使用的程度,形成真圆度不充分的开口的板件,故移至图12的步骤264,例如,在未图示的显示器上,等于表示“拨液板不良(需要交换)”等,把拨液板不良通知操作者后,完成本程序处理。然后,确认此通知(显示),借此,操作者,停止曝光装置100的运转,用手动执行拨液板50的交换。此外,具备用来交换拨液板50的机器人等的情形,主控制装置20,将交换时期显示于显示器上,并且停止装置的运转,使用该机器人等亦能进行拨液板的交换。
另一方面,上述步骤228中的判断为肯定的情形,移至其次的步骤230,判断上述步骤226所算出的真圆度是否小于第2阈值。接着,此判断为否定的情形,移至步骤234,使用搬送系统72的搬送臂70与前述的中心凸部34a~34c,在拨液板50的开口50a内部的晶圆保持具WH上,装载作为工具用基板的工具晶圆W1(参照第17(B)图)后,移至进行步骤236的开口内的物体外周边缘的位置资讯测量的子路径。此处,工具晶圆W1与作为元件制造所使用的被处理物体的晶圆W相较,具有一小直径周围(外径)的工具晶圆。与上述相反,步骤230的判断为肯定的情形,移至步骤232,使用搬送系统72的搬送臂70与前述的中心凸部34a~34c,于拨液板50的开口50a内部的晶圆保持具WH上,装载该晶圆W后,移至上述步骤236的子路径。此处,此装载时,首先,根据以步骤222所取得的开口50a内周边缘的位置资讯或以步骤224所取得的开口50a的位置资讯,控制晶圆台WTB及搬送臂70的至少一方位置。
因此,第2阈值是以选择将工具晶圆W1、晶圆W中任一个的分开来限定。开口50a的真圆度高的情形,其开口50a与直径仅稍不同,能以不妨碍的方式将元件制造用的晶圆W装载于开口50a内部的晶圆保持具WH上,但开口50a的真圆度低的情形,若将晶圆W装载于其开口50a内部的晶圆保持具WH上时,该晶圆W与开口50a内周边缘的接触可能性变高,会有不易装载之虞。因此,后者的情形,将较晶圆W直径小的工具晶圆W1装载于晶圆保持具WH上。
步骤236的子路径中,首先,图14的步骤322中,把表示开口50a内的物体(工具晶圆W1或晶圆W,以下,适当作为代表性的晶圆W1者)的外周边缘的测量点号码的第3计数器的计数值k初始化为1(k←1)。此处,作为测量点限定K个,此处为8个,亦即从工具晶圆W1的中心,在包含上下左右方向的中心角45°的8个方向辐射状延伸的8条线与工具晶圆W1外周边缘交点的8点。
其次的步骤324中,使用干涉计系统118测量晶圆台WTB的位置,并将拨液板50的开口50a内的工具晶圆W1外周边缘上第k号(此处为第1号)的测量点定位于对准系统ALG的摄影视野正下方,移动晶圆台WTB(晶圆载台WST)。
其次的步骤326中,使用对准系统ALG,拍摄工具晶圆W1外周边缘上第k号(此处为第1号)的测量点,取入其摄影资料(摄影讯号),并且,取入此时的干涉计系统118的测量值,在未图示的记忆体内对应两者加以储存。
其次的步骤328中,判断第3计数器的计数值k是否达到K(此处,K=8),此时,因k=1,故此处的判断为否定,移至步骤330,将第3计数器的计数值k加1后,回到步骤324。
以后,步骤328中的判断为肯定前,重复步骤324→326→328→330的环路处理。借此,如图17(B)所示,在8个测量点分别位于对准系统ALG的摄影视野ALG’内的位置,依序定位晶圆台WTB,在各定位位置,使用对准系统ALG,拍摄工具晶圆W1的外周边缘,将与该摄影资料对应的晶圆台WTB的位置资讯储存于记忆体内。
接着,当外周边缘上第8号测量点的摄影资料的取入完成,步骤328中的判断为肯定,移至步骤332。
步骤332中,根据储存于记忆体内的开口50a内的物体(工具晶圆W1(或晶圆W))外周边缘上的K处(此处为8处)的摄影资料(摄影结果)与对应的干涉计系统118的测量结果,利用影像处理方法,取得开口50a内的物体外周边缘上第1号~第K号(此处为第8号)的测量点的位置资讯后,完成此子路径处理,返回主路径的步骤240(参照图12)。
步骤240中,取得开口50a内周边缘与开口50a内物体的位置关系。具体而言,根据开口50a内的物体外周边缘上的上述K处(此处为8处)的位置资讯,例如,根据以最小平方法等,算出的物体位置资讯(例如,该物体中心的载台座标系统(X,Y)上的位置资讯)与前述的步骤224中所获得的拨液板50的开口50a的位置资讯(例如,开口50a中心点的载台座标系统(X,Y)上的位置资讯,利用运算取得开口50a内周边缘与开口50a内物体的位置关系,例如,开口50a中心与物体(工具晶圆W1或晶圆W)的中心偏差的资讯。
其次的步骤242中,使晶圆载台WST移动至晶圆交换位置,使用搬送系统72的搬送臂70与中心凸部34a~34c,从晶圆保持具WH上,卸载物体(工具晶圆W1或晶圆W)。
从其次的步骤244,开始1批(既定片数晶圆)的曝光。
步骤244中,以构成搬送系统72的未图示的预对准装置,使用搬送臂70,将作为进行预对准(对中心及旋转调整)的第1片被曝光基板的晶圆W搬送至位于晶圆交换位置的晶圆载台WST上方为止,考量上述步骤204所取得的开口50a的内周边缘与开口50a内物体的位置关系的资讯,例如,前述的偏差资讯,调整搬送臂70与晶圆载台WST的位置关系,将晶圆W从搬送臂70装载至设于晶圆台WTB上的晶圆保持具WH上。此处,搬送臂70与晶圆载台WST的位置关系的调整是借由调整搬送臂70与晶圆载台WST双方或一方的位置能实现。因此,装载晶圆W时,调整搬送臂70与晶圆载台WST的位置关系后,装载晶圆W,通常,以避免晶圆W的外周边缘与拨液板50a的内周边缘(晶圆台WTB上面的凹部140的内周边缘)接触、且晶圆W的外周边缘与开口50a的内周边缘的间隔较既定值例如较0.3mm左右为小的方式,能将晶圆W装载于晶圆台WTB上方的拨液板50的开口50a内周边缘的内部(晶圆台WTB上面凹部的内部)的晶圆保持具WH上。
其次的步骤246中,将晶圆载台WST移动至对准系统ALG的下方。
其次的步骤248中,扩及晶圆W的全周,使用对准系统ALG,以与前述晶圆W等外周边缘的位置资讯的测量同样的步骤,来执行拨液板50的开口50a的内周边缘与晶圆W(的外周边缘)的间隔。此时,特别是,测量前述晶圆的外周边缘或开口的内周边缘时,至少设定复数组与离晶圆中心的8个方向不同方向的测量点极为重要。
接着,其次的步骤250中,根据上述步骤248的测量结果,判断上述间隔是否晶圆全周在容许范围内。通常,如上述说明,以避免晶圆W的外周边缘与拨液板50a的内周边缘(晶圆台WTB上面的凹部140的内周边缘)接触、且晶圆W的外周边缘与开口50a的内周边缘的间隔例如较0.3mm左右为小的方式,能将晶圆W装载于晶圆保持具WH上,故此步骤250的判断为肯定,移至其次的步骤252。
另一方面,根据因晶圆W外径误差等所造成,步骤248的测量结果,进行步骤250的判断后的结果,有时此判断结果为否定。因此,此步骤250的判断为否定的情形,移至前述步骤242,将第1片晶圆W从晶圆保持具上卸载。接着,关于第2片晶圆W,与前述同样,执行步骤244、步骤246、步骤248、步骤250的动作。此种情形,步骤244中,把第2片晶圆W装载于晶圆载台(晶圆保持具)上时,考量关于第1片晶圆W的步骤248的测量结果,调整搬送臂与晶圆载台的位置关系。关于第2片晶圆W的步骤250的判断为肯定的情形,移至其次的步骤252。
步骤252中,使用对准系统ALG,检测出晶圆W上的对准标记,根据其检测结果与其检测时的干涉计系统118的测量值,检测出该对准标记的位置资讯,借此进行晶圆对准,例如,进行增强型全晶圆对准(EGA)等晶圆对准。
其次的步骤254中,根据作为上述晶圆对准的结果所获得的晶圆W上的复数个照射区域的位置资讯与最新的对准系统ALG基线的测量结果等,重复晶圆载台WST朝晶圆W上用以曝光各照射区域的扫描开始位置(加速开始位置)的照射间移动动作,与以扫描曝光方式转印形成于对各照射区域的标线片R的图案的扫描曝光动作,借此以步进扫描方式将晶圆W上的复数个照射区域曝光。此外,此曝光时,于投影光学系统PL的前透镜91正下方持续填满水。
其次的步骤256中,判断一批所有晶圆是否曝光完成。接着,此判断为否定的情形,移至步骤262,保持于晶圆台WTB上的晶圆保持具WH的曝光完成的晶圆W与新的晶圆进行晶圆交换后,移至步骤252,以后,步骤256的判断为肯定前,重复步骤252→254→256→262的环路处理。
另一方面,上述步骤256的判断为肯定的情形,移至步骤258。
其次的步骤258中,例如,参照照明光IL的照射经历等,判断拨液板的交换时期是否来到。此处,本实施形态,利用预先实验,求出拨液板50表面的拨水涂层的劣化与照射于拨液板50表面的积算能量的关系,根据其关系与照明光IL的照射经历,在拨水涂层劣化前,判断拨液板50的交换时期来到。
接着,判断交换时期来到的情形,移至前述步骤264,判断交换时期未来到的情形,移至下一批的处理。
据此,执行从拨液板的交换到下一交换为止间一系列的处理。
由以上说明可知,本实施形态,主控制装置20为了更正确利用该主控制装置20内部的CPU与借由该CPU所执行的软件,能实现外周边缘位置取得装置、内周边缘取得装置、决定装置形状算出装置、物体外周边缘位置取得装置、间隔测量装置、载台控制装置、以及控制装置。然而,当然亦可借由硬件构成于借该些软件所实现的构成部分的至少一部分构成一部分。
如以上说明,根据本实施形态的曝光装置100,利用作为外周边缘位置取得装置功能的主控制装置20,使用干涉计系统118测量以可拆装方式搭载于拨液板50的晶圆台WTB(晶圆载台WST)的位置,并使用对准系统ALG检测出拨液板50的一部分,根据其检测结果与对应干涉计系统118的测量结果,来取得拨液板50的外周边缘的位置资讯(步骤204~210)。因此如本实施形态般,即使于晶圆台WTB(晶圆载台WST)上不存在位置测量用的标记等,根据拨液板50的外周边缘的位置资料,在干涉计系统所界定的移动座标系统(载台座标系统)上能管理拨液板50的位置,亦即晶圆台WTB(晶圆载台WST)的位置。
又,如本实施形态,拨液板50的外周从晶圆台WTB伸出外侧的情形,为避免拨液板50的外周边缘与另一构件(例如,测量载台MST)碰撞,能控制晶圆台WTB(晶圆载台WST)的位置。
此外,在晶圆台WTB(晶圆载台WST)或拨液板50设有位置测量用的标记的情形,或拨液板50的外周未从晶圆台WTB伸出外侧的情形,亦如上述,当然亦能取得拨液板50外周边缘的位置资讯。
又,根据本实施形态的曝光装置100,利用作为内周边缘位置取得装置功能的主控制装置20,使用干涉计系统118来测量晶圆台WTB的位置,并使用对准系统ALG,检测出拨液板50的一部分,根据其检测结果与对应干涉计系统118的测量结果,来取得拨液板50的开口50a内周边缘的位置资讯(步骤222)。因此,根据此内周边缘的位置资讯,能算出开口50a的位置或形状等(参照步骤224、226)。
又,本实施形态的曝光装置100,作为载台控制装置功能的主控制装置20,例如,真圆度小于第2阈值的情形,根据拨液板50的开口50a内周边缘的位置资讯,透过搬送系统72,将晶圆W装载于晶圆载台WST(晶圆台WTB)上的拨液板50的开口50a内的晶圆保持具WH上(步骤232)。因此,与未考量关于拨液板50的开口50a内周边缘的资讯的情形相较,易于将晶圆W装载于晶圆载台WST上的拨液板50的开口50a内。
又,本实施形态的曝光装置100,取得开口50a的内周边缘与开口50a内的物体(工具晶圆W1或晶圆W)的位置关系的情形(参照步骤240),作为载台控制装置功能的主控制装置20,利用搬送系统72,将晶圆W搬送至晶圆台WTB时,考量上述位置关系的资讯,控制晶圆台WTB与搬送系统72的搬送臂70的至少一方,调整搬送臂70与晶圆台的位置以装载晶圆(参照步骤244)。因此,根据此所取得的位置关系,能以期望的位置关系,将晶圆装载于晶圆台WTB的凹部140内(亦即,拨液板50的开口50a的内周边缘内部)。此种情形,以避免晶圆W的外周边缘与拨液板50的开口50a的内周边缘(晶圆台WTB上面的凹部内周边缘)接触、且晶圆W的外周边缘与开口50a的内周边缘的间隔较既定值(例如,0.3mm)为小的方式,将晶圆W装载于晶圆台WTB上方的拨液板50的开口50a内周边缘的内部(晶圆台WTB上面的凹部内)的晶圆保持具WH上。
此外,在图11及图12所说明的动作中,对开口50a的形状(真圆度),设有第1阈值与第2阈值,将工具晶圆W1装载于晶圆保持具上,但亦可仅使用一阈值,判断是否装载工具晶圆W1。此种情形,作为工具晶圆W1,亦可是较被曝光晶圆W直径为小的晶圆,亦可是与被曝光晶圆W直径大致相同的晶圆。
又,在图11及图12所说明的动作中,取得开口50a的形状资讯后,将工具晶圆W1装载于晶圆保持具上,但亦可省略形状资讯的取得。此种情形,作为工具晶圆W1,亦可是较被曝光晶圆W直径为小的晶圆,亦可是与被曝光晶圆W直径大致相同的晶圆。
又,在图11及图12所说明的动作中,求出开口50a的位置资讯与形状资讯后,将工具晶圆W1装载于晶圆保持具上,但亦可省略开口50a的位置资讯与形状资讯的取得,将工具晶圆W1装载于晶圆保持具上后,亦能取得开口的位置资讯及开口内周边缘与工具晶圆W1外周边缘的位置关系(包含间隔)。当然,视需要,亦能取得开口50a的形状资讯。此种情形,作为工具晶圆W1,虽较佳是较被曝光晶圆W直径为小的晶圆,但亦可是与被曝光晶圆W直径大致相同的晶圆。
又,在图11及图12所说明的动作中,作为第1片被曝光基板的晶圆W装载于晶圆保持具上时,测量开口50a的内周边缘与晶圆W的位置关系(间隔),但根据使用工具晶圆W1所获得的资讯,能将作为被曝光基板的晶圆W装载于开口50a内既定位置的情形,亦可省略其测量动作(步骤246、248、250)。
又,在图11及图12所说明的动作中,在步骤258中,判断一批曝光处理完成后,是否交换拨液板50,但亦可省略步骤258,在每一既定时间进行判断,未进行是否交换的判断,亦可经过既定时间后,进行交换拨液板。
接着,根据曝光装置100,则如上述,在装载于晶圆台WTB上方的拨液板50的开口50a内周边缘的内部(晶圆台WTB上面的凹部内)的晶圆W上照射照明光,以进行曝光(步骤254)。因此,曝光动作中,能防止液体(水)Lq从晶圆W与拨液板50间的泄漏,利用液浸曝光,进行高解析度且较空气中为大焦点深度的曝光,借此,能将标线片R的图案精度良好地转印于晶圆上,例如,能以ArF准分子激光,作为元件规格而实现45~100nm程度的微细图案的转印。
根据本实施形态的曝光装置100,在晶圆载台WST(晶圆台WTB)进行晶圆曝光时,成为必要的最低限度构成构件,例如,可仅设有晶圆保持具等,故能实现晶圆载台WST的小型及轻量化,能减低驱动晶圆载台的驱动机构(马达)的小型化及马达所产生的发热量,能极力抑制晶圆载台WST的热变形或曝光精度的降低。
此外,上述实施形态是针对于拨液板50的外周边缘设定复数个测量点,取得此复数个测量点位置资讯的情形加以说明,但未限于此,例如,亦可于较拨液板50上面外周边缘的位置为内侧的位置,与其外周边缘的位置关系形成已知记号,例如,从外周边缘,在既定距离(假设D)的位置,形成与外周边缘平行的线状记号,在此记号上至少设定一个测量点,测量其测量点的位置资讯,根据其测量结果与上述距离D,取得外周边缘的位置。在拨液板50上,如图18所示,在其边缘附近大多存在宽度为d,高度为h的曲面(或斜面),其高度h为0.1mm左右,故对准系统ALG的焦点深度小的情形,考量边缘影像模糊的情形。此种情形,亦可将上述的线状记号设于D>d的位置,以对准系统ALG拍摄此线状的记号。当然,记号未限于上述的线状,与外周边缘的位置关系若为已知,则不论形状如何。
同样地,关于拨液板50的开口50a的内周边缘,亦可事先形成已知与其内周边缘的位置关系的记号,取得其记号上至少一个测量点的位置资讯。例如,在开口50a内周边缘的既定距离外侧,亦可把与开口50a同心的圆形线作为记号来形成。
又,检测出拨液板50外周边缘等位置资讯时,较佳是使用具有对准系统ALG的焦点检测系统,但具有对准系统ALG的焦点检测系统的检测用光束从拨液板50偏差的情形,以其检测用光束能照射于拨液板50表面的位置,一旦进行对焦后,较佳是仍旧维持其聚焦状态,进行所谓的将测量点定位于对准系统ALG的摄影视野的聚焦位移动作。
又,上述实施形态,是针对使用由FIA系统的感测器所构成的对准系统ALG,拍摄拨液板50外周边缘、开口50a内周边缘、工具晶圆W1或晶圆W外周边缘,使用其摄影结果,利用影像处理方法,取得各测量点位置资讯的情形加以说明,但作为检测装置,亦可使用FIA系统以外的感测器,例如,使用检测出反射光或散射光的装置。又,使用FIA系统的情形,当然亦可利用下射照明,检测出来自对象物的反射光的方式,但亦可采用从下方照明拨液板50的边缘,以拨液板50的上方检测出其透射光的方式。
此外,上述实施形态中,拨液板50的交换作业及拨液板50的各种测量的至少一方,亦可于投影光学系统PL的像面侧,以无液体Lq的状态来进行,亦可于测量用台MTB与投影光学系统PL间以保持液体Lq的状态来进行。在测量用台MTB与投影光学系统PL间持续保持液体Lq的情形,因能维持投影光学系统PL的前端面沾湿的状态,故不仅能防止发生水痕等,而且能省略液体Lq的全回收及再供应的作业。
又,上述实施形态,晶圆台WTB构成第1载台(及移动体)(以可拆装方式搭载检测出其外周的位置资讯的板件),测量用载台MST是针对构成第2载台的情形加以说明,但未限于此,测量用台MTB亦可构成第1载台(及移动体)。亦即,亦能取得以可拆装方式搭载于测量用台MTB的板件外周边缘的位置资讯。此情形,根据其外周边缘的位置资讯,能控制测量用台MTB的移动。此情形,测量用台MTB的板件交换作业及板件的各种测量的至少一方,亦可于投影光学系统PL的像面侧无液体Lq的状态来进行。
此外,亦可于测量用台MTB与投影光学系统PL间,以保持液体Lq的状态来执行。
亦即,在晶圆台WTB侧交换拨液板50时,如图19(A)所示,使液体Lq位于测量用台MTB上,控制测量用台MTB的位置。接着,拨液板50的交换完成后,如图19(B)所示,使用对准系统ALG,测量拨液板50的测量用台MTB(测量用载台MST)侧(+Y侧)的外周边缘。借此,能使晶圆台WTB(晶圆载台WST)靠近测量用台MTB(测量用载台MST)。
其次,如图19(C)、图19(D)所示,使用对准系统ALG,依序测量拨液板50的-X侧外周边缘、拨液板50的+X侧外周边缘。
根据如此所测量的拨液板50外周边缘的3处位置资讯或由此所求出的拨液板50的位置资讯,其后的晶圆台WTB(晶圆载台WST)的位置管理是由主控制装置20进行。
测量上述拨液板50外周边缘的位置资讯后,例如,以维持使晶圆台WTB(的拨液板50)与测量用台MTB接触(或接近)的状态,以一体方式移动两载台WST、MST,如图20(A)所示,使用对准系统ALG,测量拨液板50的开口50a的+Y侧内周边缘。其次,以维持使晶圆台WTB(的拨液板50)与测量用台MTB接触(或接近)的状态,依序以一体方式移动两载台WST、MST,如图20(B)、图20(C)所示,使用对准系统ALG,依序测量拨液板50的开口50a的-X侧内周边缘、+X内周边缘。此情形,因于晶圆台WTB上未装载晶圆,故于装载晶圆的部分无法定位液体Lq,故如图20(A)~图20(C)所示,因能测量内周边缘,故根据此测量结果,与上述实施形态同样,能将晶圆装载于晶圆保持具WH上。
如上述,在测量用台MTB与投影光学系统PL间,以保持液体Lq的状态来执行晶圆台WTB的拨液板50的交换动作或拨液板50外周边缘及拨液板50的开口50a内周边缘的测量动作,借此不需要液体的回收动作及供应动作,不需要该些动作所需时间,能提高该部分曝光步骤的产能。
此外,如上述,测量拨液板50的外周边缘、开口50a的内周边缘,将晶圆装载于晶圆保持具WH上后,以使装载该晶圆的晶圆载台WST(晶圆台WTB)的拨液板50与测量用载台WST接触的状态,扩大移动范围。亦即,能于晶圆台WST的全面配置液体Lq。据此,亦可使用沿上述实施形态所说明的图7、图11、以及图12的流程图的测量方法,再度进行测量。借此,能进行更高精度的测量。
又,上述实施形态,针对拨液板50外周边缘、开口50a内周边缘、工具晶圆W1或晶圆W外周边缘,在与中心对称的复数对处设定位置资讯的测量点加以说明,但此,例如,算出各中心点位置时,只不过是期待借由平均化效果来提高测量精度,当然未限定于此。
又,上述实施形态,是说明拨液板50的形状大致为正方形,开口50a为圆形的情形,但板件的形状亦可圆形、多角形、其他形状。较佳是开口亦按照被处理物体的形状。例如,把液晶显示元件等作为被处理物体的情形,较佳是按被处理物体(玻璃板的形状),开口作成矩形。
又,上述实施形态中,说明板件50能拆装于晶圆台WTB的情形,但亦可与晶圆台WTB一体形成板件50。此情形,亦例如,如图11或图13所示,能检测出为了将晶圆W装载于晶圆台WTB所形成的凹部内周边缘的位置资讯。
又,上述的实施形态中,包含使用图7所说明的板件外周边缘的位置资讯测量的一系列动作,与包含使用图11所说明的板件的开口的内周边缘位置资讯的测量的一系列动作,未必一起进行,亦可进行任一方或仅一方。
此外,上述实施形态,说明本发明适用于液浸曝光装置的情形,但本发明的适用范围未限定于此,本发明亦能适用于非液浸型的一般步进机等。此情形,能使用于其表面未形成拨液面的板件,来替代拨液板。
又,上述实施形态,说明载台装置具备一个晶圆载台,具备一个测量用载台的情形,但未限于此,亦可未具备测量用载台,至少设有一个保持晶圆的晶圆载台。此外,具备复数个晶圆载台的情形,亦可以一方的晶圆载台,进行板件的交换作业及板件的各种测量作业的至少一方,是以于投影光学系统PL的像面侧无液体Lq的状态来执行,亦可将另一方晶圆载台配置于投影光学系统PL的下方(像面侧),以于投影光学系统与另一方晶圆载台间保持液体Lq的状态来执行。
又,上述实施形态,说明采用调平用台52具有6自由度,测量用台MTB具有3自由度构成的情形,但未限于此,亦可采用调平用台52具有3自由度,测量用台MTB具有3自由度构成。又,亦可采用未设调平用台52,测量用台MTB具有6自由度构成。
此外,上述实施形态,作为液体虽使用超纯水(水)者,但当然本发明未限定于此。作为液体,亦可使用化学性稳定,照明光IL的透射率高且安全的液体,例如,卤素是惰性液体。作为此卤素是惰性液体,例如,能使用Fluorinert(美国3M公司的商品名)。此卤素是惰性液体于冷却效果之点亦为优异。又,作为液体,亦能使用对照明光IL具有透射性,折射率尽量高,又,对投影光学系统或涂布于晶圆表面的光阻稳定者(例如,洋杉油等)。又,把F2激光作为光源的情形,较佳是选择丰布林油(Fomblin oil)。
又,上述实施形态,亦可再利用回收液体,此情形,较佳是事先将过滤器(从所回收的液体去除杂质)设于液体回收装置或回收管等。
此外,上述实施形态,投影光学系统PL的最像面侧的光学元件是作为前透镜者,但该光学元件未限于透镜者,亦可是投影光学系统PL的光学特性,例如,像差(球面像差、彗形像差)的调整所使用的光学板(平行平面板等),亦可仅盖玻璃。投影光学系统PL最像面侧的光学元件(上述各实施形态为前透镜91),由于被照明光IL照射,从光阻所产生的飞散粒子或液体中的杂质附着等所造成,与液体(上述各实施形态为水),会有污染其表面的情形。据此,该光学元件,亦能以可拆装(交换)的方式固定于镜筒40的最下部,能定期交换。
此种情形,若与液体接触的光学元件是透镜,则该交换元件的成本变高,且交换所需时间变长,导致维护成本(运转成本)上升及产能降低。因此,亦可将与液体接触的光学元件,例如,作为较透镜91廉价的平行平面板。
又,上述实施形态是说明本发明适用于步进扫描方式等扫描型曝光装置的情形,但本发明的适用范围当然未限定于此。亦即,本发明亦能适用于步进缝合方式的曝光装置,或接近方式的曝光装置等。
作为曝光装置的用途,未限定于半导体制造用的曝光装置,例如,亦能广泛适用于将液晶显示元件图案转印于角型玻璃板的液晶用曝光装置,或用以制造有机EL、薄膜磁头、摄影元件(CCD等)、微机器、以及DNA晶片等曝光装置。又,本发明能适用于用来制造半导体元件等微元件,且用以制造光曝光装置、RUV曝光装置、X线曝光装置、以及电子线曝光装置等所使用的标线片或光罩,将电路图案转印于硅晶圆等的曝光装置。
此外,上述实施形态的曝光装置光源,未限于ArF准分子激光,亦能使用KrF准分子激光(输出波长为248nm)、F2激光(输出波长为157nm)、Ar2激光(输出波长为126nm)、Kr2激光(输出波长为146nm)等脉冲激光光源,或产生g线(波长为436nm)、i线(波长为365nm)等光线的超高压水银灯等。又,亦能使用YAG激光的高次谐波产生装置等。其他,亦可使用,例如,以掺入铒(或铒与镱两者)的掺入光纤放大器,放大从DFB半导体激光或光纤激光所振荡的红外域,或可视域的单一波长激光,使用非线性光学结晶,波长转换为紫外光的高次谐波。又,投影光学系统亦可仅缩小系统,亦可等倍及放大系统中的任一系统。
又,上述实施形态,虽针对使用将既定遮光图案(或相位图案、减光图案)形成于光透过性基板上而构成的光透过型光罩(标线片)的曝光装置予以说明,但,亦可取代该标线片,例如美国专利第6,778,257号公报所揭示般,使用根据待曝光的图案的电子资料,以形成透过图案或反射图案、或是发光图案的电子光罩(可变成形光罩)的曝光装置,亦可适用本发明。
又,如国际公开第2001/035168号小册子所揭示般,将干涉条纹形成于晶圆W上,借此在晶圆W上形成等间隔线(line and space)图案的曝光装置(微影系统),亦可适用本发明。
此外,上述实施形态,虽说明本发明的位置测量方法、测量方法、以及装载方法等适用于曝光装置的情形,但未限于此,若既定形状的板件是具备以可拆装方式搭载于移动体上的移动体,本发明的位置测量方法亦能适用,若装置具备形成有装载物体用开口的板件是以可拆装方式搭载的移动体,则本发明的测量方法及装载方法等能适用。
此外,半导体元件是经由以下步骤来制造,该步骤包含:进行元件功能及性能设计的步骤、依此设计步骤制作标线片的步骤、由硅材料制作晶圆的步骤、微影步骤(利用前述调整方法调整图案转印特性的上述实施形态的曝光装置,将形成于光罩的图案转印于感光物体上)、元件组装步骤(包含切割步骤、接合步骤、封装步骤)、以及检查步骤等。此情形,因在微影步骤使用上述实施形态的曝光装置及其曝光方法,故能实现长期高精度的曝光。因此,能提高形成微细图案的高集积度的微元件的生产性。
如以上说明,本发明的位置测量方法适用于以可拆装方式搭载于移动体上的板件的位置测量。又,本发明的位置控制方法适用于测量关于形成有开口(用以装载物体)的板件的资讯。又,本发明的装载方法适用于将物体装载于上述移动物体上。又,本发明的曝光方法及曝光装置,以及元件制造方法适用于制造半导体元件等的微元件。

Claims (10)

1.一种曝光装置,是用以将曝光用光束照射于被处理物体,其特征在于具备:
移动体,具有配置在形成于上端部的凹部内、保持该被处理物体的保持具;
搬送系统,将该被处理物体搬送至配置在该被处理物体的交换位置的该移动体上方;
控制装置,控制该移动体与该搬送系统的位置,以从该搬送系统将该被处理物体装载于该移动体的凹部内;以及
取得装置,用以取得该凹部的内周边缘与在该凹部内保持于该保持具的物体的位置关系资讯;
在该被处理物体的装载前,藉由该搬送系统将该物体装载于该移动体的凹部内;
该控制装置,根据所取得的该物体与该凹部的内周边缘该的位置关系资讯,来调整搬送该被处理物体的该搬送系统与该移动体的位置关系,而将该被处理物体装载于该凹部内。
2.根据权利要求1的曝光装置,其特征在于其进一步具备间隔测量装置,用以测量在该凹部内保持于该保持具的该物体的外周边缘与该凹部的内周边缘的间隔。
3.根据权利要求1的曝光装置,其特征在于其中,为了取得该物体与该凹部的内周边缘的该位置关系资讯而在该凹部内保持于该保持具的该物体,包含直径小于该被处理物体的工具用基板。
4.根据权利要求1的曝光装置,其特征在于其中,该取得装置取得该凹部内周边缘的位置资讯;与该物体外周边缘的位置资讯。
5.根据权利要求4的曝光装置,其特征在于其进一步具备测量该移动体的位置的测量装置;该凹部内周边缘是形成于构成该移动体最上部的能拆装板件的开口内周边缘;
该取得装置,在取得该内周边缘的位置资讯时,是一边以该测量装置测量该移动体的位置,一边摄影该板件的一部分,并根据其摄影结果与对应的该测量装置的测量结果,来取得该开口的内周边缘复数处的位置资讯;
在取得该外周边缘的位置资讯时,是一边以该测量装置测量该移动体的位置,一边摄影于该凹部内所装载的物体的一部分,并根据其摄影结果与对应的该测量装置的测量结果,来取得载置于该凹部内的该物体外周边缘复数处的位置资讯;
该凹部的内周边缘与在该凹部内保持于该保持具的该物体的该位置关系资讯包含:从该内周边缘的位置资讯所取得的该开口中心位置与从该外周边缘的位置资讯所取得的该物体中心位置两者的偏差资讯。
6.根据权利要求5的曝光装置,其特征在于其中,该移动体具有反射面,该测量装置是利用该移动体的反射面来测量该移动体的位置的干涉计系统。
7.根据权利要求5的曝光装置,其特征在于其中,该板件是在该移动体的上端形成拨液面。
8.根据权利要求7的曝光装置,其特征在于其中,在该移动体上形成液浸区域。
9.根据权利要求1的曝光装置,其特征在于其中,该控制装置,根据该物体与该凹部的内周边缘的位置关系资讯,以避免该被处理物体外周边缘与该凹部内周边缘接触、且使该被处理物体外周边缘与该凹部内周边缘间隔较既定值小的方式,调整搬送该被处理物体的该搬送系统与该移动体的位置关系。
10.一种元件制造方法,其特征在于包含:微影步骤,是使用权利要求1至9中任一项的曝光装置。
CN201310020306.9A 2004-11-18 2005-11-18 曝光装置、及元件制造方法 Expired - Fee Related CN103149803B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2004335050 2004-11-18
JP2004-335050 2004-11-18
CN2005800387259A CN101057316B (zh) 2004-11-18 2005-11-18 位置测量方法、位置控制方法、测量方法、装载方法、曝光方法及曝光装置、及元件制造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2005800387259A Division CN101057316B (zh) 2004-11-18 2005-11-18 位置测量方法、位置控制方法、测量方法、装载方法、曝光方法及曝光装置、及元件制造方法

Publications (2)

Publication Number Publication Date
CN103149803A true CN103149803A (zh) 2013-06-12
CN103149803B CN103149803B (zh) 2016-03-30

Family

ID=36407218

Family Applications (5)

Application Number Title Priority Date Filing Date
CN201410601494.9A Expired - Fee Related CN104360582B (zh) 2004-11-18 2005-11-18 位置控制方法、曝光方法及曝光装置、及元件制造方法
CN201310020306.9A Expired - Fee Related CN103149803B (zh) 2004-11-18 2005-11-18 曝光装置、及元件制造方法
CN2005800387259A Expired - Fee Related CN101057316B (zh) 2004-11-18 2005-11-18 位置测量方法、位置控制方法、测量方法、装载方法、曝光方法及曝光装置、及元件制造方法
CN201310020243.7A Expired - Fee Related CN103186057B (zh) 2004-11-18 2005-11-18 测量方法、装载方法、曝光方法及曝光装置、及元件制造方法
CN201310020294.XA Expired - Fee Related CN103149802B (zh) 2004-11-18 2005-11-18 位置测量方法、位置控制方法、曝光方法及曝光装置、及元件制造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201410601494.9A Expired - Fee Related CN104360582B (zh) 2004-11-18 2005-11-18 位置控制方法、曝光方法及曝光装置、及元件制造方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
CN2005800387259A Expired - Fee Related CN101057316B (zh) 2004-11-18 2005-11-18 位置测量方法、位置控制方法、测量方法、装载方法、曝光方法及曝光装置、及元件制造方法
CN201310020243.7A Expired - Fee Related CN103186057B (zh) 2004-11-18 2005-11-18 测量方法、装载方法、曝光方法及曝光装置、及元件制造方法
CN201310020294.XA Expired - Fee Related CN103149802B (zh) 2004-11-18 2005-11-18 位置测量方法、位置控制方法、曝光方法及曝光装置、及元件制造方法

Country Status (10)

Country Link
US (12) US8059260B2 (zh)
EP (4) EP1821336B1 (zh)
JP (14) JP4877653B2 (zh)
KR (10) KR101578629B1 (zh)
CN (5) CN104360582B (zh)
HK (7) HK1198211A1 (zh)
IL (3) IL183280A0 (zh)
SG (4) SG2014009153A (zh)
TW (8) TWI654661B (zh)
WO (1) WO2006054682A1 (zh)

Families Citing this family (382)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
PT1379224E (pt) * 2001-03-29 2009-10-22 Synergy Pharmaceuticals Inc Agonistas do receptor da guanilato ciclase para o tratamento de inflamação de tecidos e carcinogénese
US7623734B2 (en) * 2004-09-30 2009-11-24 Microsoft Corporation Method and system for automatically inscribing noisy objects in scanned image data within a minimum area rectangle
TWI654661B (zh) * 2004-11-18 2019-03-21 日商尼康股份有限公司 位置測量方法、位置控制方法、測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法
US7352440B2 (en) 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
US7649611B2 (en) 2005-12-30 2010-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI590005B (zh) * 2006-08-31 2017-07-01 尼康股份有限公司 Exposure method and exposure apparatus, and device manufacturing method
US20080094592A1 (en) * 2006-08-31 2008-04-24 Nikon Corporation Movable body drive method and movable body drive system, pattern formation method and apparatus, exposure method and apparatus, and device manufacturing method
TW201809913A (zh) * 2006-09-01 2018-03-16 日商尼康股份有限公司 曝光裝置、曝光方法、以及元件製造方法
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5058836B2 (ja) * 2007-05-08 2012-10-24 東京エレクトロン株式会社 処理装置、処理方法、被処理体の認識方法および記憶媒体
US8969514B2 (en) 2007-06-04 2015-03-03 Synergy Pharmaceuticals, Inc. Agonists of guanylate cyclase useful for the treatment of hypercholesterolemia, atherosclerosis, coronary heart disease, gallstone, obesity and other cardiovascular diseases
CN103601792B (zh) 2007-06-04 2016-06-29 协同医药品公司 有效用于胃肠功能紊乱、炎症、癌症和其他疾病治疗的鸟苷酸环化酶激动剂
CN105487351A (zh) * 2007-07-18 2016-04-13 株式会社尼康 曝光装置、曝光方法、及元件制造方法
JP5262070B2 (ja) * 2007-11-05 2013-08-14 大同特殊鋼株式会社 被検査物の真円度測定方法
KR101497862B1 (ko) * 2007-12-28 2015-03-04 가부시키가이샤 니콘 노광 장치, 이동체 구동 시스템, 패턴 형성 장치 및 노광 방법, 그리고 디바이스 제조 방법
JP5241245B2 (ja) * 2008-01-11 2013-07-17 株式会社日立ハイテクノロジーズ 検査装置及び検査方法
EP2296685B1 (en) 2008-06-04 2015-09-02 Synergy Pharmaceuticals Inc. Agonists of guanylate cyclase useful for the treatment of gastrointestinal disorders, inflammation, cancer and other disorders
CA2726917C (en) 2008-06-04 2018-06-26 Synergy Pharmaceuticals Inc. Agonists of guanylate cyclase useful for the treatment of gastrointestinal disorders, inflammation, cancer and other disorders
EP3241839B1 (en) * 2008-07-16 2019-09-04 Bausch Health Ireland Limited Agonists of guanylate cyclase useful for the treatment of gastrointestinal, inflammation, cancer and other disorders
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7810698B2 (en) * 2008-11-20 2010-10-12 Asm Assembly Automation Ltd. Vision system for positioning a bonding tool
JP5964589B2 (ja) 2008-12-03 2016-08-03 シナジー ファーマシューティカルズ インコーポレイテッド グアニル酸シクラーゼcアゴニストの製剤およびその使用方法
EP2196857A3 (en) * 2008-12-09 2010-07-21 ASML Netherlands BV Lithographic apparatus and device manufacturing method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
WO2012037380A2 (en) 2010-09-15 2012-03-22 Synergy Pharmaceuticals Inc. Formulations of guanylate cyclase c agonists and methods of use
US9616097B2 (en) 2010-09-15 2017-04-11 Synergy Pharmaceuticals, Inc. Formulations of guanylate cyclase C agonists and methods of use
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
CN102540896B (zh) * 2012-02-29 2013-07-17 清华大学 化学机械抛光传输机器人的非线性模糊结合递归控制系统
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8605294B2 (en) * 2012-03-09 2013-12-10 Chung-Shan Institute of Science and Technology, Armaments, Bureau, Ministry of National Defense Actuating apparatus, actuating system and method for actuating a working stage to move relative to a platform with high-precision positioning capability
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
RU2502952C1 (ru) * 2012-06-15 2013-12-27 федеральное государственное бюджетное научное учреждение "Научно-исследовательский радиофизический институт" Устройство для линейных перемещений с нанометровой точностью в большом диапазоне возможных перемещений
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140136313A1 (en) * 2012-11-14 2014-05-15 Satyam Shaw Categorizing content selections
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP5657039B2 (ja) * 2013-01-28 2015-01-21 株式会社日立ハイテクノロジーズ 試料搭載装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
AU2014235215A1 (en) 2013-03-15 2015-10-01 Synergy Pharmaceuticals Inc. Agonists of guanylate cyclase and their uses
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
KR20160060067A (ko) 2013-09-04 2016-05-27 씨케이디 가부시키 가이샤 전자 액추에이터용 전기자 코일, 전자 액추에이터, 노광 장치, 및 디바이스 제조 방법
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6351992B2 (ja) * 2014-02-17 2018-07-04 株式会社Screenホールディングス 変位検出装置、基板処理装置、変位検出方法および基板処理方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR101963336B1 (ko) * 2015-02-04 2019-03-28 카와사키 주코교 카부시키 카이샤 로봇의 편차 자동조정 장치 및 로봇의 편차 자동조정 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
KR20230130161A (ko) 2015-02-23 2023-09-11 가부시키가이샤 니콘 계측 장치, 리소그래피 시스템 및 노광 장치, 그리고 관리 방법, 중첩 계측 방법 및 디바이스 제조 방법
CN111948912A (zh) * 2015-02-23 2020-11-17 株式会社尼康 基板处理系统及基板处理方法、以及组件制造方法
TWI749514B (zh) 2015-02-23 2021-12-11 日商尼康股份有限公司 測量裝置、微影系統、以及元件製造方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
DE102015207275B4 (de) * 2015-04-22 2018-06-07 Robert Bosch Gmbh Maßverkörperung mit signalkompensierenden Markierungen
SG10201603103UA (en) * 2015-04-30 2016-11-29 Canon Kk Imprint device, substrate conveying device, imprinting method, and method for manufacturing article
CN104897102B (zh) * 2015-05-15 2017-11-07 浙江工业大学 一种球笼保持架自动检测系统
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
DE102015219810A1 (de) * 2015-10-13 2017-04-13 Dr. Johannes Heidenhain Gmbh X-Y-Tisch mit einer Positionsmesseinrichtung
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6506153B2 (ja) 2015-10-27 2019-04-24 株式会社Screenホールディングス 変位検出装置および変位検出方法ならびに基板処理装置
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6207671B1 (ja) 2016-06-01 2017-10-04 キヤノン株式会社 パターン形成装置、基板配置方法及び物品の製造方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11029614B2 (en) * 2016-07-26 2021-06-08 Asml Netherlands B.V. Level sensor apparatus, method of measuring topographical variation across a substrate, method of measuring variation of a physical parameter related to a lithographic process, and lithographic apparatus
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP2018054500A (ja) * 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP7101102B2 (ja) * 2018-11-15 2022-07-14 東京エレクトロン株式会社 搬送ロボットシステム、教示方法、及びウエハ収容容器
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11209373B2 (en) 2019-06-21 2021-12-28 Kla Corporation Six degree of freedom workpiece stage
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010055117A1 (en) * 2000-03-14 2001-12-27 Nikon Corporation Alignment method, exposure method, exposure apparatus and device manufacturing method
CN1501175A (zh) * 2002-11-12 2004-06-02 Asml荷兰有限公司 光刻装置和器件制造方法
WO2004053954A1 (ja) * 2002-12-10 2004-06-24 Nikon Corporation 露光装置及びデバイス製造方法
WO2004053955A1 (ja) * 2002-12-10 2004-06-24 Nikon Corporation 露光装置及びデバイス製造方法
US20040149906A1 (en) * 2003-01-30 2004-08-05 Marian Mankos Method and apparatus for reducing substrate edge effects in electron lenses
WO2004086468A1 (ja) * 2003-02-26 2004-10-07 Nikon Corporation 露光装置、露光方法及びデバイス製造方法

Family Cites Families (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2471038A (en) * 1946-10-29 1949-05-24 Jack & Heintz Prec Ind Inc Work centering attachment for metal turning machines
US3059260A (en) 1959-11-16 1962-10-23 Lester R Peilet Spring actuated self-cleaning retractable brush or the like
US4346164A (en) * 1980-10-06 1982-08-24 Werner Tabarelli Photolithographic method for the manufacture of integrated circuits
JPS57117238A (en) 1981-01-14 1982-07-21 Nippon Kogaku Kk <Nikon> Exposing and baking device for manufacturing integrated circuit with illuminometer
JPS57153433A (en) * 1981-03-18 1982-09-22 Hitachi Ltd Manufacturing device for semiconductor
US4457664A (en) * 1982-03-22 1984-07-03 Ade Corporation Wafer alignment station
JPS58202448A (ja) * 1982-05-21 1983-11-25 Hitachi Ltd 露光装置
JPS5919912A (ja) 1982-07-26 1984-02-01 Hitachi Ltd 液浸距離保持装置
DD221563A1 (de) * 1983-09-14 1985-04-24 Mikroelektronik Zt Forsch Tech Immersionsobjektiv fuer die schrittweise projektionsabbildung einer maskenstruktur
DD224448A1 (de) * 1984-03-01 1985-07-03 Zeiss Jena Veb Carl Einrichtung zur fotolithografischen strukturuebertragung
JPS6265326A (ja) 1985-09-18 1987-03-24 Hitachi Ltd 露光装置
US4700595A (en) * 1986-05-16 1987-10-20 Silicon Valley Group, Inc. Balance mechanism for movable jaw chuck of a spin station
JPS63157419A (ja) * 1986-12-22 1988-06-30 Toshiba Corp 微細パタ−ン転写装置
US4880348A (en) * 1987-05-15 1989-11-14 Roboptek, Inc. Wafer centration device
US5102280A (en) * 1989-03-07 1992-04-07 Ade Corporation Robot prealigner
JP2642216B2 (ja) * 1989-05-23 1997-08-20 サイベック システムズ 半導体物品の予備位置決め方法及び装置
US5238354A (en) * 1989-05-23 1993-08-24 Cybeq Systems, Inc. Semiconductor object pre-aligning apparatus
US5194743A (en) * 1990-04-06 1993-03-16 Nikon Corporation Device for positioning circular semiconductor wafers
JPH04305917A (ja) * 1991-04-02 1992-10-28 Nikon Corp 密着型露光装置
JPH04305915A (ja) * 1991-04-02 1992-10-28 Nikon Corp 密着型露光装置
JPH04306915A (ja) 1991-04-04 1992-10-29 Nec Corp レベル変換回路
JP3200874B2 (ja) 1991-07-10 2001-08-20 株式会社ニコン 投影露光装置
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
US5513948A (en) * 1991-05-17 1996-05-07 Kensington Laboratories, Inc. Universal specimen prealigner
JPH0562877A (ja) 1991-09-02 1993-03-12 Yasuko Shinohara 光によるlsi製造縮小投影露光装置の光学系
JPH06124873A (ja) * 1992-10-09 1994-05-06 Canon Inc 液浸式投影露光装置
JP2753930B2 (ja) * 1992-11-27 1998-05-20 キヤノン株式会社 液浸式投影露光装置
JP3316833B2 (ja) 1993-03-26 2002-08-19 株式会社ニコン 走査露光方法、面位置設定装置、走査型露光装置、及び前記方法を使用するデバイス製造方法
KR100300618B1 (ko) 1992-12-25 2001-11-22 오노 시게오 노광방법,노광장치,및그장치를사용하는디바이스제조방법
JP3412704B2 (ja) 1993-02-26 2003-06-03 株式会社ニコン 投影露光方法及び装置、並びに露光装置
US5452078A (en) * 1993-06-17 1995-09-19 Ann F. Koo Method and apparatus for finding wafer index marks and centers
JPH07220990A (ja) * 1994-01-28 1995-08-18 Hitachi Ltd パターン形成方法及びその露光装置
US6225012B1 (en) * 1994-02-22 2001-05-01 Nikon Corporation Method for positioning substrate
JPH07270122A (ja) 1994-03-30 1995-10-20 Canon Inc 変位検出装置、該変位検出装置を備えた露光装置およびデバイスの製造方法
US5546179A (en) * 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
US5783833A (en) 1994-12-12 1998-07-21 Nikon Corporation Method and apparatus for alignment with a substrate, using coma imparting optics
JPH08213306A (ja) 1995-02-08 1996-08-20 Nikon Corp 位置検出装置及び該装置を備えた投影露光装置
JP3387075B2 (ja) 1994-12-12 2003-03-17 株式会社ニコン 走査露光方法、露光装置、及び走査型露光装置
US5648854A (en) * 1995-04-19 1997-07-15 Nikon Corporation Alignment system with large area search for wafer edge and global marks
JPH08316124A (ja) * 1995-05-19 1996-11-29 Hitachi Ltd 投影露光方法及び露光装置
JPH08316125A (ja) * 1995-05-19 1996-11-29 Hitachi Ltd 投影露光方法及び露光装置
JPH0961111A (ja) * 1995-08-28 1997-03-07 Nikon Corp パターン座標測定方法および装置
JP3639686B2 (ja) * 1996-01-31 2005-04-20 キヤノン株式会社 基板の保持装置とこれを用いた露光装置、及びデバイスの製造方法
JP3651630B2 (ja) 1996-08-05 2005-05-25 株式会社ニコン 投影露光方法及び投影露光装置
US5825043A (en) * 1996-10-07 1998-10-20 Nikon Precision Inc. Focusing and tilting adjustment system for lithography aligner, manufacturing apparatus or inspection apparatus
JPH10199804A (ja) * 1996-11-14 1998-07-31 Nikon Corp 投影露光装置及び投影露光方法並びにデバイス製造方法
JPH10247681A (ja) * 1997-03-04 1998-09-14 Nikon Corp 位置ずれ検出方法及び装置、位置決め装置並びに露光装置
JP3747566B2 (ja) * 1997-04-23 2006-02-22 株式会社ニコン 液浸型露光装置
JP3817836B2 (ja) * 1997-06-10 2006-09-06 株式会社ニコン 露光装置及びその製造方法並びに露光方法及びデバイス製造方法
JPH1116816A (ja) 1997-06-25 1999-01-22 Nikon Corp 投影露光装置、該装置を用いた露光方法、及び該装置を用いた回路デバイスの製造方法
JP4210871B2 (ja) * 1997-10-31 2009-01-21 株式会社ニコン 露光装置
US6164894A (en) * 1997-11-04 2000-12-26 Cheng; David Method and apparatus for integrated wafer handling and testing
WO1999028220A1 (fr) * 1997-12-03 1999-06-10 Nikon Corporation Dispositif et procede de transfert de substrats
JPH11176727A (ja) * 1997-12-11 1999-07-02 Nikon Corp 投影露光装置
JP4264676B2 (ja) * 1998-11-30 2009-05-20 株式会社ニコン 露光装置及び露光方法
WO1999049504A1 (fr) 1998-03-26 1999-09-30 Nikon Corporation Procede et systeme d'exposition par projection
US6374149B1 (en) * 1998-05-18 2002-04-16 Texas Instruments Incorporated System and method for determining the center of a wafer on a wafer table
JP4505989B2 (ja) 1998-05-19 2010-07-21 株式会社ニコン 収差測定装置並びに測定方法及び該装置を備える投影露光装置並びに該方法を用いるデバイス製造方法、露光方法
JP2000058436A (ja) 1998-08-11 2000-02-25 Nikon Corp 投影露光装置及び露光方法
JP2000077314A (ja) * 1998-09-03 2000-03-14 Nikon Corp リソグラフィシステム及び露光装置
US6275742B1 (en) * 1999-04-16 2001-08-14 Berkeley Process Control, Inc. Wafer aligner system
KR20020036951A (ko) * 1999-05-28 2002-05-17 시마무라 테루오 노광방법 및 장치
US6342705B1 (en) * 1999-09-10 2002-01-29 Chapman Instruments System for locating and measuring an index mark on an edge of a wafer
WO2001035168A1 (en) 1999-11-10 2001-05-17 Massachusetts Institute Of Technology Interference lithography utilizing phase-locked scanning beams
JP3099826B2 (ja) * 1999-12-09 2000-10-16 株式会社ニコン 露光装置、露光方法、及び素子製造方法
TWI240849B (en) 2000-02-10 2005-10-01 Asml Netherlands Bv Object positioning method for a lithographic projection apparatus
SG107560A1 (en) 2000-02-25 2004-12-29 Nikon Corp Exposure apparatus and exposure method capable of controlling illumination distribution
JP2001313250A (ja) 2000-02-25 2001-11-09 Nikon Corp 露光装置、その調整方法、及び前記露光装置を用いるデバイス製造方法
JP2001257157A (ja) 2000-03-14 2001-09-21 Nikon Corp アライメント装置、アライメント方法、露光装置、及び露光方法
US20020041377A1 (en) 2000-04-25 2002-04-11 Nikon Corporation Aerial image measurement method and unit, optical properties measurement method and unit, adjustment method of projection optical system, exposure method and apparatus, making method of exposure apparatus, and device manufacturing method
JP2002014005A (ja) 2000-04-25 2002-01-18 Nikon Corp 空間像計測方法、結像特性計測方法、空間像計測装置及び露光装置
JP2002134384A (ja) * 2000-10-20 2002-05-10 Nikon Corp 露光方法及び装置、並びにデバイス製造方法
JP2002184665A (ja) * 2000-12-13 2002-06-28 Nikon Corp アライメント装置及びアライメント方法、露光装置
US6788385B2 (en) * 2001-06-21 2004-09-07 Nikon Corporation Stage device, exposure apparatus and method
TW529172B (en) 2001-07-24 2003-04-21 Asml Netherlands Bv Imaging apparatus
US6728596B1 (en) * 2001-11-28 2004-04-27 Therma-Wave, Inc. Wafer prealigner with phase sensitive detection
EP1480258A4 (en) 2002-01-29 2005-11-09 Nikon Corp EXPOSURE DEVICE AND EXPOSURE METHOD
JP2004072076A (ja) * 2002-06-10 2004-03-04 Nikon Corp 露光装置及びステージ装置、並びにデバイス製造方法
JP2005536775A (ja) * 2002-08-23 2005-12-02 株式会社ニコン 投影光学系、フォトリソグラフィ方法および露光装置、並びに露光装置を用いた方法
SG121822A1 (en) * 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1420298B1 (en) 2002-11-12 2013-02-20 ASML Netherlands B.V. Lithographic apparatus
EP1420299B1 (en) * 2002-11-12 2011-01-05 ASML Netherlands B.V. Immersion lithographic apparatus and device manufacturing method
DE60335595D1 (de) * 2002-11-12 2011-02-17 Asml Netherlands Bv Lithographischer Apparat mit Immersion und Verfahren zur Herstellung einer Vorrichtung
CN101382738B (zh) * 2002-11-12 2011-01-12 Asml荷兰有限公司 光刻投射装置
AU2003284672A1 (en) 2002-12-03 2004-06-23 Nikon Corporation Contaminant removing method and device, and exposure method and apparatus
KR20120127755A (ko) 2002-12-10 2012-11-23 가부시키가이샤 니콘 노광장치 및 디바이스 제조방법
JP4595320B2 (ja) * 2002-12-10 2010-12-08 株式会社ニコン 露光装置、及びデバイス製造方法
US7242455B2 (en) 2002-12-10 2007-07-10 Nikon Corporation Exposure apparatus and method for producing device
JP4645027B2 (ja) * 2002-12-10 2011-03-09 株式会社ニコン 露光装置及び露光方法、デバイス製造方法
JP4352874B2 (ja) 2002-12-10 2009-10-28 株式会社ニコン 露光装置及びデバイス製造方法
JPWO2004059710A1 (ja) * 2002-12-24 2006-05-11 株式会社ニコン 収差計測方法、露光方法及び露光装置
KR101096478B1 (ko) * 2003-01-23 2011-12-20 가부시키가이샤 니콘 노광 장치
US7008295B2 (en) * 2003-02-04 2006-03-07 Applied Materials Inc. Substrate monitoring during chemical mechanical polishing
JP4228137B2 (ja) * 2003-02-14 2009-02-25 株式会社ニコン 露光装置及びデバイス製造方法
US7372250B2 (en) * 2003-02-20 2008-05-13 Applied Materials, Inc. Methods and apparatus for determining a position of a substrate relative to a support stage
JP4604452B2 (ja) * 2003-02-26 2011-01-05 株式会社ニコン 露光装置、露光方法、及びデバイス製造方法
JP2004260117A (ja) * 2003-02-27 2004-09-16 Nikon Corp ステージ装置、露光装置、及びデバイス製造方法
SG125108A1 (en) * 2003-03-11 2006-09-29 Asml Netherlands Bv Assembly comprising a sensor for determining at least one of tilt and height of a substrate, a method therefor and a lithographic projection apparatus
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
JP4362862B2 (ja) 2003-04-01 2009-11-11 株式会社ニコン ステージ装置及び露光装置
KR20180089562A (ko) * 2003-04-10 2018-08-08 가부시키가이샤 니콘 액침 리소그래피 장치용 진공 배출을 포함하는 환경 시스템
JP2004311897A (ja) * 2003-04-10 2004-11-04 Nikon Corp 露光方法及び装置、デバイス製造方法、並びにマスク
SG194264A1 (en) 2003-04-11 2013-11-29 Nikon Corp Apparatus having an immersion fluid system configured to maintain immersion fluid in a gap adjacent an optical assembly
JP4315420B2 (ja) * 2003-04-18 2009-08-19 キヤノン株式会社 露光装置及び露光方法
KR101015778B1 (ko) * 2003-06-03 2011-02-22 도쿄엘렉트론가부시키가이샤 기판 처리장치 및 기판 수수 위치의 조정 방법
US7213963B2 (en) * 2003-06-09 2007-05-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP3862678B2 (ja) 2003-06-27 2006-12-27 キヤノン株式会社 露光装置及びデバイス製造方法
EP1652003B1 (en) 2003-07-08 2015-01-07 Nikon Corporation Wafer table for immersion lithography
US6934661B2 (en) * 2003-12-16 2005-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer edge detector
US7589822B2 (en) 2004-02-02 2009-09-15 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US20050221736A1 (en) * 2004-03-30 2005-10-06 Nikon Corporation Wafer polishing control system for chemical mechanical planarization machines
US7161664B2 (en) * 2004-04-13 2007-01-09 Electronic Scripting Products, Inc. Apparatus and method for optical determination of intermediate distances
JP2006073915A (ja) * 2004-09-06 2006-03-16 Nikon Corp マーク、搬送装置、露光装置、位置検出方法及び搬送方法並びにデバイス製造方法
KR20070068343A (ko) 2004-10-13 2007-06-29 가부시키가이샤 니콘 노광 장치, 노광 방법 및 디바이스 제조 방법
US7119876B2 (en) * 2004-10-18 2006-10-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7583357B2 (en) * 2004-11-12 2009-09-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI654661B (zh) 2004-11-18 2019-03-21 日商尼康股份有限公司 位置測量方法、位置控制方法、測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法
US7352440B2 (en) * 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010055117A1 (en) * 2000-03-14 2001-12-27 Nikon Corporation Alignment method, exposure method, exposure apparatus and device manufacturing method
CN1501175A (zh) * 2002-11-12 2004-06-02 Asml荷兰有限公司 光刻装置和器件制造方法
WO2004053954A1 (ja) * 2002-12-10 2004-06-24 Nikon Corporation 露光装置及びデバイス製造方法
WO2004053955A1 (ja) * 2002-12-10 2004-06-24 Nikon Corporation 露光装置及びデバイス製造方法
US20040149906A1 (en) * 2003-01-30 2004-08-05 Marian Mankos Method and apparatus for reducing substrate edge effects in electron lenses
WO2004086468A1 (ja) * 2003-02-26 2004-10-07 Nikon Corporation 露光装置、露光方法及びデバイス製造方法

Also Published As

Publication number Publication date
TWI553703B (zh) 2016-10-11
US8054465B2 (en) 2011-11-08
JP2014131082A (ja) 2014-07-10
US20100134779A1 (en) 2010-06-03
US20180081283A1 (en) 2018-03-22
JP6143135B2 (ja) 2017-06-07
JP5403296B2 (ja) 2014-01-29
IL183280A0 (en) 2007-09-20
KR20120065436A (ko) 2012-06-20
KR20160148059A (ko) 2016-12-23
KR101437298B1 (ko) 2014-09-02
SG157404A1 (en) 2009-12-29
JP2019066887A (ja) 2019-04-25
WO2006054682A1 (ja) 2006-05-26
US9348238B2 (en) 2016-05-24
JP2015111682A (ja) 2015-06-18
CN101057316B (zh) 2013-03-06
TWI536429B (zh) 2016-06-01
CN103149802B (zh) 2015-10-14
TW201730928A (zh) 2017-09-01
US9223230B2 (en) 2015-12-29
US20160161861A1 (en) 2016-06-09
KR101421850B1 (ko) 2014-07-24
KR20180054934A (ko) 2018-05-24
KR101421849B1 (ko) 2014-07-24
US20070216893A1 (en) 2007-09-20
KR20150010775A (ko) 2015-01-28
TW201338018A (zh) 2013-09-16
KR20140098194A (ko) 2014-08-07
JP2018067014A (ja) 2018-04-26
JP6555554B2 (ja) 2019-08-07
US8576379B2 (en) 2013-11-05
CN104360582A (zh) 2015-02-18
JP5721064B2 (ja) 2015-05-20
EP2772803A1 (en) 2014-09-03
KR101670571B1 (ko) 2016-10-28
JP5392512B2 (ja) 2014-01-22
JP6229766B2 (ja) 2017-11-15
CN103149802A (zh) 2013-06-12
US10222708B2 (en) 2019-03-05
SG2014009153A (en) 2014-05-29
TW200633009A (en) 2006-09-16
JP2016075955A (ja) 2016-05-12
EP1821336B1 (en) 2019-04-17
EP1821336A1 (en) 2007-08-22
JP2012084927A (ja) 2012-04-26
KR101861949B1 (ko) 2018-07-02
JP2016173607A (ja) 2016-09-29
SG10201505315UA (en) 2015-08-28
US20130329200A1 (en) 2013-12-12
IL221687A0 (en) 2012-10-31
US20080151214A1 (en) 2008-06-26
US20160018745A1 (en) 2016-01-21
CN104360582B (zh) 2017-06-16
US9223231B2 (en) 2015-12-29
JP2011155285A (ja) 2011-08-11
TWI649790B (zh) 2019-02-01
TW201835972A (zh) 2018-10-01
TWI588872B (zh) 2017-06-21
US8072578B2 (en) 2011-12-06
EP3346486A1 (en) 2018-07-11
JP2017142538A (ja) 2017-08-17
JP2010118684A (ja) 2010-05-27
HK1198211A1 (zh) 2015-03-13
US20080151267A1 (en) 2008-06-26
US20060158632A1 (en) 2006-07-20
KR101578629B1 (ko) 2015-12-17
US9298108B2 (en) 2016-03-29
KR20130028798A (ko) 2013-03-19
CN103186057B (zh) 2015-12-23
HK1182460A1 (zh) 2013-11-29
JP2016040624A (ja) 2016-03-24
HK1182459A1 (zh) 2013-11-29
JP4877653B2 (ja) 2012-02-15
KR101452483B1 (ko) 2014-10-21
JP5447545B2 (ja) 2014-03-19
SG2014009179A (en) 2014-04-28
HK1206822A1 (zh) 2016-01-15
US20080151257A1 (en) 2008-06-26
EP2772804A1 (en) 2014-09-03
CN103186057A (zh) 2013-07-03
IL221687A (en) 2013-11-28
IL221688A0 (en) 2012-10-31
JP6399321B2 (ja) 2018-10-03
JP4986187B2 (ja) 2012-07-25
KR101493641B1 (ko) 2015-02-13
CN101057316A (zh) 2007-10-17
KR20150112038A (ko) 2015-10-06
TW201334032A (zh) 2013-08-16
JP2012103269A (ja) 2012-05-31
TWI654661B (zh) 2019-03-21
KR20140018367A (ko) 2014-02-12
US20130329201A1 (en) 2013-12-12
TWI538013B (zh) 2016-06-11
US9857692B2 (en) 2018-01-02
TW201324585A (zh) 2013-06-16
JP5967393B2 (ja) 2016-08-10
HK1198210A1 (zh) 2015-03-13
JP5900763B2 (ja) 2016-04-06
CN103149803B (zh) 2016-03-30
US20130329208A1 (en) 2013-12-12
TW201630047A (zh) 2016-08-16
TW201837984A (zh) 2018-10-16
HK1182185A1 (zh) 2013-11-22
US8059260B2 (en) 2011-11-15
JP5630345B2 (ja) 2014-11-26
KR101689100B1 (ko) 2017-01-02
TWI393170B (zh) 2013-04-11
KR20120065437A (ko) 2012-06-20
KR20070085211A (ko) 2007-08-27
IL221688A (en) 2013-11-28
HK1251949A1 (zh) 2019-05-03
JPWO2006054682A1 (ja) 2008-06-05
EP1821336A4 (en) 2011-03-09
JP2012094902A (ja) 2012-05-17

Similar Documents

Publication Publication Date Title
CN101057316B (zh) 位置测量方法、位置控制方法、测量方法、装载方法、曝光方法及曝光装置、及元件制造方法
CN102540767B (zh) 曝光装置、移动体驱动系统、图案形成装置、以及曝光方法
CN101685263B (zh) 曝光装置及组件制造方法
CN101980085B (zh) 曝光装置、曝光方法及组件制造方法
CN101385121B (zh) 图案形成装置及图案形成方法、移动体驱动系统及移动体驱动方法、曝光装置及曝光方法、以及组件制造方法
CN1950929B (zh) 曝光装置及曝光方法、以及组件制造方法
CN103558737A (zh) 基板保持装置、具备其之曝光装置、方法
CN100552879C (zh) 载台驱动方法及载台装置、曝光装置、及元件制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
REG Reference to a national code

Ref country code: HK

Ref legal event code: DE

Ref document number: 1182459

Country of ref document: HK

C14 Grant of patent or utility model
GR01 Patent grant
REG Reference to a national code

Ref country code: HK

Ref legal event code: GR

Ref document number: 1182459

Country of ref document: HK

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160330

Termination date: 20191118