CN103305886A - Methods and apparatus for wetting pretreatment for through resist metal plating - Google Patents

Methods and apparatus for wetting pretreatment for through resist metal plating Download PDF

Info

Publication number
CN103305886A
CN103305886A CN2013100805453A CN201310080545A CN103305886A CN 103305886 A CN103305886 A CN 103305886A CN 2013100805453 A CN2013100805453 A CN 2013100805453A CN 201310080545 A CN201310080545 A CN 201310080545A CN 103305886 A CN103305886 A CN 103305886A
Authority
CN
China
Prior art keywords
wetting
wafer substrates
wafer
fluid
wetting fluid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2013100805453A
Other languages
Chinese (zh)
Other versions
CN103305886B (en
Inventor
布莱恩·L·巴卡柳
史蒂文·T·迈耶
托马斯·A·波努司瓦米
罗伯特·拉什
布赖恩·布莱克曼
道格·希格利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/775,987 external-priority patent/US9455139B2/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of CN103305886A publication Critical patent/CN103305886A/en
Application granted granted Critical
Publication of CN103305886B publication Critical patent/CN103305886B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like

Abstract

Disclosed are methods and apparatus for wetting pretreatment for through resist metal plating. In some embodiments, a pre-wetting apparatus includes a degasser, a process chamber, and a controller. The process chamber includes a wafer holder configured to hold a wafer substrate, a vacuum port configured to allow formation of a subatmospheric pressure in the process chamber, and a fluid inlet coupled to the degasser and configured to deliver a degassed pre-wetting fluid onto the wafer substrate at a velocity of at least about 7 meters per second whereby particles on the wafer substrate are dislodged and at a flow rate whereby dislodged particles are removed from the wafer substrate. The controller includes program instructions for forming a wetting layer on the wafer substrate in the process chamber by contacting the wafer substrate with the degassed pre-wetting fluid admitted through the fluid inlet at a flow rate of at least about 0.4 liters per minute.

Description

Be used for wetting pre-treatment to connect the method and apparatus of resist Metal plating
Technical field
Embodiment disclosed herein relates to pre-wetting apparatus structure and method.More specifically, embodiment related to before electro-conductive material being deposited on the semiconductor wafer that unicircuit makes, pre-wetting apparatus structure and the method for pre-wetting this wafer.
Background technology
Wetting is the attribute of the solid/liquid interfaces of the cohesion effect in adhesive power between liquid body and the solid and the liquid.Adhesive power between liquid and the solid causes liquid to spread at whole solid surface.Cohesive force in liquid makes liquid minimize with contacting of solid surface.In many liquid and the interactional industrial treatment of solid surface, it is important coming wetting solid surface by liquid.Electroplating (cathode treatment), be included in the plating in the unicircuit manufacturing, is exactly a kind of such industrial treatment.In anodizing (comprising electrograving and electropolishing), wetting also is very important.
For example, many semi-conductors and microelectronic technique utilization connect the resist galvanic deposit.This electroplating technology is also sometimes referred to as and connects mask or photo-resist patterned electricity deposition.These techniques can be associated with: electroplate the submicron order gold cross tie part on gallium arsenide wafer, electroplate the copper coil or the magneticalloy that are used for thin film recording head, electroplate for the copper conductor of redistributing or integrating passive is used, electroplate the tin lead or the lead-free solder that connect for flip-chip.All these techniques relate to and have the substrate that covers conductive seed layer, or electroconductibility electroplates matrix, and the dielectric template of patterning, with metal deposition among them.
Summary of the invention
The invention provides the method and apparatus of pre-processed substrate before connecting resist plating or other processing.Pre-wetting and clean this substrate, for example, comprise the contamination particle material of photo-resist particle and residue and the wetted surface that is suitable for subsequent disposal is provided with removal.
In the scheme, provide device.This device comprises: degasser, and it is configured to remove one or more dissolved gasess to produce degassed pre-wetting fluid from pre-wetting fluid; And treatment chamber, it comprises: wafer holder, it is configured to keep wafer substrates and is configured to rotate described wafer substrates, vacuum ports, it is configured such that and can forms subatmospheric pressure in described treatment chamber, and fluid intake, it is connected to described degasser, and be configured to can make that particulate material (comprising particle and residue) on the described wafer substrates gets loose at least about the speed of 7 metre per second (m/s)s and the flow rate that the particle that gets loose is removed from described wafer substrates can be transported to described degassed pre-wetting fluid on the described wafer substrates.Described device can also comprise controller, described controller comprises the programmed instruction for following operation: rotate described wafer substrates, and in the described wafer substrates of rotation, by make described wafer substrates with from described degasser and can be to contact at least about the flow rate of the 0.4 Liter Per Minute described degassed pre-wetting fluid by described fluid intake, thereby form wetting layer at described subatmospheric pressure in described wafer substrates in described treatment chamber, described degassed pre-wetting fluid is in liquid state.Select the fluid rate provide and fluid flow rate for example particulate matter to be got loose and removes from described substrate being enough to, and suitable method of fluid delivery is provided, comprise the use fan nozzle.
In some embodiments, wherein said degasser is diaphragm flash liberation device, and this diaphragm flash liberation device is configured to produce the degassed pre-wetting fluid be used to the atmospheric gas with about 0.5ppm or following dissolving that contacts described wafer substrates.Described pre-wetting fluid is deionized water or help to get loose and remove the chemical solution of particle from described wafer substrates preferably.In some embodiments, described vacuum ports is positioned at described wafer holder below.In some embodiments, described device is configured to keep below the approximately described subatmospheric pressure of 50 torrs in the process of the described wetting layer of described wafer substrates formation.
In some embodiments, described fluid intake comprises and is configured to carry the nozzle of described degassed pre-wetting fluid on the described wafer substrates.In some embodiments, described nozzle is installed on the sidewall of described treatment chamber, and in some implementations, fan nozzle is configured to carry described degassed pre-wetting fluid on described wafer substrates, has the shape of lines so that clash into the described degassed pre-wetting fluid of described wafer substrates.In some embodiments, described fluid intake comprises manifold, described manifold comprises and is configured to carry described degassed pre-wetting fluid at least one nozzle on the described wafer substrates, wherein said nozzle is positioned at the top of described wafer substrates, and described at least one nozzle is to be configured to carry the fan nozzle of described degassed pre-wetting fluid on the described wafer substrates, has the shape of lines so that clash into the described degassed pre-wetting fluid of described wafer substrates.
In some embodiments, described treatment chamber comprises lid and body, it is static that wherein said lid keeps, and described body is configured to move in substantially vertical mode, thereby described body brought to described lid contact, and formation vacuum-sealing, and wherein the described nozzle of the described manifold that reaches mentioned above is connected to described lid.In some embodiments, the described nozzle of described manifold is configured to from the edge of described wafer substrates roughly that the central authorities of described wafer substrates are transported to described degassed pre-wetting fluid on the described wafer substrates.
In some embodiments, described wafer holder is configured to keep described wafer substrates with substantially supine direction, and described device is configured to described pre-wetting fluid is ejected on the described wafer substrates from high-velocity nozzle.
Can comprise metal level and the photo-resist that covers by pre-wetting typical substrate in this device, wherein the feature in described photo-resist exposes the part of described metal level.In some embodiments, the feature in the described photo-resist comprises having between about 2:1 to the about feature of the depth-to-width ratio between the 1:2.Feature in the wherein said photo-resist has between the about opening of the size between 5 microns to 200 microns.
In some embodiments, the described programmed instruction of described controller further comprises the instruction for following operation: after described wafer substrates forms described wetting layer, stop the conveying of described degassed pre-wetting fluid, and after the described conveying that stops described degassed pre-wetting fluid, rotate described wafer substrates with the second speed of rotation, to remove the degassed pre-wetting fluid that carry secretly on unnecessary surface from described wafer substrates.
In some embodiments, the described programmed instruction of described controller further comprises the instruction for following operation: after the described conveying that stops described degassed pre-wetting fluid, and before removing the degassed pre-wetting fluid of carrying secretly on described unnecessary surface, the pressure in the described treatment chamber increased to atmospheric pressure or to more than the atmospheric pressure.
Usually, described programmed instruction further comprises the instruction for following operation: the pressure with described treatment chamber before described wafer substrates forms described wetting layer is reduced to described subatmospheric pressure.For example, described programmed instruction can be stipulated: when the pressure in described treatment chamber is lowered to less than about 50 torr, be enabled in and form described wetting layer on the described wafer substrates, described wafer substrates is contacted with described degassed pre-wetting fluid continue approximately 10 seconds to 120 seconds.
In another scheme, a kind of system is provided, it comprises device mentioned above and step-by-step exposure machine (stepper).
In another scheme, a kind of method is provided, wherein said method comprises: (a) provide wafer substrates to treatment chamber, described wafer substrates has the metal level of exposure in its surperficial at least a portion; (b) pressure in the described treatment chamber is reduced to subatmospheric pressure; (c) degassed to pre-wetting fluid; (d) rotate described wafer substrates; And the described wafer substrates that (e) makes rotation with contact at described subatmospheric pressure in described treatment chamber through degassed described pre-wetting fluid, forming wetting layer in described wafer substrates, any particulate material on the described metal level that is exposed gets loose contacts described wafer substrates at least about the speed of 7 metre per second (m/s)s and with the flow rate at least about 0.4 Liter Per Minute that is enough to remove from described wafer substrates the particulate material that is got loose to be enough to make through degassed described pre-wetting fluid.
In some embodiments, described method further comprises: apply photo-resist on described wafer substrates; Described photo-resist is exposed to light; The described resist of patterning and with design transfer to described wafer substrates; And from described workpiece, optionally remove described photo-resist.
In another scheme, a kind of non-transient state computer machine computer-readable recording medium that comprises for the programmed instruction of device control is provided, wherein said programmed instruction comprises the code for operation, described operation comprises: (a) provide wafer substrates to treatment chamber, described wafer substrates has the metal level of exposure in its surperficial at least a portion; (b) pressure in the described treatment chamber is reduced to subatmospheric pressure; (c) degassed to pre-wetting fluid; (d) rotate described wafer substrates; And the described wafer substrates that (e) makes rotation with contact at described subatmospheric pressure in described treatment chamber through degassed described pre-wetting fluid, forming wetting layer in described wafer substrates, any particulate material on the described metal level that is exposed gets loose contacts described wafer substrates at least about the speed of 7 metre per second (m/s)s and with the flow rate at least about 0.4 liter of per minute that is enough to remove from described wafer substrates the particulate material that is got loose to be enough to make through degassed described pre-wetting fluid.
Description of drawings
Fig. 1 has described a kind of schematic layout figure of an embodiment of pre-dampening unit.
Fig. 2 has described a kind of isometric view of an embodiment of pre-wet chamber.
Fig. 3 has described to be configured to a kind of embodiment be used to the pre-wet chamber of the pre-wetting technique of condensing.
Fig. 4 has described to be configured to a kind of embodiment be used to the pre-wet chamber of flooding pre-wetting technique.
Fig. 5 has described to be configured to the another kind of embodiment be used to the pre-wet chamber of flooding pre-wetting technique.
Fig. 6 has described a kind of embodiment of device, carries out pre-wetting technique with this device in electroplating unit.
Fig. 7 has described a kind of embodiment of electroplating system.
Fig. 8 a and 8b are a kind of schemas of embodiment of pre-wetting technique.
Fig. 9 is the schema for the embodiment of a kind of electroplating technology of electroplated metal layer on wafer substrates.
Figure 10 a and 10b have described the embodiment for a kind of pre-wet chamber that connects the resist plating.
Figure 11 a and 11b have described the embodiment for a kind of pre-wet chamber that connects the resist plating.
Figure 12 has described the embodiment for a kind of pre-wet chamber that connects the resist plating.
Figure 13 is the schema for the embodiment of a kind of pre-wetting technique that connects the resist plating.
Embodiment
Referring now to concrete embodiment.Illustrate in the accompanying drawings the embodiment of concrete embodiment.Although the present invention describes in connection with these concrete embodiments, should be understood that this is not intended to make the present invention to be subject to these concrete embodiments.On the contrary, it is intended to contain and can be included in substituting in the spirit and scope of the invention that is limited by appended claim, modification and equivalent.In the following description, many details have been set forth, so that the present invention can be by thorough.Do not have some or all of these details, can implement the present invention yet.In other cases, known processing operation is not described in detail, in order to avoid unnecessarily make indigestion of the present invention.
The invention discloses for wafer in advance wetting, be used for apparatus structure and method and pre-wetting fluid component that electroplating process is revised the condition of wafer input and processing of wafers.According to embodiment provided by the present invention, this pre-wetting technique can be carried out by the independently pre-rinse station in electroplating chamber or in the module that comprises pre-rinse station and plating station.In some embodiments, pre-wetting and each leisure of plating is carried out in independently installing.
Substrate normally is provided with the semiconductor wafer (inculating crystal layer that for example, comprises copper or copper alloy) of conductive material layer on it.In the process of electroplating, make and be electrically connected to this conductive layer, and the wafer substrates negative bias, thereby as negative electrode.Wafer and the electroplating solution contact that contains metal-salt (for example, the mixture of the vitriol of copper, alkylsulphonic acid copper or salt), this metal-salt can because metal deposition on wafer and reduce.In many embodiments, substrate comprises one or more recessed features (for example, through hole or hole), and this recessed feature need to be filled by electroplating processes.In the electroplating solution except metal-salt, can also contain acid, and usually comprise be used to one or more additives of regulating the galvanic deposit rate on the various substrate surfaces, such as halogenide (for example, muriate, bromide etc.), promotor, leveling agent and suppressor.
Wider (for example for electroplating filling, usually greater than 5 microns) and deeply (for example, usually greater than 10 microns) pattern structure (through hole), as electroplating in the interstitital texture at emerging copper silicon through hole (TSV) or electroplating those common in relevant recessed feature structures with connecting resist, disclosed method and relevant apparatus structure be particularly suitable with necessity.7,776,741 pairs of through-silicon via structures of U.S. Patent No. of announcing on August 17th, 2010 have carried out further description, incorporate it into the present invention by reference.Be constrained on or from the teeth outwards resident or feature in gas bubbles can be by with dielectric gas occluding figuratrix or by the free path of electric current is raised obstacles electric interfering field and feature electroplating technology.Disclosed technique makes imporosity copper electroplate filling with relevant apparatus structure and is achieved.
The plating of TSV and perforation resist cross tie part and plating are filled and have been proposed a series of challenge.These challenges comprise that the electroplating time that causes owing to very large and/or dark structure is long, perhaps owing to feature inferior inculating crystal layer wetting or inferior covers the incomplete plating that causes.In addition, guarantee that importantly all recessed feature inside are filled with liquid, and can not hinder the restrained gas of electroplating in the feature in the inside of feature.Pre-wetting apparatus structure described in the invention and method with reference to electroplating (cathode treatment) metal, particularly copper, are described usually.Yet pre-wetting apparatus structure and method described in the invention are applicable to all electrolysis process usually, comprise electrograving and electropolishing, and the two all is anodizing.
The method needed liquid filling of electroplating technology, bubble-free, agranular recessed feature that is used to form has been described.In addition, the component that the corrosion that makes inculating crystal layer minimized and increased simultaneously the pre-wetting fluid of rate of deposition has been described.
Device
In general, the apparatus structure that the present invention describes and method are mainly removed all non coagulation gas (for example, nitrogen and oxygen) by at first removed gas before pre-wetted surface and feature with fluid, thereby avoid the formation of the bubble in the recessed feature (for example, through hole) on wafer substrates.For realizing this design, the wafer that will have recessed feature is placed in the container, and this container is suitable for keeping wafer and gets on except gas (for example, vacuum vessel) from wafer surface.Except container itself, when keeping vacuum condition, the mechanism that is used for removing mechanism's (for example, be connected to such as pump and so on vacuum source pipeline) of gas and is used for applying on the surface liquid is necessary.
Various apparatus structure as described herein has wherein avoided being constrained on interior bubble and the gas of recessed feature on surface for pre-wetting wafer before starting electroplating technology or in the short period of time after starting.The embodiment of pre-dampening unit comprises various parts.Usually, pre-dampening unit comprises pre-wetting fluid bin and returns case, comprises apparatus for combining liquids and liquid-level controller and sensor.In some embodiments, this device comprises the degassed flow circuits of pre-wetting fluid.In some embodiments, the web member between recycle pump, water conservancy diversion/diverting valve, liquid degassing parts and liquid degassing parts and the system vacuum pump (be used for finding time and provide vacuum various liquid degassing parts to the instrument and pre-wet chamber) is provided so degassed flow circuits.Pre-dampening unit also comprises pre-wet chamber.In some embodiments, pre-wet chamber comprises dibit (opening/closing) vacuum wafer inlet/outlet or lid with the turnover chamber, and composite door or lid and protective casing are to prevent liquid and hit upper wall or door and to drop onto on the wafer surface from upper wall or door subsequently.In some embodiments, be used for wafer holder at indoor support and rotation wafer indoor.In some embodiments, this chamber comprises air dome well heater, and it is used for preventing that liquid from condensing at the wall of chamber, otherwise this liquid can reside in wafer and vacuum wafer inlet/outlet top and may drip on the wafer.Pre-wet chamber generally includes ingress port and source line and chamber port, this ingress port is used for allowing pre-wetting fluid enter the chamber and guides pre-wetting fluid land at the upper surface of rotation wafer, this source line and chamber port are used for evacuated chamber and are released in the vacuum of chamber, this source line comprises particulate filter, this ingress port comprises the flow expansion device, and this flow expansion device is configured to disperse the gas stream that flows into and the chamber turbulent flow is minimized.In some embodiments, this chamber comprise for monitoring empty/liquid level sensor of ready and overflow/overfill condition.Pre-wet chamber also comprises usually for the discharge equipment of removing fluid that liquid and guiding discharge from the chamber and turn back to bin.
Embodiment as described herein overcomes the harmful effect of restrained bubble by following measure, particularly those can be formed on the harmful effect than the bubble in large through-hole or the groove in the wafer: (1) is by removing the not concretive gas in basically all atmosphere in wafer top and through hole or the hole, and then with the pre-wetting wafer of pre-wetting fluid, avoid during pre-wetting, gas being constrained in the through hole; And/or (2) pressure by applying large outside significantly increases the speed that bubble can dissolve on fluid, thereby by ordering about gas bubble in fluid at the large supersaturated condition of bubble interface creating.Except these pre-treatment and pre-plating measure, in some embodiments, also in remaining on the electroplating solution of degassing phase, electroplate, and in other embodiments, electroplating solution only carried out degassed processing before being exposed to wafer surface.
In some embodiments, it is feasible carrying out pre-wetting in electroplating unit, and wherein pre-wetting fluid has identical component with electroplating solution.Yet, owing to various reasons, comprise the complicacy of the hardware that electroplating technology is combined with vacuum technology, in advance wetting (comprising that the vacuum characteristics backfill is wetting in advance) in the unit that is different from electroplating unit, subelement or module, carry out often.When in the zone that obviously is different from electroplating unit, perhaps in obviously being different from the independently module of electroplating unit, carry out wetting in advance under the vacuum, rather than when in electroplating solution, carrying out pre-wetting under the vacuum, can select the component of pre-wetting fluid.Pre-wetting fluid can have identical or closely similar component with the solution that is used for subsequently wafer electroplating.Pre-wetting fluid can comprise all elements in the plating bath (for example, with solvent phase in the electroplating solution with and identical with metal ion, acid, positively charged ion, additive and the halogenide of dissolving in the electroplating solution, concentration is also identical or closely similar).This pre-wetting fluid can be used in some embodiments.Alternately, in other embodiments, can use the pre-wetting fluid that significantly is different from electroplating solution.For example, in some embodiments, can be used as the following fluid that has of pre-wetting fluid: 1) water, 2) concentration of metal ions is significantly higher than the fluid of the concentration of metal ions in the electroplating solution, 3) the halid fluid that has the halogenide of low concentration, different halid combination or do not dissolve, 4) be substantially free of a kind of, several or all fluids in the electroplating additive, or 5) solvent miscible with water.Pre-wetting fluid so also can further describe in this article.
When selecting the component of pre-wetting fluid, should consider a plurality of factors, these factors comprise: a) begin to electroplate the metal level on the front corrosion wafer substrates; B) suppress electroplating technology (that is, slow down simultaneously or the metal filled technique of inhibitory character); C) the pre-wetting fluid of loss causes subsequently re-using of pre-wetting fluid; And d) passes in time, change the concentration of various key substance in (by adding, dilute or concentrating) plating bath.Can change concentration of metal ions in the plating bath, halide concentration, organic additive etc. in the factor of back.These effects may be quite obvious.In addition, when using the pre-wetting fluid of the component different from component in the plating bath, in same module, do not using suitable mechanism to remove and reclaim in the unnecessary situation of carrying pre-wetting fluid secretly that will be added in the electroplating solution, carry out pre-wetting technique usually need to be used for to alleviate, monitor and/or otherwise proofread and correct electroplating solution As time goes on and the mechanism of the modification of generation.On the other hand, it may be favourable using hardware and technique, because it can avoid such problem, in this technique, described pre-wet operation is to carry out in independent treatment station, module, container or the sub-container at electroplating unit, thereby so that this fluid can separate and reclaim.Under this background, and for the description of the core idea of simplifying embodiment, hereinafter, in the situation of independent in advance wetting " station " and independent " plating station " (wafer is sent to the latter from the former), many embodiments have been described.Yet, although perhaps in some cases (for example, for fear of the mixing of different liqs or because of other reasons) be favourable, but the relevant scheme of the specific selection with pre-wet material, universal fluid and electroplating processes order of embodiment is not to be intended to be limited to such selection.
Fig. 1 has described the schematic layout of an embodiment of pre-dampening unit (that is, chamber 301 and the hardware that is associated).Chamber 301 is connected to vacuum pump 303 by the outlet of this chamber and by T-valve web member 305.Opposite side at T-valve is degassed loop 306, and it comprises pre-wetting fluid case 307, degasser 309 and pump 311 so that around the pre-wetting fluid of this degassed circuit cycle.In another embodiment, pre-wetting fluid supply line and vacuum pipeline be except in the connection in this place, chamber, and the valve (that is, not having T-valve) of oneself is arranged separately.In the embodiment that substitutes, this chamber have be used to the entrance of admitting pre-wetting fluid be connected connecting the vacuum pump outlet.If expectation flows into this chamber by the pump actuating fluid, rather than by the pressure difference between pre-wetting fluid case 307 and this chamber 301 fluid is drawn into this chamber, after then the position of pump 311 can be positioned at degassed parts.
In some embodiments, the zone in the pre-wetting fluid storage case 307 is by being applied to this storage case with the vacuum pump (not shown) with vacuum, thereby removes gas, so that the minimizing of the amount of realization gas dissolved.Be exposed to the surface of vacuum by increasing pre-wetting fluid, for example, by making pre-wetting fluid reenter this chamber from circulation loop with spraying or the mode by spray tower, also can increase the speed of from pre-wetting fluid, removing gas.In the embodiment of system shown in Fig. 1, pre-wetting fluid by degasser 309(for example, in some embodiments, diaphragm flash liberation device) circulation to be to remove one or more dissolved gasess (such as, O from pre-wetting fluid before pre-wetting 2And N 2Both).The example of commercially available degasser comprises the Liquid-Cel of the Membrana of North Carolina state charlotte TMPHasor with the Entegris of Minnesota State Chaska TMThe amount of gas dissolved can be monitored with suitable instrument (for example, commercial dissolved oxygen detector (not shown)).Pre-wetting fluid is removed gas dissolved before entering chamber 301, can improve pre-wetting technique, and is as described herein.After degassed to pre-wetting fluid, alternatively, close at inlet side and the valve 315 between the vacuum pump 303 of degasser 309 that (this prevents that initial gas in this chamber from becoming and is dissolved in degassed pre-wetting fluid; In some embodiments, can use independently pump for these two kinds of functions).
Be different from the condition that exists when using the device of the device that is configured to similarly Fig. 1, if not degassed before the pre-wafer of wetting fluid under being exposed to vacuum, when fluid entered the chamber, the gas dissolved in the fluid will discharge from fluid so.This causes forming bubble in through hole.Although do not want that via bottoms is the position of negative curvature, and can believe by specific model or theoretical the constraint, this position especially easily makes bubble nucleating and discharges gas from pre-wetting fluid.If this thing happens, bubble will form from the pre-wetting fluid that contains gas dissolved, because gas is oversaturated under pre-wetting conditions (for example, the vacuum in the chamber).The bubble that so forms can be retained in this place after pre-moist curing, this and then the defective that can suppress the plating at this place and cause being correlated with.Therefore, in some embodiments (comprising the embodiment shown in Fig. 1), used pre-wetting fluid is degassed pre-wetting fluid in pre-wetting technique.In some embodiments, degassed pre-wetting fluid can be electroplating solution, and pre-humidity method described herein can carry out in the chamber identical with electroplating chamber itself.If use independent pre-wet chamber and device, but pre-wetting fluid is not degassed, then may observe discontinuity and insecure filling result.For example, in the situation that do not carry out in advance degassed to pre-wetting fluid, when allowing hole on the wafer be filled with this pre-wetting fluid (wafer is under vacuum), find, approximately there is 15% hole that air bubble (this same percentage by hole after electroplating show, this hole represents that restrained bubble is wherein arranged) is wherein still arranged.Therefore, in some embodiments, importantly under vacuum (that is, under subatmospheric pressure) and carry out wetting in advance with degassed fluid.
Comparatively speaking, in some embodiments, the feature hole when the pre-wet operation of using degassed pre-wetting fluid to be combined in (that is, under the subatmospheric pressure) under the vacuum causes the feature hole significantly to be less than only using pre-wetting under vacuum.Providing in the embodiment of good protection for preventing forming non-homogeneous deposition, degassed pre-wetting fluid and the pre-wetting combination under vacuum further are combined in the plating in degassed electroplating solution.Electroplating solution can be only degassed in the starting stage (for example, only about initial 10 minutes of electroplating process) of electroplating, or keep degassed at whole electroplating process (for example, if electroplating time is longer).The experiment of carrying out under these conditions produces imporous through hole.
Turn back to Fig. 1, pressure in the chamber 301 has reached low value (namely, subatmospheric pressure) after, be switched to the pipeline that is connected to from degassed loop 306 to the T-valve 305 of vacuum pump position, and the T-valve 313 in degassed loop is set to allow fluid can be imported into vacuum chamber 301.In some embodiments, under service temperature, subatmospheric pressure approximates the pressure of the pre-wetting fluid of boiling, is about 20 torrs at ambient temperature this pressure of water.In other embodiments, subatmospheric pressure is about 50 torrs.In further embodiment, in pre-wetting wafer substrates, keep the approximately pressure of 50 torrs.In the embodiment that substitutes, pre-wetting system is configured to pressure in the chamber and has been reduced to less than starting behind about 50 torrs pre-wetting fluid is incorporated on chamber and the wafer substrates.Be in the embodiment under the atmospheric pressure at pre-wetting fluid case 307, liquid is inhaled into chamber 301 by the pressure difference between vacuum chamber and the pre-wetting fluid case.
The device side of the wafer surface of the wafer in the pre-wetting fluid wet chamber 301.Can use needle valve 317 to measure the flow of the pre-wetting fluid that enters chamber 301.This paper describes the embodiment of chamber 301.In some embodiments, as described herein, chamber 301 is pressure chambers, and it is arranged to and applies external pressure to increase the speed of gas bubble.In the further embodiment of pre-dampening unit, pre-dampening unit comprises: transporting mechanism, it is arranged to wafer substrates is transferred to electroplanting device from pre-wet chamber.
In some embodiments, pre-wetting fluid cooling (for example, water is 0 ℃, or suitable ionogen is-10 ℃) before injecting pre-wet chamber.In other embodiments, degasser is arranged to the pre-wetting fluid of cooling to being lower than approximately 20 ℃ temperature.Other examples that cool off the method for pre-wetting fluid comprise the fluid transmission by the heat exchanger in the pre-wetting fluid storage case or by the water cooler (both are all not shown in Fig. 1) in the pipeline.Cool off the partial vapor pressure that pre-wetting fluid has reduced the solvent in the pre-wetting fluid, this is so that can for example apply higher vacuum to degasser.The temperature that reduces pre-wetting fluid also may be that effectively this often makes " leakage " or " seepage " phenomenon of degasser not too general for the surface tension that improves pre-wetting fluid and viscosity.When processing the pre-wetting fluid of saliferous, seepage may be special stubborn problem, because seepage saliferous fluid can cause drying and damage the hole of degasser.Use the fluid of lesser temps to reduce saliferous electrolyte evaporation and mobile trend, thus the root of avoiding this known degasser to lose efficacy.For example, the vapour pressure of water (a small amount of salt is arranged) approximately is 2.7 torrs in the time of-10 ℃ and is 17.5 torrs and is 32 torrs during at 30 ℃ at 20 ℃.For the vacuum (producing the approximately atmospheric gas of the dissolving of 0.5ppm) of 20 torrs that are applied to degasser, 30 ℃ pre-wetting fluid will thoroughly seethe with excitement and salt be stayed degasser the hole around, and 20 ℃ pre-wetting fluid will evaporate rapidly.But, when the pre-wetting fluid that uses-10 ℃, the salting-out phenomenon of degasser seldom appears.Therefore, in generalized case, use the fluid of lesser temps more effectively to remove more gas dissolved from degasser.In some embodiments, pre-wetting fluid is carried out degassed in and before it enters treatment chamber, it be cooled to be lower than 20 ℃ temperature, for example 0 ℃ or lower.In addition, the temperature that reduces pre-wetting fluid can reduce the speed of metallic corrosion in the pre-wetting system.
In the embodiment of some pre-dampening units, the surface of wafer is wetting with pre-wetting fluid, then applies external pressure to fluid.Use suitable mechanism allow wafer surface at first with fluid contact, normally wafer is immersed in the pre-wetting fluid and (describes among the present invention).In these embodiments, pre-wet chamber comprises the entrance that allows pre-wetting fluid pass through, and this chamber is configured in pre-wet processes or is being higher than afterwards under the pressure of atmospheric pressure operate.Apply the removal that external pressure promotes bubble to this fluid.In some embodiments, the pre-wetting fluid of pre-treatment so that its before pre-wetted surface basically not only oxygen-free (for example, so that the corrosion of metal on wafer minimizes), and do not contain the gas of the non coagulation of any dissolving, such as nitrogen and carbonic acid gas, thereby accelerate the dissolution rate of any restrained bubble in the recessed feature.U.S. Patent No. 6,021,791 and No.6,146,468 have described the wafer that uses in the treating processes of semiconductor wafer is exposed to fluid through deoxidation treatment, by reference these patents is incorporated herein.
Wafer flood pre-wetting fluid or with pre-wetting fluid cover wafers after, close and seal the zone (for example, the pressure chamber) around wafer, and apply outside pressure to this chamber and fluid.Can pass through pneumatic mode (for example, high pressure gas are incorporated on the fluid mass in the chamber) or hydraulic way (for example, applying external pressure with the chamber that is substantially free of non-gas dissolved and with hydraulic efficiency piston or other suitable devices to fluid) and apply pressure.Along with the pressure in the chamber increases, bubble is from its original size reduction.When using pneumatic (gas) pressure to compress restrained bubble, important may be to avoid a large amount of gas dissolvings in pre-wetting fluid, particularly in the pre-wetting fluid of bubble periphery.In some embodiments, use stream layer that remain in a standstill, relatively thick, for example, thickness is greater than 1 centimetre.In other embodiments, this pneumatic pressure is applied in the chamber by the pipeline that the dissolving arrival interface to gas has the length of very large resistance, so that the gas of contact liq on relatively little surf zone also so and relatively long the evolving path is arranged, thereby be limited in the amount that can be dissolved in the gas in the fluid in time of a segment length.Yet, when applying pressure, will increase because of the pressure that applies for the motivating force of dissolving restrained bubble.For the air pocket that does not have the impact of remarkable kapillary pressure, the motivating force that is used for dissolving will approximate greatly the product of initial molar ratio and the difference of the initial partial pressure of the pressure that applies to the chamber and the gas dissolved in fluid of the specific gas composition of bubble.The amount of this initial partial pressure will change according to the degassed degree that pre-wetting fluid is carried out is different.
Although and the pre-wetting embodiment of non-impregnated embodiment in, can apply pressure by pneumatic mode or hydraulic way, but for thin pre-wetting fluid layer cover wafers, by pneumatic mode apply external pressure will make gas rapidly again dissolving enter in (for example, degassed) pre-wetting fluid thin layer.Enter to exist between the gas in the liquid and compete from calm the anger gas that body source absorbs and the dissolving from bubble of outside main schedule.Therefore, non-impregnated pre-wet operation should be used relatively thick pre-wetting fluid layer.In addition, the quantity of mechanism that applies the reality of the pre-wetting fluid thin layer of hydrostatic pressure to the wafer is limited.The possible mechanism that carries out such operation creates supine wafer and pre-wetting liquid fluid containment cup.In contrast, for thick pre-wetting fluid layer and the pre-humidity method of dipping the more tolerance of wide region is arranged far away.This is because pressure can be sent to bubble by pure hydrostaticpressure mechanism, and alternatively, applies pneumatic pressure and can not make the bubble pre-wetting fluid on every side in the through hole saturated again with gas rapidly, because relate to relatively long diffusion length.
When applying pressure, along with the partial pressure in the bubble surpasses partial pressure in the pre-wetting fluid, bubble can begin dissolving.Bubble is the most fully dissolving, consoluet total time such as the initial size that depends on bubble, the pressure that applies and the parameters such as the original degree of depth of bubble in feature.After bubble dissolves fully, usually should allow through after a while, then just discharge pressure, make any unnecessary gas dissolved (except under 1 normal atmosphere, being the soluble gas) do as a wholely can enter pre-wetting fluid with balance.This has been avoided the possibility of the bubble in the again coring feature.After this program is carried out, bubble will be removed from feature, and can again not form bubble after discharging unnecessary external pressure.
Fig. 2 shows the isometric view of a kind of embodiment of the pre-wet chamber that is suitable for carrying out pre-wetting technique described herein.Pre-wet chamber 501 is included in the motor 503 of rotation wafer in the treating processes, motor 503 is anchored into chamber pedestal 504 below by motor and bearings parts 505 via chuck in the chamber, and the two has also created Fluid Sealing between the bottom surface of bearing 507 and chamber motor and bearings parts 505 and chamber pedestal 504.This bearing is that a kind of commercially available vacuum passes through the central shaft rolling bearing.This motor is connected to shaft drive axis 511 by connecting parts 509, and shaft drive axis 511 passes the vacuum insulation bearing and arrives chuck base 513.Chuck has three support arms (515 is support arms) to support wafer (wafer does not show), constraint pin and other alignment devices 517 of deciding on feelings.
Be discharge equipment 519 in the bottom of chamber, discharge equipment 519 is used for removing the unnecessary pre-wetting fluid that may be accumulated in the bottom of chamber after pre-wetting fluid is applied to the rotation wafer.Fluid is thrown away and drops onto the chamber pedestal by the wall towards the chamber.In some embodiments, " fluid flow guiding cover " (not shown) of wafer periphery roughly is positioned in the plane of wafer, so that the fluid that will discharge from Waffer edge water conservancy diversion down before it hits locular wall.Pod can be movably, perhaps can be by suitable vertical movement mechanism and the wafer of sealing member adjustment and the plane of wafer chuck.In addition, be vacuum inlet and vacuum discharge line 521 in the bottom of chamber, vacuum inlet and vacuum discharge line 521 are contained in the protected fluid cover 523 in some embodiments.This protective guard helps to prevent that gas from being cleaned out by indoor unnecessary interference fluid, reduces to minimum by isolating the amount that both will be drawn into the liquid of vacuum pipeline simultaneously.Although vacuum pipeline (and protective guard) can be positioned the top of chamber, the tendency that is evacuated to fall particle on the wafer from the below of wafer and forms defective minimizes, and this may be favourable.Enter the chamber or enter in the situation of chamber from atmospheric environment when the door of chamber is opened in the process with gas backfill chamber at particle or other materials, this can implement.In order to reduce particle and the other materials that enters the chamber, the chamber is usually with rare gas element (such as nitrogen, carbonic acid gas or the argon gas) backfill of having filtered particle as far as possible, and when the opening of chamber, and the clean agranular gas of small positive gauge pressure is fed to the chamber.Backfill gas normally cross to filter, and the fluid that enters enters the liquid flow diffuser of the wall that is installed in described chamber, so that avoid can drying crystal wafer or the gas stream jet of any indoor component of unnecessary interference.
In some embodiments, pre-wetting fluid nozzle 525 is positioned at top and the side, but is not positioned on the wafer and wafer chuck of location placed in the middle, is directed to or is configured to injection or water conservancy diversion fluid to arrive the wafer central region.In other embodiments, the nozzle of pre-wetting fluid is connected to the moveable arm that can be positioned on the wafer top.In the embodiment shown in Fig. 2, chamber vacuum sealing door 527 is along the wall setting of chamber, and is configured to sealing chamber itself.It can be moved away from the chamber, and also (or upwards) is mobile downwards, so that wafer can freely enter the chamber, and then is repositioned onto sealing station after wafer is placed on the wafer maintenance chuck.Fluid should design door and the miscellaneous part that can hold the fluid of carrying secretly, so that can not drip on the wafer.For example, under the plane that forms when the retracted position of door and related hardware can be positioned in wafer and be inserted in the chamber, to avoid at the process medium fluid drippage of transportation wafer turnover chamber and to pollute wafer.
In some embodiments, the top of chamber, particularly wafer resided in chuck and the zone on the plane, place when withdrawing from by this, be heated to by more than the temperature of pre-wetting wafer.This comprises the zone (top surface or vacuum dome, not shown in Fig. 2) that is positioned at above the wafer and these two zones, neighboring area that center on wafer.Avoid liquid ceiling slab from this chamber before vacuum condition forms drop onto on the wafer, avoid liquid air bubble may be constrained in the through hole of drop drippage, avoid liquid evade required only have when air at first from through hole, just pre-wetting fluid is applied to aspects such as technique on the wafer during removal, this heating is useful.Similarly, wafer is being placed in the process of chamber, the liquid that drops onto on the wafer surface from wall has similar impact.By chamber walls, avoided condensing on wall and top ceiling, and can evaporate rapidly any spuious drop that may arrive these positions, thereby kept these zones dry.
Although not shown in Fig. 2, in some embodiments, vertical removable and automatable protective casing is arranged on wafer and chuck periphery and indoor.Protective casing can move up to reduce as far as possible and avoid liquid exposure chamber door or upper wall etc. in applying the process of fluid or in other suitable times.Alternatively, after wafer is inserted into the chamber, wafer chuck can be moved down into the more depths of chamber and arrives under the plane of Vacuum door, thereby realize same purpose.
Do not providing pre-wetting fluid in other embodiments of wafer surface, wafer is immersed in the pre-wetting fluid or with pre-wetting fluid (for example, by condensing) and covers, and keeps vacuum condition simultaneously above fluid and wafer.Owing to can create the indoor condition that does not substantially have non coagulation gas that makes in the formation of indoor vacuum condition, therefore pre-wetting fluid can not be prevented from entering through hole.In other words, in pre-wet processes, need not this liquid and replace any gas that is positioned at through hole, because before pre-wet operation, gas is removed in independent operation (vacuumizing).
For example, in one embodiment, after vacuum is applied to pre-wet chamber, the coagulable fluid steam is in indoor formation or (for example be introduced in the chamber, water (for example, low-pressure steam), methylol, methylcarbonate, diethyl carbonate, isopropyl alcohol, methyl-sulphoxide and dimethyl formamide or as follow-up plating bath, be soluble in washing fluid subsequently or dissolve in the steam of other liquid of plating bath subsequently).Having at least one recessed feature and pre-wet chamber in wafer substrates is configured to pre-wetting fluid is transported to gaseous form in the embodiment on the wafer substrates, pre-wetting fluid condenses to form liquid membrane in wafer surface, thereby fills recessed feature with pre-wetting fluid.Fig. 3 has described to be arranged to a kind of embodiment of the pre-wet chamber of so pre-wetting technique of condensing.Fig. 3 shows chamber 601, and chamber 601 has movably vacuum cover (alternatively inlet/outlet) 609, the pipeline 611 to vacuum source, vacuum discharge line 613 and the coagulable fluid intake 615 that allows to enter the chamber.Vacuum seal 617 seals bottom vacuum constraint container 619 with respect to the rest part of chamber.Wafer 603 is positioned on the wafer cooling element (water cooler) 605, wafer cooling element (water cooler) the 605th, the part of wafer holding device (chuck) 607.Wafer cooling element 605 is reduced to temperature below the pre-wetting fluid coagulation temperature with the surface temperature of wafer substrates, and this pre-wetting fluid flows into chambers as steam by entrance 615.In another embodiment, after forming vacuum and utilizing vacuum to remove condensable gas (for example, air) from chamber 601, heating water and be allowed to condition in the chamber evaporation (namely only, boil), and be allowed to condition on the surface and condense, comprise preferentially on the surface of the wafer 603 of indoor cooling and condensing.For example, in the chamber that does not have vacuum seal 617, flash distillation when a small amount of water of the bottom 619 of chamber can be heated and be allowed to condition at chamber interior vacuumized.In treating processes, being connected to some and constantly can removing (closing) to vacuum.
In another embodiment, wafer substrates is immersed in the pre-wetting fluid bath and continues for some time.Fig. 4 shows a kind of embodiment of the pre-wet chamber that is arranged to so pre-wetting technique of dipping.In Fig. 4, wafer 701 is maintained in the wafer holder 702 in the chamber 703.Chamber 703 has be used to the entrance 711 that allows pre-wetting fluid enter.As shown in the figure, wafer face is maintained on the wafer holder up, and keeps so that fluid still can arrive wafer from the wafer periphery by suitable mechanism.To be evacuated in the chamber 703 by vacuum ports 707, vacuum ports 707 is connected to the vacuum system (not shown).Then, with pre-wetting fluid by the wetting wafer of for example following manner: perhaps 1) wafer and wafer holder are moved down in the pre-wetting fluid 713 perhaps 2) by the raise liquid level of pre-wetting fluid of the pre-wetting fluid that injects via entrance 711.During pre-wetting technique, can use motor 705 slow circumvolve wafers.After pre-wetting technique, liquid level descends, or the wafer rising, and rotates wafer with motor 705 with the slow speed of revolution (rpm), to remove the unnecessary fluid of carrying secretly, stays thin pre-wetting fluid layer.Also can be used for the back side of drying crystal wafer by the nitrogen gas stream of port 709, keep simultaneously the positive wetting of wafer.Then, with wafer transfer to the flip-shell device (clamshell) of standard to electroplate.
In other embodiments of pre-wet chamber shown in Figure 4, can keep wafer with prone orientation.In some embodiments of the pre-dampening unit with pre-wet chamber as shown in Figure 4, pre-wetting device is configured to pressure in the chamber and has been reduced to less than starting behind about 50 torrs wafer is impregnated into operation in the pre-wetting fluid.Can apply external pressure with the such embodiment of dissolving bubble for as described herein in the pre-wet chamber 703 shown in Fig. 4.Chamber and other assemblies need to bear the internal pressure of alternative vacuum or also need the vacuum to bear internal pressure except bearing.
Fig. 5 has described to be arranged to another embodiment of the pre-wet chamber of flooding pre-wetting technique.Fig. 5 shows pre-wet chamber 801, wafer 809 and the fluid 813 or the wafer holder 803 that relative to each other move.In the present embodiment, chamber and wafer holder 803 can tilt, and are used for accurately control and remove pre-wetting liquid front end and tail end from the chamber.In addition, the gap between the bottom of wafer 809 and chamber is little.The same with Fig. 4, the pre-wetting fluid in Fig. 5 can enter/withdraw from by port 811, and can chamber 801 be evacuated by vacuum ports 807, and vacuum ports 807 is connected to the vacuum system (not shown).By with motor 805 with the slow speed of revolution (rpm) rotation wafer, can remove wafer surface unnecessary carry fluid secretly.When with the pre-wetting wafer substrates of expensive pre-wetting fluid surface, or expectation is when using the pre-wetting fluid (for example, the level of gas dissolved can remain on low level like this) of minimum, and embodiment shown in Figure 5 is useful especially.Pre-wetting after, with wafer transfer to the flip-shell device (clamshell) of standard to electroplate.The pre-dampening unit in surface narrow gap, that tilt but not apply that the similar structures of the mechanism of vacuum was submitted on August 9th, 2005, sequence number during pre-wet operation be No.11/200, in 338 the U.S. Patent application description is arranged, incorporate this patent application into this paper by reference.
Can also be used in the aforesaid embodiment that applies external pressure in chamber shown in Figure 5.In the present embodiment, chamber and other equipment are designed or revise, can bear and keep inner malleation.
Fig. 6 shows a kind of embodiment of the device in electroplating unit, and pre-wetting technique is carried out in this device.Alternatively, this can be described as also that pre-wet chamber is configured to pre-wetting wafer substrates and electroplated metal layer on pre-wetting wafer substrates.In Fig. 6, chamber 901 is electroplating unit, and it has the vacuum-sealing surface, and this surface is the part of cell-wall 903.Wafer holding device 905 keeps wafer 915.In the embodiment of describing in the figure, electroplating unit comprises high resistance virtual anode (HRVA) 907 and the zone, independent anolyte compartment (SAC) 909 of the infiltration ion of anti-ion.Containing that an example of the device of HRVA was submitted on November 7th, 2008, sequence number is No.12/291, in 356 the U.S. Patent application description is arranged, and incorporates this patent application into relevant portion of the present invention by reference.Also can referring to submit on August 16th, 2006, sequence number is No.11/506,054 U.S. Patent application is incorporated this patent application into relevant portion of the present invention by reference.
At first, wafer 915 remains on electroplating solution 913 tops, and by vacuum ports 911 chamber is evacuated.When the chamber is evacuated, usually should vacuumize by the back side of wafer holding device at wafer, so that wafer does not rupture.Afterwards, fluid level 913 rises wetting wafer surface.In some embodiments, this fluid is pre-wetting fluid, and in other embodiments, this fluid is electroplating solution.In some embodiments, this fluid before the contact wafer surface by degassed.Owing in the chamber, not having gas, the ventricumbent fact of wafer can not cause in the below of wafer surface or the through hole any bubble that contains restrained gas being arranged.After pre-wetting the finishing, can discharge vacuum.Then can begin plated metal on wafer 915 (being in some embodiments copper).In wafer rotation or non-rotary situation, under environmental stress, electroplate normally fairly simple (mechanical system and processing conditions).Alternatively, can keep vacuum at whole electroplating process.Again, in this embodiment and other embodiments, before carrying out pre-wet operation convection cell degassed be favourable.Otherwise fluid can discharge dissolved gases, when gas is displaced liquid because of lower pressure, just forms bubble in feature inside or surface.
In U.S. Patent No. 6,156, describe the flip-shell electroplanting device in detail in 167 and No.6,800,187, this device has and is fit to the aspect that embodiment described herein uses together, incorporates these patents into this paper by reference based on all purposes.
Fig. 7 has described the embodiment for the treatment of the electroplating system/module 1001 of wafer.The layout of shown particular tool comprises two independent wafer assembling and disassembling manipulators 1003, and it will " dry " wafer unifies gondola from the FOUP(front openings that is positioned at front end) box of shovel loader 1005 moves to aligner module/transfer station (not shown) and transfer chamber mechanical manipulator 1004.The aligner module guarantees that wafer is aligned in mechanical manipulator 1004 arms of transfer chamber exactly, in order to accurately be transported to other chamber/modules of system.In some embodiments, the aligner module both from the position angle (so-called " wafer notch alignment ") also from vertical and horizontal plane alignment wafer to specific position (that is, the x of fixed wafer, y, and z position alignment).
After processing and drying finishes, identical or different transfer chamber mechanical manipulator is used for sending wafer back to FOUP from " wet treatment is regional " of instrument rear end.The rear robot (not shown) can comprise two or more arms, and each has single or multiple " end effectors ", to control wafer.Some " end effector " controls wafer with vacuum " rod " in bottom of wafer, and other possibility only be controlled wafer at the wafer periphery.In some embodiments, a robotic wafer loading and unloading arm end effector is only for the treatment of the wafer with wet surface, and other performer that keep pollute thereby reduce to greatest extent only for the treatment of fully dry wafer.
After wafer enters transfer station (comprising transfer chamber mechanical manipulator 1004), wafer is sent to pre-wet chamber 1013(namely usually, pre-dampening unit is the station in module, this module also comprises the plating station that is configured to the Metal plating wafer, metal is copper in some embodiments), in the present invention description is arranged in the wherein each kind of embodiment.In other embodiments, system 1001 is arranged to anodizing.In such embodiment, this module also comprises the station that is arranged to anodizing, as being used for electrograving or electropolishing.
Pre-wet chamber 1013 be configured to or under vacuum pre-wetting wafer, or pressure is applied to wetting wafer, and both carries out in some embodiments.Use is configured under vacuum pre-wet chamber wafer as example, when wafer rotates, ambient air is removed from the chamber.In case the acquisition vacuum, just the device-side with wafer is exposed to through degassed pre-wetting fluid (passing through degassed mobile circulating degasification in module 1015).After wetting the finishing, remove unnecessary fluid, again introduce gas indoor until arrive atmospheric pressure, and with chamber opening so that wafer can be withdrawn from by mechanical manipulator or other transporting mechanisms.In some embodiments, transporting mechanism is configured within less than approximately one minute time pre-wetting wafer substrates is sent to plating station from pre-rinse station.
In some embodiments, then wafer is put into the aligner (not shown), such as the recess aligner.Allow wafer pass through high-precision recess aligner, it is possible then it accurately being placed into the edge seal electroplating unit, this edge seal electroplating unit has been got rid of the electroplating solution of the back side and very little device side edges exclusionary zone (for example, isolated edge is approximately 1 millimeter).Electroplating unit can be designed to have the sealing member of the gap regions of passing especially.Electroplate and feature fill (that is, metal level is electroplated on wafer substrates) electroplating unit 1021,1023 or 1025(namely, plating station) generation, in some embodiments, electroplating solution is de-gassed solution.In some embodiments, metal is copper.Plating station is configured to wafer is immersed in the degassed plating bath in the plating station.In some embodiments, plating station is configured to before wafer substrates being immersed in the degassed plating bath, with the wafer substrates cathodic polarization.Electroplating solution can use by independent degassed circuit cycle, this degassed loop is different from the flow circuits between main plating bath and the electroplating unit, perhaps by the degassed element in the loop identical with main plating bath/electroplating unit loop, this electroplating solution just before entering electroplating unit by degassed.
After plating is finished, also rotate to remove the unnecessary fluid of carrying secretly with the water rinse wafer on the electroplating unit, open wafer and keep flip cover assembly, untie edge seal, and wafer can be withdrawn from.Then wafer transfer is arrived post-processing module, at this place's rinsing and drying crystal wafer.
The item relevant with pre-wetting technique be pre-wetting and electroplate between (that is, and in pre-wet chamber, under vacuum, wafer is exposed to pre-wetting fluid after, but before electroplating beginning) time in, wafer surface " is dried ".Drying can be described as from the discharging of this surface physics and (that is, not being that drying should the surface) the pre-wetting fluid that condenses, and makes this surperficial part that thicker pre-wetting fluid film be arranged, and does not have pre-wetting fluid above another part.This characteristic performance is usually with surperficial to have high hydrophobicity with respect to pre-wetting fluid relevant.If wetting layer retracts from pre-wetting surface or condenses, the attribute of so pre-wetting technique will disappear.For fear of this phenomenon, can add wetting agent to pre-wetting fluid, to avoid fluid collection in pit.
In some embodiments, in pre-wet chamber or as the operation in the pre-wet chamber of the part of electroplating system by computer system control.This computer comprises the controller that contains programmed instruction.This programmed instruction can comprise the instruction of carrying out the required all operations of pre-wetting wafer substrates.In one embodiment, these instructions are used for the pressure for the treatment of chamber is reduced to subatmospheric pressure, and contact with pre-wetting fluid by wafer substrates at subatmospheric pressure subsequently, to form wetting layer at substrate surface.Under subatmospheric pressure, the pre-wetting fluid of liquid is transported in the process of wafer substrates, can be with the first speed of rotation rotation wafer substrates, fluid carries the execution time between approximately between 10 to 120 seconds.Then, stop the conveying of pre-wetting fluid.After stopping to carry pre-wetting fluid, with the second speed of rotation rotation wafer substrates, to remove the pre-wetting fluid that carry secretly on unnecessary surface from wafer substrates.In some embodiments, after the conveying of pre-wetting fluid stops, and carry secretly before pre-wetting fluid removes unnecessary, discharge the vacuum in the treatment chamber.In the embodiment that substitutes, remove the rear vacuum that discharges at the unnecessary pre-wetting fluid of carrying secretly.In different embodiments, wafer can be with different speed rotations.In some embodiments, the pre-wetting fluid of liquid is transported to the first speed of rotation in the process of wafer substrates less than about 300rpm, and removes unnecessary second speed of rotation of carrying pre-wetting fluid secretly from wafer substrates and be at least about 300rpm.In other embodiments, the first speed of rotation is about 100rpm or less, and the second speed of rotation is at least about 500rpm.In further embodiment, pre-dampening unit is configured to remove the unnecessary pre-wetting fluid of carrying secretly by selected method from wafer substrates, this selected method is selected from: centrifugal rotation, air knife drying and wiping, this controller comprises the programmed instruction of carrying out these operations.
Process/method
The general pre-humidity method that is used for embodiments more disclosed in this invention at first creates vacuum in the environment around the wafer.Then, spray, flow through, cover or flood wafer surface with enough (in some embodiments, degassed) pre-wetting fluid, the most whole wafer is exposed to enough thick liquid level.At any time this layer can not all cover whole surface, but until the later stage of this technique just cover whole surface.Then wafer surface is immersed in or is exposed to pre-wetting fluid layer and (for example continue for some time, by with extra fluid continuous spray, flow through, cover, or dipping surface), until substantially finish/reach balance and obtain favourable/uniform wetting characteristics (wetting ability, low contact angle) in the absorption (or reaction) of any pre-wetting fluid composition of wafer surface.After pre-wetting, stop with pre-wetting fluid spraying, flow through or cover wafers.In some embodiments, discharge vacuum, then unnecessary carry secretly fluid from (current) total hydrophilic surface (for example,, scraper scraping dry by centrifugal rotation, air knife, etc.) remove, stay from the teeth outwards thin uniformly pre-wetting fluid adhesion layer.In other embodiments, discharge vacuum and remove the unnecessary fluid of carrying secretly before.At last, wafer is transferred to electroplating unit with wafer electroplating.
Because the pre-wetting fluid that will carry secretly is from wafer surface is removed to metal deposition, middle may be anywhere through the time more than several seconds to one minute, therefore whole wafer is all hydrophilic and keep covering with fluid fully on the whole surface, and this is important.In the time subsequently, hydrophobic surface/combination of fluids can cause fluid for example to begin away from and expose the part of wafer surface from Waffer edge.This dry can cause inhaling in any recessed feature of fluid in the wafer substrates pull out, gas is constrained in this feature in the time of may causing in being impregnated into plating bath.Water repellent surface, particularly those surfaces of drying fully in some zone have the pre-wetting layer thickness of fluid heterogeneous in wafer substrates.Have in the situation of the component that is different from plating bath at the pre-wetting fluid that uses, if pre-wetting fluid does not have suitably wetting wafer, then the dipping of pre-wetting wafer in electroplating solution can not form evenly wetting surface subsequently.Non-homogeneous wetting wafer can cause in wafer surface everywhere, and the diffusion time of various components is different because of the thickness of wetting layer with concentration.This can cause the difference of feature filling effect or cause producing various wafer surface blemish, as the projection of the affined bubble of embarking on journey, metal pit, metal thickness difference or growth.Therefore, after pre-wetting technique, pre-wetting fluid should form uniform, little contact angle with respect to whole wafer surface, and for example, if possible, approximately 45 spend or less contact angles.When lower contact angle can form, the very thin pre-wetting fluid layer with adhering to just can form.
Tend to observe, the contact angle meeting time to time change on surface, and As time goes on hydrophobic surface can become more hydrophilic when some liquid of contact.Some wafer surface can show by the wafer surface that for example plasma gas phase deposition is coated with copper film such as those: when this surface was exposed to pre-wetting fluid continuously, the contact angle on liquid/surface was passed significantly in time and is reduced.Particularly, the continuous exposure on such surface under vacuum condition, can cause the surface to change over fast and fully wetting hydrophily from the hydrophobic state of roughly drying.
By applying degassed pre-wetting fluid to the surface, the atmosphere that keeps simultaneously low pressure/vacuum, the obstacle that expands simultaneously, washes or remove surperficial affined gas is eliminated basically, and therefore can reduce owing to not having or limited before be exposed to pre-wetting fluid and the exposed region of wafer remains hydrophobic obstacle.Consider not adopt the technique of vacuum and wetting combination, each zone of wafer surface will fall into 5 kinds of wetting types: 1) hydrophobic wetting: with pre-wetting fluid covering and wetting, but the time length is insufficient, so that it is still is hydrophobic; 2) hydrophilic wetting: with pre-wetting fluid covering and wetting, long enough, therefore, it has become hydrophilic; 3) not wetting: hydrophobic, be exposed in the air, and never be exposed to pre-wetting fluid; 4) wetting removal: before wetting, but dry, and again be exposed in the air; 5) bubble that is tied: the bubble that under surface and pre-wetting fluid layer, contains the air that is tied.
Importantly be noted that in state 3,4 or 5 zone and will any absorption or chemical reaction can not occur, cause without any hydrophobicity to hydrophilic surface transformation, unless until should become afterwards wetting in the zone.In addition, around state 3 be the regional wetted of state 1 or 2, and maybe will become hydrophilic so that fluid can be freely and is mobile on this surface continuously, and so that bubble or the wetting difficult that becomes of removal adjacently situated surfaces.In addition, be exposed to before pre-wetting fluid current hydrophobic surface zone can absence of liquid cover and have liquid to cover but between hydrophobic this two states repeatedly.When fluid penetrates into adjacent hydrophilic region, this technique continues the conversion between these states, 3 conversion is repeatedly back and forth from state 1 to state, until finally itself or i) change to state 2, and become hydrophilicly with wetting, and after this remain on state 2, perhaps ii) surrounded by more wetting zone, the parcel bubble, and be transformed into state 4.
The above-mentioned technique that (that is, in air) carries out under atmospheric condition should contrast with the technique that under vacuum (also with degassed pre-wetting fluid) carries out.In the technique that (also with degassed pre-wetting fluid) carries out under vacuum, only have three wetting types to exist: 1) wetting: to cover also wetting with pre-wetting fluid; 2) not wetting: as to be exposed to vacuum, and never to be exposed to pre-wetting fluid; 3) wetting removal: before wetting, but dried and again be exposed to vacuum.
A kind ofly carry out pre-wetting technique guarantee under vacuum: keep time enough as long as the specific part of wafer is exposed to pre-wetting fluid (state 1), then the specific part of wafer becomes hydrophilic the most at last.Be different from the pre-wetting technique of in atmosphere, carrying out, do not require pre-wetting fluid stream " rinsing out " the restrained bubble with high fluid rate.In addition, bubble flushing is not 100% effective, and tends to cause bubble breaking, stays a large amount of bubbles less, that be difficult to eliminate.Therefore, than only under atmospheric pressure spraying, cover or the dipping wafer with pre-wetting fluid, pre-wetting under vacuum is to hang down more reliably defective technique.Other the pre-wetting factors that are conducive under vacuum are: a) surface energy of vacuum/liquid/metal interface is different from the surface energy of air/liquid/metal interface, and its contact angle is usually less than the contact angle of air/liquid/metal interface, b) the again formation of metal oxide/nitride/carbonate is avoidable, and c) use degassed fluid to prevent that gas on some point of liquid wafer interface is for example because pseudo-temperature or pressure change and the possibility of overflowing from fluid.
Fig. 8 a is the schema (1100) of the general embodiment of pre-wetting technique.There is the wafer substrates of the metal level of exposure to provide to pre-moist curing chamber (1105) at least a portion with the surface.Then, the pressure in the treatment chamber is reduced to subatmospheric pressure (1110).Then at subatmospheric pressure wafer substrates is contacted with pre-wetting fluid, to form wetting layer (1115) on the wafer substrates surface.Pre-wetting technique like this can be carried out in pre-dampening unit structure described herein.
In different embodiments, wafer substrates takes on a different character.Wafer substrates can have at least one recessed feature.This recessed feature can be damascene feature, and this damascene feature forms by the mosaic pattern metallization processes.Inlaying electroplating technology is a kind of technique with the recess in the dielectric layer of metallic membrane filling semiconductor wafer, and this recess forms by the mosaic pattern metallization processes.Recessed feature also can be to connect mask features.
In some embodiments, pre-wetting fluid is basically without gas dissolved.In some embodiments, one or more gas dissolvedes were removed from pre-wetting fluid before pre-wetting fluid contact wafer.In order to help to remove gas dissolved, in some embodiments, in the process of removing gas, pre-wetting fluid is cooled to be lower than approximately 20 ° of C.In certain embodiments, in order to remove gas to obtain the pre-wetting fluid of essentially no gas dissolved from pre-wetting fluid, in wafer substrates with before pre-wetting fluid contacts, pre-wetting fluid processing tank has the pre-wetting fluid by specific time period of degassed circuit cycle (common half an hour is specifically depended on performance and the capacity of degasser).This point is discussed with reference to Fig. 1 in this article.Usually, this means, fluid is by loop flow the time, and vacuum pump is opened and under vacuum, and with degasser be connected the valve that wetting case and pump be connected and open.This guarantees to be applied to subsequently the essentially no gas dissolved of pre-wetting fluid of wafer surface.The measuring result of designed system shows that remaining dissolved oxygen levels is low to moderate the approximately 1-2% of airborne oxygen saturation level or still less like this.
In addition, the dome on treatment chamber and wall well heater can be opened, be set to than high approximately 10 ℃ the temperature of the temperature of pre-wetting fluid, and be in certain embodiments height approximately 20 ℃ or more than.For example, if the temperature of fluid is approximately 20 ℃, then approximately the temperature of 40~50 ℃ wall is suitable.Dome and wall well heater avoided from the teeth outwards condense and pre-wetting under vacuum before the drop land that may occur to the surface that exposes.The cleaning of chamber surface can be by closing door and wall transfers to vacuum in the chamber of target Heating temperature and finishes.For example, when not having the wall of wafer and heating in the chamber, the chamber is transferred to vacuum, and remain on vacuum state and continue approximately 10 minutes or longer time, anyly may accumulate in the top ceiling of chamber and the liquid of upper wall in order to remove.Can for example remove vacuum by the dry nitrogen of backfill cleaning.The method is removed any possible coagulum from locular wall, and has reduced to greatest extent the formation of the particle of γ-ray emission.Confirming a) all chamber fluid level sensor at suitable value (for example, case is full, and the chamber is empty), b) well heater is opened, and c) vacuum has been ready for processing, and pre-wet chamber processes that door can be opened and a door guard shield (if being equipped with) descends.Then, wafer is placed in the chuck, and the arm of mechanical manipulator is retracted, and Vacuum door is closed, and the liquid protective casing raises or wafer is reduced to the below of guard shield (if being equipped with).
In some embodiments, be used for the target value of vacuum of pre-moist curing approximately between 10 to 100 torrs, for example, about 40 torrs.In some embodiments, vacuum (that is, subatmospheric pressure) is about 50 torrs.In some embodiments, vacuumize finish after, vacuum pipeline can be closed, and in other embodiments, this pump continues to vacuumize, simultaneously pre-wetting fluid is injected on chamber and the wafer.
In some embodiments, the pre-wetting fluid of liquid is transported on the wafer substrates surface.This may need wafer substrates is immersed in the pre-wetting fluid.Alternatively, this may need to spray or wafer substrate with pre-wetting fluid.In other embodiments, wafer substrates is carried out with pre-contacting by the pre-wetting fluid of gaseous state is transported on the wafer substrates of wetting fluid.Allow the gaseous stream physical efficiency condense and form wetting layer in wafer substrates.In these embodiments, the temperature of wafer substrates can drop to below the coagulation temperature of pre-wetting fluid before wafer substrates is exposed to pre-wetting fluid.
In some embodiments, the pre-wetting fluid of liquid is transported to the lip-deep while of wafer substrates, can rotate wafer.In some embodiments, wafer substrates is to rotate to the speed between the 300rpm between about 10rpm.In further embodiment, wafer substrates is to rotate to the speed between the 100rpm between about 10rpm.In other embodiments, wafer substrates is with between the rotation of the about speed between 100 to 400rpm, for example, and with the approximately speed rotation of 300rpm.In some cases, can the short period of time (approximately 2 to 10 seconds) (for example use higher speed of rotation, approximately 400 to 800rpm) or the speed of rotation of circulation, and periodic acceleration and the deceleration of wafer, the resistance that wherein overcomes the fluid wets of high hydrophobic wafer is problem.The chamber vacuumizes and can start before or after wafer rotation beginning.
In the embodiment that uses the pre-wetting fluid of liquid, pre-wetting fluid begins just to flow on chamber and the wafer surface.Use between approximately 0.5 and 2lpm between typical flow rate, for example, about 0.8lpm, time length between approximately 3 seconds to 1 minute or the longer time between, for example, approximately 20 seconds, specifically depend on and realize particular surface needed time of complete wetting, the speed of rotation of wafer and the wettability of fluid.In some embodiments, pre-wetting fluid contacts with wafer substrates, and duration of contact is between approximately between 10 seconds to 120 seconds.After wetting technique is finished, for example stop pre-wetting fluid and flow by closing pre-wetting fluid flow valve.
Then, the chamber is transferred to atmospheric pressure.In some embodiments, use oxygenless gas (for example, dry nitrogen) that the chamber is transferred to normal atmosphere.
In some embodiments, unnecessary pre-wetting fluid is removed from described substrate surface.This can finish before or after the chamber is transferred to normal atmosphere.In some embodiments, unnecessary pre-wetting fluid is by rotating wafer substrates from the wafer substrates surface removal.The wafer substrates speed of rotation is increased to a value, so that can carry unnecessary secretly fluid from the wafer substrates surface removal, but keep thin liquid level.In the process of removing unnecessary pre-wetting fluid, wafer substrates can be with from about 300rpm to the speed rotation of 1000rpm.In the process of removing unnecessary pre-wetting fluid, wafer substrates can be rotated and is less than approximately 20 seconds.In other embodiments, the wafer substrates speed of rotation increases between approximately between 250 to 800rpm, and the time length is avoided pre-wetting fluid complete drying simultaneously between approximately between 5 to 60 seconds.Although generally can before discharging vacuum, begin rotation treatment, but after discharging in vacuum, carry out this step, what believe is that the possibility of wafer drying has reduced, because the possibility of the thin layer on the evaporation drying wafer and some the zone formation desiccated surface on wafer can be less.
From the wafer substrates surface removal unnecessary carry fluid secretly after, stop the rotation of wafer substrates, protective casing reduces and/or wafer substrates (if being equipped with) rises, Vacuum door is opened, and wafer is removed and is placed on the electroplating chamber from the chamber.In some embodiments, pre-wetting wafer substrates is exposed in chamber and the electroplating chamber environment outward, continues less than approximately one minute.In other embodiments, when pre-wetting wafer substrates was transferred to electroplating chamber, before just will having electroplated, it had thickness between the about wetting layer between 50 to 500 microns.In some embodiments, after wafer substrates is in the electroplating chamber, use degassed electroplating solution wafer electroplating substrate.In some embodiments, wafer substrates is with before electroplating solution contacts, and pre-wetting wafer substrates is with respect to the electroplating solution cathodic polarization.Pre-moist curing chamber and electroplating chamber can be the different stations in the apparatus module.In other embodiments, at the chamber wafer electroplating substrate identical with being used for pre-wetting chamber.In these embodiments, can use degassed electroplating solution to electroplate.
In the embodiment that substitutes, shift out pre-wetting wafer substrates from pre-moist curing chamber after, this pre-wetting wafer substrates is transferred to the chamber that is configured to carry out anodizing such as electrograving and electropolishing.
Fig. 8 b is the schema (1150) of another embodiment of pre-wetting technique.The wafer substrates that has the metal level of exposure at least a portion surface is provided to pre-moist curing chamber (1155).Then, the pressure in the treatment chamber is reduced to subatmospheric pressure (1160).Then, make wafer substrates contact (1165) with pre-wetting fluid at subatmospheric pressure.Then, the pressure in the treatment chamber is increased, be beneficial to remove bubble (1170).In pre-dampening unit structure described herein, can carry out so pre-moist curing.
Apparatus structure described herein and method can be used for the semiconductor device structure that pre-wetted portions is made.In some embodiments, the semiconductor device structure of pre-wetted portions manufacturing comprises the feature that at least one is recessed.This recessed feature has the metal level as the lining of this feature.This recessed feature also comprises the pre-wetting fluid of essentially no gas of filling feature, and this pre-wetting fluid comprises the aqueous metal salt of essentially no plating accelerator and leveling agent.
The various combination of pre-wetting fluid component and electroplating solution component can be used for being combined with the pre-wetting technique of electroplating technology, and is as described herein.Fig. 9 is a kind of schema of embodiment of the electroplating technology 1200 of copper electroplating layer on wafer substrates.The wafer substrates that will have at least a portion surface the metal level of exposure is provided to pre-moist curing chamber (1205).Wafer is contacted, to form pre-wetting fluid layer (1210) in wafer substrates with pre-wetting fluid.Then, pre-wetting wafer is contacted with electroplated metal layer on wafer substrates (1215) with the electroplating solution that comprises metal ion.
Apparatus structure described herein and method also are useful in other various liquid semiconductor techniques and environment except being used for the filling of plating/feature, and wherein the bubble within the feature of high aspect ratio or restrained gas may bring problem.
The all operations that comprises various wetting, in advance wetting, degassed, alignment, transfer and electroplating operations as described herein can be configured or be programmed in one or more be provided at described module and system or with the controller of described module and system communication in.The arbitrary combination of such operation or sequence (as described herein) itself can be used such controller programming or configuration.Grand, the application specific integrated circuit of firmware, software, shareware, machine readable media etc. can be used for realizing this controller instruction, and can be coupled to this controller.In addition, controller can comprise one or more memory devices and the one or more treater that is configured to carry out described instruction, so that this device can the described method of the disclosed embodiment of executive basis.
Connect resist and electroplate pre-wetting device and technique
Example
Above-mentioned apparatus structure and method also can be used for connecting resist electroplating technology (that is, being electroplated onto on the wafer substrates that comprises photo-resist).Connecting the resist plating is with the technique at wafer substrates formation metal column or line of resist as the electroplating mould panel material.For example, in connecting the resist electroplating technology, metal seed layer is formed on the surface of wafer substrates.Then apply inculating crystal layer with photo-resist, photo-resist is exposed to UV-light, and in developing process, (for example remove the part photo-resist, for the eurymeric resist, the part that is exposed under the light is removed, and for negative resist, the part that is not exposed under the light is removed), to form the feature in the photo-resist.In some embodiments, feature can have between about 2:1 to approximately between the 1:2, or the about depth-to-width ratio of 1:1.In some embodiments, the feature in the photo-resist has opening, this opening has between approximately between 5 microns to 200 microns, or approximately between 10 microns to 100 microns, or the about size between 20 microns to 50 microns.In some embodiments, in photo-resist, can form line or path (for example, being used to form redistribution layer).
Can carry out and to comprise removing dross (descum) technique that wafer substrates is exposed to oxygen plasma, remove the photo-resist of any remnants with the metal seed layer from feature.Then metal can be electroplated onto on the metal seed layer in the feature.In some embodiments, can plated metal to the thickness near resist thickness.For example, the metal thickness of plating may be a little higher than or slightly be lower than resist thickness.Behind the plated metal, peel off photo-resist from wafer substrates.
In some embodiments, electroplating processes can be carried out before pre-moist curing.In one embodiment, for wafer-class encapsulation, wafer substrates can contact with pre-wetting fluid, then can be at electroplating thickness on the metal seed layer between the about thin nickel dam between 2 microns to 4 microns.Can electroplate such as welding flux alloys such as SnAg, Sn or SnCu to thin nickel dam.Then remove the photo-resist that is retained on the wafer substrates.The lip-deep metal seed layer (that is the described metal seed layer that, is not electroplated onto) that is retained in wafer substrates also can be removed.Aforesaid pre-dampening unit and chamber (for example, with reference to Fig. 1-6) can be used for forming pre-wetting layer in wafer substrates, electroplate to connect resist.
Yet in some cases, the particle of photo-resist or residue can form and rest on the metal seed layer in the feature that is formed in the photo-resist.This may be from the surplus material of development step or the particle that produces during the removing dross step.Then particle/the residue of the photo-resist on the inculating crystal layer can by plating, form the defective in the metal of electroplating.The particle of photo-resist can be between the about size between 2 microns to 7 microns, or about 5 microns size.The size of the particle/residue of photo-resist can be the size of the feature in the photo-resist a part (for example, the particle size of photo-resist can be feature size about 10%).In the removing dross technological process, may form photo-resist particle (for example, the physical abuse by photo-resist in the removing dross technique causes), and may be attached to the sidewall of the feature in the photo-resist.Pre-wetting technique can be transferred to metal seed layer with the particle/residue of photo-resist.
In some embodiments, pre-wet chamber can comprise with certain pressure intensity carries pre-wetting fluid so that pre-wetting fluid has enough speed to remove the parts of the particle/residue of photo-resist from the place (that is, at photo-resist surface or this metal seed layer) of attaching particles.In some embodiments, pre-wetting fluid has and is parallel to wafer substrates and perpendicular to two speed components of wafer substrates.One or both in these components can provide enough power to overcome the photo-resist particle to be remained on power (for example, static and/or frictional force) on the wafer substrates.Except the photo-resist particle that gets loose, also pre-wetting fluid is transported to pre-wet chamber with certain flow rate, thereby with the photo-resist particle that the gets loose surface removal from wafer substrates.Can strengthen with the pre-wetting fluid that higher flow is arranged the removal effect of the particle/residue of photo-resist.Because wafer substrates generally includes a plurality of features in the photo-resist, therefore need to prevent under the particle condition restrained by falling into different features, remove the photo-resist particle from feature, and transport from wafer substrates.
Figure 10 a and 10b have described the embodiment for the pre-wet chamber that connects the resist plating.Figure 10 a shows the cross-sectional view of pre-wet chamber, and Figure 10 b shows the isometrical drawing of the internal portion of pre-wet chamber.Pre-wet chamber 1350 comprises chamber body 1352 and chamber cap 1354, forms vacuum-sealing when both contact with each other.Body 1352 supportings in chamber are configured to keep wafer substrates 1358 and are configured to rotate the wafer substrates retainer 1356 of wafer substrates 1358.
Chamber body 1352 also comprises vacuum ports 1360 and fluid intake 1362.Vacuum ports 1360 is coupled to vacuum pump and is configured to so that can be at the pre-subatmospheric pressure of wet chamber 1350 interior formation.Fluid intake 1362 is coupled to the degasser (not shown).Degasser is configured to remove one or more dissolved gasess from pre-wetting fluid, thereby produces degassed pre-wetting fluid.Fluid intake is configured to the speed at least about 16 metre per second (m/s)s (meter per second) degassed pre-wetting fluid is transported on the wafer substrates, with the photo-resist particle that gets loose from the wafer substrates surface.In addition, fluid intake is configured to the flow rate at least about 0.6 Liter Per Minute (liter/min clock) degassed pre-wetting fluid is transported on the wafer substrates, so that the photo-resist particle that gets loose is washed off and removed by wafer substrates from wafer substrates.
In the embodiment of describing, fluid intake 1362 comprises the nozzle 1364 on the sidewall that is installed to chamber body 1352.In some embodiments, nozzle 1364 is fan nozzles.Fan nozzle can be configured to degassed pre-wetting fluid is transported to wafer substrates so that degassed pre-wetting fluid clashes into wafer substrates with the shape of lines.Figure 10 a and 10b show the pre-wetting fluid 1366 of the free-pouring wire from nozzle 1364 of bump wafer substrates 1358.
Fan nozzle is a kind of fluid tip, and it makes flow be fan from nozzle to scatter.For example, in a specific embodiment, for nozzle 1364, can use to have between about 40 degree between 120 degree, or the about fan nozzle of jet angles of 95 degree.Jet angle is the angle by the fan-shaped fluid of fan nozzle generation.In some embodiments, be used for the fan nozzle that pre-wet chamber 1350 is used can have between 0.04 inch to 0.06 inch or about 0.05 inch hole dimension, between approximately between 0.6 liter/min of clock to 2.2 liter/min clock, or the about flow rate of 1.3 liter/mins of clocks, the pressure of nozzle is between the 80psi between about 30 pound per square inches (psi), or about 40psi, and be between approximately between 16 meter per second to 31 meter per seconds in the flow rate of wafer surface.
In the pre-wet operation of using pre-wet chamber 1350, the pressure in the pre-wet chamber can drop to subatmospheric pressure before wafer substrates forms wetting layer.For example, in some embodiments, when the pressure in the pre-wet chamber is lowered by less than about 50 torr, can begin to form wetting layer in wafer substrates.Before wetting layer forms and when forming wetting layer, wafer substrates can be rotated with the first speed of rotation.Then, under subatmospheric pressure, in pre-wet chamber, by with wafer substrates with also can contact by the degassed pre-wetting fluid of fluid intake from degasser, form wetting layer in wafer substrates.In some embodiments, wafer substrates can contact with degassed pre-wetting fluid approximately 10 seconds to 120 seconds.
After wafer substrates forms wetting layer, can stop the conveying of degassed pre-wetting fluid.After the conveying that stops degassed pre-wetting fluid, the pressure in the pre-wet chamber can increase to atmospheric pressure or be higher than atmospheric pressure.Then, wafer substrates can be with the rotation of the second speed of rotation, to remove the degassed pre-wetting fluid that carry secretly on unnecessary surface from wafer substrates.In some embodiments, above-described all processing operation also can be carried out in pre-wetting technique.In other some embodiments, some in the above-mentioned processing operation can be excluded outside pre-wetting technique.
During pre-wetting technique, the wafer substrates of rotating with the first speed of rotation can help to remove the photo-resist particle from wafer substrates.For example, the centripetal force that puts on the photo-resist particle can help the photo-resist particle delivery that will get loose to the edge of wafer substrates and leave wafer substrates.But the centripetal force that puts near near the photo-resist particle of (that is, the turning axle of the wafer substrates) center of wafer substrates may be not quite.Therefore, in some embodiments, pre-wetting fluid is transported to as the line at the center by the wafer substrates turning axle of wafer substrates (for example, near) and clashes into wafer substrates to help the removing photo-resist particle from the wafer substrates center.
For example, in the pre-wet operation process of using pre-wet chamber 1350, wafer substrates can be placed in the pre-wet chamber and the chamber is closed.In pre-wet chamber, can form approximately 50 torr to 100 torrs, or the about vacuum of 70 torrs.Wafer substrates can be with approximately 20rpm's to 800rpm or approximately the first speed of rotation rotation of 80rpm, simultaneously wafer substrates contacts approximately 5 seconds to 90 seconds with degassed pre-wetting fluid, or approximately 10 seconds, the flow rate of pre-wetting fluid is about 0.6 liter/min of clock to 2.2 liter/min clock, or about 1.3 liter/mins of clocks, be about 16 meter per second to 31 meter per seconds at the fluid rate of wafer surface.Then, wafer substrates can be with approximately 20rpm's to 800rpm or approximately the second speed of rotation rotation of 25rpm, simultaneously wafer substrates contacts approximately 1 second to 90 seconds with degassed pre-wetting fluid, or approximately 20 seconds, the flow rate of pre-wetting fluid is about 0.6 liter/min of clock to 2.2 liter/min clock, or about 1.3 liter/mins of clocks, and be about 16 meter per second to 31 meter per seconds at the fluid rate of wafer surface.In some embodiments, this second speed of rotation operation can not be included in the pre-wet operation.Then, pre-wet chamber can transfer to atmospheric pressure, afterwards, wafer substrates can with between 1rpm between the 250rpm or the approximately speed rotation of 180rpm.In some embodiments, after pre-wet chamber transferred to atmospheric pressure, wafer substrates can not rotated.
In some embodiments, can carry pre-wetting fluid to wafer substrates with pulse mode.For example, pre-wetting fluid can be opened and continue approximately 1 second to 9 seconds, or approximately 5 seconds, then close lasting 100 milliseconds to 900 milliseconds, or approximately 500 milliseconds.In some embodiments, this pulse of pre-wetting fluid can repeat approximately 5 to 15 times, or approximately 10 times.
In some embodiments, deionized water can be used for electroplating to connect resist in the pre-wetting technique.At some in other the embodiment, help to get loose and the chemical solution of removing the photo-resist particle can be used for electroplating to connect resist the pre-wetting technique from wafer substrates.
Figure 11 a and 11b have described the embodiment for the pre-wet chamber that connects the resist plating.Figure 11 a shows the cross-sectional view of pre-wet chamber, and Figure 11 b shows the top view of fluid intake manifold and wafer substrates.Pre-wet chamber 1400 comprises chamber body 1402 and chamber cap 1404, when both contact with each other, forms vacuum-sealing.Body 1402 supportings in chamber are configured to keep wafer substrates 1408 and are configured to rotate the wafer substrates retainer 1406 of wafer substrates 1408.Chamber body 1402 also comprises vacuum ports 1410.Vacuum ports is coupled to vacuum pump and is configured to allow subatmospheric pressure form in pre-wet chamber 1400.
Chamber cap 1404 comprises three fluid intakes 1412 that are connected to manifold 1416.Manifold 1416 can comprise one or more ports.As shown in the figure, manifold 1416 comprises port one 418, and port one 418 can be connected to the degasser (not shown) so that pre-wetting fluid can enter pre-wet chamber 1400.The port one 420 of manifold 1416 can be used for using rare gas element cleaning manifold 1416, to remove any pre-wetting fluid that may be retained in after the pre-wetting technique in the manifold 1416.
In some embodiments, each in the fluid intake 1412 is configured to the speed at least about 7 metre per second (m/s)s (meter per second) degassed pre-wetting fluid is transported on the wafer substrates, with the photo-resist particle from the wafer substrates surface removal.In addition, in some embodiments, fluid intake all is configured to the flow rate at least about 0.4 liter/min of clock degassed pre-wetting fluid is transported on the wafer substrates, so that the photo-resist particle that gets loose washes off from wafer substrates, and removes from wafer substrates.
In some embodiments, each in the fluid intake 1412 comprises nozzle 1414.In some embodiments, each nozzle 1414 is fan nozzles.Described fan nozzle can be configured to carry degassed pre-wetting fluid on wafer substrates so that degassed pre-wetting fluid clashes into wafer substrates with the shape of lines or rectangle.Figure 11 a shows the degassed pre-wetting fluid 1422 bump wafer substrates 1408 from nozzle 1414.Or shown in Figure 11 a, configuration nozzle 1414, so that degassed pre-wetting fluid nozzle is crossed over the radius distribution of wafer substrates basically, that is, and from the edge of wafer substrates to the about center of wafer substrates.In this configuration, degassed pre-wetting fluid is also crossed over the radius contact wafer substrate of substrate basically.Because wafer substrates rotation, wafer substrates is complete revolve turn around after, pre-wetting fluid can contact whole wafer substrates surface.
As mentioned above, fan nozzle is that a kind of flow that can allow is the fan-shaped bleed type that scatters from nozzle.In a concrete example, for the wafer substrates of pre-wetting 300 mm dias in pre-wet chamber 1400, pre-wet chamber can comprise three fan nozzles.In the fan nozzle each can have approximately 20 degree to 60 degree or the about jet angles of 40 degree.Each fan nozzle of using with pre-wet chamber 1400 can have between 0.02 inch to 0.05 inch, or about 0.035 inch hole dimension, between about 0.15 liter/min of clock to approximately between 1 liter/min of clock, or the about flow rate of 0.25 liter/min of clock, the pressure of nozzle is between approximately between the 30psi to 80psi, or about 40psi, and be between approximately between 7 meter per second to 31 meter per seconds at the fluid rate of wafer surface.Each has three nozzles of the about flow rate of 0.25 liter/min of clock can carry pre-wetting fluid with the about total flow rate of 0.75 liter/min of clock.In some embodiments, the fan-shaped pre-wetting fluid of carrying from nozzle is flat basically.
In the pre-wet operation of using pre-wet chamber 1400, wafer substrates can be placed in the pre-wet chamber and the chamber is closed.In pre-wet chamber, can form between approximately between 50 torr to 100 torrs or the about vacuum of 70 torrs.Wafer substrates can with between approximately between the 20rpm to 800rpm or approximately the first speed of rotation rotation of 80rpm, simultaneously, wafer substrates contacts approximately 5 seconds to 90 seconds with degassed pre-wetting fluid, or approximately 10 seconds, the total flow rate of pre-wetting fluid is about 0.45 liter/min of clock to 3 liter/min clock, or about 0.75 liter/min of clock, and be about 7 meter per second to 31 meter per seconds at the fluid rate of wafer surface.Then, wafer substrates can be with approximately 20rpm's to 800rpm or approximately the second speed of rotation rotation of 25rpm, simultaneously, wafer substrates contacts approximately 1 second to 90 seconds with degassed pre-wetting fluid, or approximately 20 seconds, the total flow rate of pre-wetting fluid is about 0.45 liter/min of clock to 3 liter/min clock, or about 0.75 liter/min of clock, and is about 7 meter per second to 31 meter per seconds at the fluid rate of wafer surface.In some embodiments, this second speed of rotation operation can not be included in the pre-wet operation.Then, pre-wet chamber can be transferred to atmospheric pressure, and afterwards, wafer substrates can be with 1rpm to 250rpm's or with the approximately speed rotation of 180rpm.In some embodiments, after pre-wet chamber transferred to atmospheric pressure, wafer substrates can not rotated.
In some embodiments, can carry pre-wetting fluid to wafer substrates with impulse form.For example, pre-wetting fluid can be opened and continue approximately 1 second to 9 seconds, or approximately 5 seconds, then close lasting 100 milliseconds to 900 milliseconds, or approximately 500 milliseconds.In some embodiments, this pulse of pre-wetting fluid can repeat approximately 5 to 15 times, or approximately 10 times.
Figure 12 has described a kind of embodiment for the pre-wet chamber that connects the resist plating.Pre-wet chamber 1500 can be similar to pre-wet chamber 1400, and different is that pre-wet chamber 1500 can comprise more fluid intake.Further, in pre-wet chamber 1500, degassed pre-wetting fluid can be crossed over the diameter bump wafer substrates of wafer substrates.
Pre-wet chamber 1500 comprises chamber body 1502 and chamber cap 1504, when both contact with each other, forms vacuum-sealing.Chamber body 1502 comprises and is configured to the wafer substrates retainer 1506 that keeps wafer substrates 1508 and be configured to rotate wafer substrates 1508.Chamber body 1502 also comprises vacuum ports 1510.Vacuum ports is coupled to vacuum pump and is configured to allow subatmospheric pressure form in pre-wet chamber 1500.
Chamber cap 1504 comprises five fluid intakes 1512 that are connected to manifold 1516.Manifold 1516 can comprise one or more ports.As shown in the figure, manifold 1516 comprises port one 518, and port one 518 can be connected to the degasser (not shown) so that pre-wetting fluid can enter pre-wet chamber 1500.The port one 520 of manifold 1516 can be used for using rare gas element cleaning manifold 1516, to remove any pre-wetting fluid that may be retained in after the pre-wetting technique in the manifold 1516.
In the fluid intake 1512 each is configured to be enough to the speed of photo-resist particle from the wafer substrates surface removal is transported to degassed pre-wetting fluid on the wafer substrates.Fluid intake all is configured to the photo-resist particle that gets loose to be washed off and from the flow rate that wafer substrates is removed degassed pre-wetting fluid is transported on the wafer substrates from wafer substrates.
As above-mentioned embodiment, each the comprised nozzle 1514 in the fluid intake 1512.Further, as mentioned above, each nozzle 1514 can be fan nozzle.Figure 12 shows the degassed pre-wetting fluid 1522 from nozzle 1514 of bump wafer substrates 1508.In pre-wet chamber 1500, configuration nozzle 1514 is so that degassed pre-wetting fluid is crossed over the diameter contact wafer substrate of wafer substrates basically, namely, an edge from wafer substrates roughly passes the center of wafer substrates, arrives another edge of wafer substrates.When wafer substrates was rotated, after half-turn was finished in the wafer substrates rotation, pre-wetting fluid can contact whole wafer substrates surface.
In some embodiments, compare with the pre-wet chamber 1400 shown in Figure 11 a, the pre-wet chamber 1500 shown in Figure 12 may be removed the photo-resist particle from wafer substrates better.For example, in the feature of photo-resist particle in wafer substrates, (for example become flexible, overcome the power that keeps the photo-resist particle) but when not removing from this feature, degassed pre-wetting fluid can be removed this photo-resist particle from feature before, can need the complete circle of wafer substrates rotation in the pre-wet chamber 1400.In the situation that pre-wet chamber 1500 degassed pre-wetting fluid can be removed this photo-resist particle from feature before, can need the complete half-turn of wafer substrates rotation.
In the pre-wet chamber 1400 that illustrates respectively in Figure 11 a and Figure 12 and some embodiments of 1500, it is static that chamber cap can keep, and can by move in substantially vertical mode the chamber body carry out to pre-wet chamber load/from pre-wet chamber unloading wafer substrate.When wafer substrates was loaded into pre-wet chamber, the drop that keeps static chamber cap can help to prevent pre-wetting fluid was fallen on the wafer substrates.When wafer substrates is not in vacuum lower time, the drop of the pre-wetting fluid of contact wafer substrate can constrain in air bubble between the drop of wafer substrates and pre-wetting fluid.The port of the manifold of pre-wetting chamber cap can be used for using rare gas element cleaning manifold, to remove any pre-wetting fluid that manifold may keep before wafer substrates being loaded in the pre-wet chamber.This drop that also can help to prevent pre-wetting fluid is fallen on the wafer substrates.
Than the pre-wet chamber 1350 shown in Figure 10 a, speed and the power of the pre-wetting fluid that contacts with wafer substrates in Figure 11 a and the pre-wet chamber 1400 and 1500 that Figure 12 is illustrated respectively generally have larger vertical component and parallel component (from the wafer surface angle) ratio.For example, the pre-wetting fluid in the pre-wet chamber 1400 and 1500 is about 90 degree with respect to the fluid impact angle on the plane of substrate surface, scope be approximately 60 degree to 90 degree.Be about 6 degree at the incident angle of the pre-wetting fluid of pre-wet chamber 1350, scope be approximately 3 degree to 10 degree.Although can get loose and remove the photo-resist particle from wafer substrates perpendicular and parallel to the speed of the pre-wetting fluid on wafer substrates surface, be considered to the photo-resist particle that to get loose better perpendicular to the large speed on wafer substrates surface.Therefore, in pre-wet chamber 1400 and 1500, have mainly perpendicular to the pre-wetting fluid speed of the speed component of wafer substrates can with pre-wet chamber 1350 in have perpendicular to the speed component of wafer substrates and to be parallel to the pre-wetting fluid speed of speed component of wafer substrates different large.
Although pre-wet chamber 1400 is shown as comprising three fluid intakes and three nozzles, and pre-wet chamber 1500 is shown as comprising five fluid intakes and five nozzles, but pre-wet chamber can comprise one to eight (even more in some embodiments) fluid intake and relevant nozzle.Further, use the pre-wetting technique of pre-wet chamber 1400 or pre-wet chamber 1500 can be similar to the pre-wetting technique of the pre-wet chamber 1350 of aforesaid use.Further, although described fan nozzle in the pre-wet chamber 1350,1400 and 1500 embodiment, also can use the nozzle of the flow that produces conical flow of fluid or other shapes.
In some embodiments, carry out pre-wetting technique with before connecting the resist plating in wafer substrates, wafer substrates can be passed the ion generator bar of ionization system to help removing the photo-resist particle from wafer substrates.The specific conductivity that increases air by the gas molecule with ionization is moved such ionization system.When the air of ionization contacted charged surperficial, the opposite polarity ion of this attracted by surfaces can be constrained on the wafer substrates by electrostatic attraction such as the photo-resist particle.Consequently, static is neutralized, and in pre-wet processes, the photo-resist particle can more easily be removed from wafer substrates.
In some embodiments, being used on the wafer substrates connects the pre-wetting technique of resist plating and can use pre-wet chamber to carry out, and need not flow velocity or the flow rate of the pre-wetting fluid of any appointment.In these embodiments, adopt the extra mechanism particle that gets loose to conform with expectation.For example, pre-wet chamber can comprise million acoustic transducers, and this million acoustic transducer can rotate in the wafer substrates surface, and starts after the pre-wetting technique in pre-wet chamber.Million acoustic transducers can be from the wafer substrates photo-resist particle that gets loose.Alternatively, million acoustic transducers can be packed in any pre-wet chamber disclosed herein, to help removing particle from wafer substrates.In some embodiments, use the pre-wetting technique of million acoustic transducers can use degassed deionized water under vacuum, to carry out.
As mentioned above, perforation resist as herein described is electroplated pre-dampening unit and can be comprised be used to the hardware of realizing above-mentioned technological operation, and can comprise the central controller (not shown), this central controller has for the instruction of control according to the described technological operation of disclosed embodiment.This central controller can comprise one or more memory devices and be configured to carry out one or more treaters of instruction, so that this device can the described method of the disclosed embodiment of executive basis.Such instruction can comprise: for example, and the pre-wet chamber of finding time; With one or more speed rotation wafers; During time length of appointment and the speed of rotation in the appointment of wafer substrates, make degassed pre-wetting fluid flow through manifold and arrive indoor; Stop to flow of degassed fluid; Stop the rotation of wafer substrates or the rotation of wafer substrates is slowed down; Pressurize to the chamber; And remove wafer substrates.Any combination of aforesaid operations can be by suitable instruction repertorie.Comprise for control and can be coupled to central controller according to the machine readable media of the instruction of the described technological operation of disclosed embodiment.
Figure 13 is the schema for a kind of embodiment of the pre-wetting technique (1600) that connects the resist plating.To in resist layer, there be the wafer substrates of the recessed feature such as opening to provide to pre-moist curing chamber (1605), such as chamber 1350,1400 or 1500.Electroplate in the situation that connect resist, the bottom of recessed feature comprises metal, will plate other metal after pre-wetting on this metal.In treatment chamber, wafer substrates is loaded on the wafer supports or chuck such as feature 1356,1406 or 1506.Wafer substrates is properly positioned after being used for pre-wetting treatment chamber, with that the pressure in the treatment chamber is reduced to subatmospheric pressure (1610).Individually to pre-wetting fluid degassed (1615).Consistent with more above-described embodiments, fluid can be degassed in independent degassed parts.Degassed can carrying out simultaneously with other operations shown in Figure 13.When wafer substrates is properly installed in the treatment chamber, rotate this wafer substrates (1620).In some embodiments, this be rotated in reduce constant pressure strong (1610) and/or convection cell degassed (1615) before or during beginning.In wafer substrates rotation, after the pressure in the chamber is reduced to required level, under subatmospheric pressure, wafer substrates is contacted with degassed pre-wetting fluid.This causes forming on the wafer substrates surface wetting layer (1625).In some embodiments, degassed pre-wetting fluid is with the speed of any particle on the metal level that is exposed that is enough to get loose and to be enough to remove from wafer substrates the flow rate contact wafer substrate of the particle that is released.In various embodiments, the volumetric flow rate that the linear rate of fluid contact wafer substrates is at least about 5 meter per seconds and the fluid on wafer substrates is at least about 0.3 liter/min of clock.In some embodiments, pre-wetting fluid can be to help to get loose and remove deionized water or the chemical solution of the particle on the wafer substrates.In pre-dampening unit structure described herein, can carry out so pre-wetting technique.Further, pre-dampening unit described herein and technique can be used for removing from wafer substrates particle or the chip of any type.
Apparatus/method mentioned above can with for example be used for semiconducter device, indicating meter, photodiode, electro-optical package and the preparation of analogue or lithographic patterning instrument or the technique of manufacturing and be combined with.Generally speaking, but be not inevitable, such instrument/technique will be used in common manufacturing facility or carry out.The lithographic patterning of film generally includes some or all in the following steps, and each step is all enabled some possible instruments: (1) uses spin coating or Spray painting tool that photo-resist is applied on the workpiece (that is, substrate); (2) use hot plate or stove or UV tools of solidifying to solidify photo-resist; (3) with wafer step-by-step exposure machine (stepper) and so on instrument photo-resist is exposed to visible light, ultraviolet ray or X-ray light; (4) with resist development, in order to use wet method operator's console and so on instrument optionally to remove resist, thereby make its patterning; (5) by use dry etch tool or plasmaassisted etch tool with the resist pattern transfer in the film that underlies or workpiece; And use such as RF or microwave plasma resist stripper and so on instrument removal resist (6).
Although for the purpose that is expressly understood, some details have been described in above-mentioned apparatus structure and the method, apparent, can implement some variation and modification within the scope of the appended claims.It should be noted that many alternative methods realize technique and formation described in the invention.Therefore, these embodiments should be regarded as illustrative, rather than restrictive, and these embodiments are not limited to the given details of this paper.

Claims (22)

1. device, it comprises:
Degasser, it is configured to remove one or more dissolved gasess to produce degassed pre-wetting fluid from pre-wetting fluid;
Treatment chamber, it comprises:
Wafer holder, it is configured to keep wafer substrates and is configured to rotate described wafer substrates,
Vacuum ports, it is configured such that and can forms subatmospheric pressure in described treatment chamber, and
Fluid intake, it is connected to described degasser, and is configured to the speed at least about 7 metre per second (m/s)s described degassed pre-wetting fluid is transported on the described wafer substrates; And
Controller, it comprises the programmed instruction for following operation:
Rotate described wafer substrates with the first speed of rotation, and
When rotating described wafer substrates with described the first speed of rotation, by make described wafer substrates with from described degasser and can be to contact at least about the flow rate of the 0.4 Liter Per Minute described degassed pre-wetting fluid by described fluid intake, thereby form wetting layer at described subatmospheric pressure in described wafer substrates in described treatment chamber, described degassed pre-wetting fluid is in liquid state.
2. device according to claim 1, wherein said fluid intake comprise and are configured to carry the nozzle of described degassed pre-wetting fluid on the described wafer substrates, and wherein said nozzle is installed in the sidewall of described treatment chamber.
3. device according to claim 2, wherein said nozzle be configured to carry described degassed pre-wetting fluid on described wafer substrates so that clash into the fan nozzle that the described degassed pre-wetting fluid of described wafer substrates has the shape of lines.
4. device according to claim 1, wherein said fluid intake comprises manifold, described manifold comprises and is configured to carry described degassed pre-wetting fluid at least one nozzle on the described wafer substrates, and wherein said nozzle is positioned at the top of described wafer substrates.
5. device according to claim 4, wherein said at least one nozzle comprise be configured to carry described degassed pre-wetting fluid on described wafer substrates so that clash into the fan nozzle that the described degassed pre-wetting fluid of described wafer substrates has the shape of lines.
6. device according to claim 4, wherein said treatment chamber comprises lid and body, it is static that wherein said lid keeps, and described body is configured to move in substantially vertical mode, thereby described body brought to described lid contact, and formation vacuum-sealing, and wherein said nozzle is connected to described lid.
7. the central authorities that device according to claim 4, wherein said nozzle are configured to be substantially to from the edge of described wafer substrates described wafer substrates are transported to described degassed pre-wetting fluid on the described wafer substrates.
8. device according to claim 1, wherein said wafer holder is configured to keep described wafer substrates with substantially supine direction.
9. device according to claim 1, wherein said degasser comprises diaphragm flash liberation device.
10. device according to claim 1, wherein said degasser are configured to produce the degassed pre-wetting fluid be used to the atmospheric gas with about 0.5ppm or following dissolving that contacts described wafer substrates.
11. device according to claim 1, wherein said pre-wetting fluid are deionized waters and be used for help chemical solution at least a of getting loose and remove particle from described wafer substrates.
12. device according to claim 1, wherein said vacuum ports are positioned at described wafer holder below.
13. device according to claim 1, wherein said treatment chamber are configured to keep described subatmospheric pressure to be lower than approximately 50 torrs in the process of the described wetting layer of described wafer substrates formation.
14. device according to claim 1, wherein said programmed instruction further comprises the instruction for following operation:
After described wafer substrates forms described wetting layer, stop the conveying of described degassed pre-wetting fluid, and
After the described conveying that stops described degassed pre-wetting fluid, rotate described wafer substrates with the second speed of rotation, to remove the degassed pre-wetting fluid that carry secretly on unnecessary surface from described wafer substrates.
15. device according to claim 14, wherein said programmed instruction further comprises the instruction for following operation:
After the described conveying that stops described degassed pre-wetting fluid, and before removing the degassed pre-wetting fluid of carrying secretly on described unnecessary surface, the pressure in the described treatment chamber increased to atmospheric pressure or to more than the atmospheric pressure.
16. device according to claim 1, wherein said programmed instruction further comprises the instruction for following operation:
Before described wafer substrates forms described wetting layer, the pressure in the described treatment chamber is reduced to described subatmospheric pressure.
17. device according to claim 1, wherein said programmed instruction further comprises the instruction for following operation:
When the pressure in described treatment chamber is reduced to less than approximately 50 torr, is enabled in and forms described wetting layer on the described wafer substrates.
18. device according to claim 1, wherein said programmed instruction further comprises the instruction for following operation:
Make described wafer substrates contact approximately 10 seconds to 120 seconds with described degassed pre-wetting fluid.
19. a method, it comprises:
(a) provide wafer substrates to treatment chamber, described wafer substrates has the metal level of exposure in its surperficial at least a portion;
(b) pressure in the described treatment chamber is reduced to subatmospheric pressure;
(c) degassed to pre-wetting fluid;
(d) rotate described wafer substrates; And
(e) the described wafer substrates that makes rotation with contact at described subatmospheric pressure in described treatment chamber through degassed described pre-wetting fluid, to form wetting layer in described wafer substrates, through degassed described pre-wetting fluid to contact described wafer substrates at least about the speed of 7 metre per second (m/s)s and with the flow rate at least about 0.4 Liter Per Minute.
20. method according to claim 19, it further comprises:
Apply photo-resist to described wafer substrates;
Described photo-resist is exposed to light;
The described photo-resist of patterning and with design transfer to described wafer substrates; And
From described workpiece, optionally remove described photo-resist.
21. a non-transient state computer machine computer-readable recording medium that comprises for the programmed instruction of device control, described programmed instruction comprises the code for following operation:
(a) provide wafer substrates to treatment chamber, described wafer substrates has the metal level of exposure in its surperficial at least a portion;
(b) pressure in the described treatment chamber is reduced to subatmospheric pressure;
(c) degassed to pre-wetting fluid;
(d) rotate described wafer substrates; And
(e) the described wafer substrates that makes rotation with contact at described subatmospheric pressure in described treatment chamber through degassed described pre-wetting fluid, to form wetting layer in described wafer substrates, through degassed described pre-wetting fluid to contact described wafer substrates at least about the speed of 7 metre per second (m/s)s and with the flow rate at least about 0.4 Liter Per Minute.
22. a system, it comprises device according to claim 1 and step-by-step exposure machine.
CN201310080545.3A 2012-03-13 2013-03-13 For soaking method and apparatus of the pretreatment to carry out insertion resist metal plating Active CN103305886B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261610316P 2012-03-13 2012-03-13
US61/610,316 2012-03-13
US13/775,987 US9455139B2 (en) 2009-06-17 2013-02-25 Methods and apparatus for wetting pretreatment for through resist metal plating
US13/775,987 2013-02-25

Publications (2)

Publication Number Publication Date
CN103305886A true CN103305886A (en) 2013-09-18
CN103305886B CN103305886B (en) 2017-06-30

Family

ID=49131592

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310080545.3A Active CN103305886B (en) 2012-03-13 2013-03-13 For soaking method and apparatus of the pretreatment to carry out insertion resist metal plating

Country Status (3)

Country Link
KR (1) KR102113883B1 (en)
CN (1) CN103305886B (en)
TW (1) TWI591213B (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104651893A (en) * 2013-11-20 2015-05-27 朗姆研究公司 Alkaline pretreatment for electroplating
CN104671195A (en) * 2013-11-28 2015-06-03 鑫创科技股份有限公司 Method to release diaphragm in mems device
CN105529282A (en) * 2014-09-29 2016-04-27 盛美半导体设备(上海)有限公司 Semiconductor pre-wetting device and semiconductor pre-wetting method
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US9828688B2 (en) 2009-06-17 2017-11-28 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9852913B2 (en) 2009-06-17 2017-12-26 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
CN108570701A (en) * 2018-07-03 2018-09-25 华进半导体封装先导技术研发中心有限公司 A kind of plating damping device
CN110079843A (en) * 2018-01-25 2019-08-02 胜思科技有限公司 Methods, devices and systems for the recess in plated substrate
CN110121761A (en) * 2016-12-27 2019-08-13 应用材料公司 System and method for soaking substrate
CN114026272A (en) * 2020-03-25 2022-02-08 胜思科技有限公司 Method for the chemical and/or electrolytic surface treatment of substrates in a processing station
CN114164470A (en) * 2020-09-11 2022-03-11 铠侠股份有限公司 Method for manufacturing semiconductor device and substrate processing apparatus
CN115261944A (en) * 2022-09-27 2022-11-01 晟盈半导体设备(江苏)有限公司 Scanning type pre-wetting system and pre-wetting method for wafer

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101895912B1 (en) 2015-09-25 2018-09-07 삼성에스디아이 주식회사 Method for manufacturing silica layer, silica layer, and electronic device
US11585005B2 (en) * 2021-01-13 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for wafer pre-wetting

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE467976B (en) * 1991-02-20 1992-10-12 Dcm Innovation Ab DEVICE FOR ELECTRICAL PLATING, IN THE MANUFACTURE OF MATRISTS FOR THE MANUFACTURE OF EX EX CDS AND PROCEDURES FOR THE MANUFACTURE OF MATRICES BY THE DEVICE
EP0901153B1 (en) * 1997-09-02 2009-07-15 Ebara Corporation Method and apparatus for plating a substrate
US6582578B1 (en) * 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
WO2002062446A1 (en) * 2001-02-07 2002-08-15 Mykrolis Corporation Process for degassing an aqueous plating solution
US6753250B1 (en) * 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
JP2006004955A (en) * 2003-05-30 2006-01-05 Ebara Corp Substrate processing apparatus and substrate processing method

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10301738B2 (en) 2009-06-17 2019-05-28 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US10840101B2 (en) 2009-06-17 2020-11-17 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9852913B2 (en) 2009-06-17 2017-12-26 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9828688B2 (en) 2009-06-17 2017-11-28 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US10128102B2 (en) 2013-02-20 2018-11-13 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
CN104651893A (en) * 2013-11-20 2015-05-27 朗姆研究公司 Alkaline pretreatment for electroplating
CN104651893B (en) * 2013-11-20 2017-09-01 朗姆研究公司 Oxygenation pretreatment for plating
CN104671195A (en) * 2013-11-28 2015-06-03 鑫创科技股份有限公司 Method to release diaphragm in mems device
CN104671195B (en) * 2013-11-28 2017-04-12 鑫创科技股份有限公司 Method to release diaphragm in mems device
CN105529282A (en) * 2014-09-29 2016-04-27 盛美半导体设备(上海)有限公司 Semiconductor pre-wetting device and semiconductor pre-wetting method
CN105529282B (en) * 2014-09-29 2020-03-31 盛美半导体设备(上海)股份有限公司 Semiconductor pre-wetting device and method
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
CN110121761A (en) * 2016-12-27 2019-08-13 应用材料公司 System and method for soaking substrate
CN110079843B (en) * 2018-01-25 2023-12-15 胜思科技有限公司 Methods, apparatus and systems for plating recesses in a substrate
CN110079843A (en) * 2018-01-25 2019-08-02 胜思科技有限公司 Methods, devices and systems for the recess in plated substrate
US11908698B2 (en) 2018-01-25 2024-02-20 Semsysco Gmbh Method and device for plating a recess in a substrate
CN108570701A (en) * 2018-07-03 2018-09-25 华进半导体封装先导技术研发中心有限公司 A kind of plating damping device
CN108570701B (en) * 2018-07-03 2024-02-23 华进半导体封装先导技术研发中心有限公司 Electroplating wetting device
CN114026272A (en) * 2020-03-25 2022-02-08 胜思科技有限公司 Method for the chemical and/or electrolytic surface treatment of substrates in a processing station
CN114164470A (en) * 2020-09-11 2022-03-11 铠侠股份有限公司 Method for manufacturing semiconductor device and substrate processing apparatus
CN115261944A (en) * 2022-09-27 2022-11-01 晟盈半导体设备(江苏)有限公司 Scanning type pre-wetting system and pre-wetting method for wafer
CN115261944B (en) * 2022-09-27 2023-02-21 晟盈半导体设备(江苏)有限公司 Scanning type pre-wetting system and pre-wetting method for wafer

Also Published As

Publication number Publication date
KR20130105465A (en) 2013-09-25
TWI591213B (en) 2017-07-11
CN103305886B (en) 2017-06-30
KR102113883B1 (en) 2020-05-22
TW201404945A (en) 2014-02-01

Similar Documents

Publication Publication Date Title
CN103305886A (en) Methods and apparatus for wetting pretreatment for through resist metal plating
US10301738B2 (en) Methods and apparatus for wetting pretreatment for through resist metal plating
US10128102B2 (en) Methods and apparatus for wetting pretreatment for through resist metal plating
TWI498451B (en) Plating apparatus
US9899230B2 (en) Apparatus for advanced packaging applications
JP6857531B2 (en) Plating method and plating equipment
US8962085B2 (en) Wetting pretreatment for enhanced damascene metal filling
US20090045068A1 (en) Apparatus and method for plating a substrate
JP6397620B2 (en) Electroplating method and apparatus
US20170200624A1 (en) Substrate processing apparatus and method of cleaning substrate processing apparatus
JP2005097732A (en) Plating apparatus
JP5232844B2 (en) Plating equipment
JP2006117966A (en) Plating apparatus and plating method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant