CN103400627A - 具有冷却剂气体孔洞的紫外线反射器及方法 - Google Patents

具有冷却剂气体孔洞的紫外线反射器及方法 Download PDF

Info

Publication number
CN103400627A
CN103400627A CN2013103861559A CN201310386155A CN103400627A CN 103400627 A CN103400627 A CN 103400627A CN 2013103861559 A CN2013103861559 A CN 2013103861559A CN 201310386155 A CN201310386155 A CN 201310386155A CN 103400627 A CN103400627 A CN 103400627A
Authority
CN
China
Prior art keywords
reverberator
lamp
central authorities
reflection surface
vertical band
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2013103861559A
Other languages
English (en)
Inventor
Y-H·杨
T·A·恩古耶
S·巴录佳
A·卡祖巴
J·C·罗查
T·诺瓦克
D·W·何
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103400627A publication Critical patent/CN103400627A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/064Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements having a curved surface
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/065Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements provided with cooling means
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/067Construction details

Abstract

一种用于紫外线灯的反射器,该紫外线灯可在基材处理设备中使用。该反射器包含在该紫外线灯长度上延伸的纵向带。该纵向带具有一弯曲反射表面并且包含数个通孔以将冷却剂气体导向该紫外线灯。在此亦描述使用具有反射器的紫外线灯模块的腔室以及紫外线处理的方法。

Description

具有冷却剂气体孔洞的紫外线反射器及方法
本申请是在2011年4月20日提交的申请号为2009801423975且名称为“具有冷却剂气体孔洞的紫外线反射器及方法”的专利申请的分案申请。
技术领域
本发明的设备与方法的实施例一般涉及基材的紫外线处理。
背景技术
在制造集成电路、显示器以及太阳能电池板时,多层介电、半导体、与导体材料形成于诸如半导体晶片、玻璃板、金属板的基材上。这些层随后被处理以形成诸如电互连、介电层、栅极与电极等特征结构。在其它工艺中,可使用紫外线辐射处理形成于基材上的多个层或特征结构。举例而言,可在快速热处理(RTP)中利用紫外线辐射来快速加热形成于基材上的一层。也可使用紫外线辐射来促进聚合层的凝聚与聚合。也可使用紫外线辐射以产生受压的薄膜层。亦可使用紫外线辐射活化气体以清洁腔室。
在一应用中,使用紫外线(UV)辐射来处理氧化硅、碳化硅或碳掺杂的氧化硅的薄膜。举例而言,共同受让的美国专利No.6,566,278以及6,614,181(两案皆全部并入作为参考)描述使用紫外光来处理碳氧化硅薄膜。诸如氧化硅(SiOx)、碳化硅(SiC)、以及碳氧化硅(SiOCx)薄膜之类的材料在制造半导体组件时被用作为介电层。化学气相沉积(CVD)方法经常用来沉积这些薄膜,并且涉及促进CVD腔室中硅供给源以及氧供给源之间的基于热或等离子的反应。在一部分这些工艺中会形成水,作为CVD或其它反应的副产物。举例而言,水会在使用包括至少一个硅-碳键结的有机硅烷源的CVD工艺中,在碳氧化硅或碳化硅膜沉积时形成。工艺产生的水会实体上被吸收进薄膜成为湿气,或并入沉积薄膜中成为硅-羟基键结,这二者皆为不合需要的。
可使用紫外线辐射处理这些薄膜以固化及致密化沉积的CVD薄膜。有利地,紫外线处理减少单一晶片的总热预算并且加速制造工艺。已开发了许多紫外线固化腔室,这些紫外线固化腔室可用来有效地固化沉积于基材38上的薄膜,诸如于2005年5月9日提出申请的美国专利申请案11/124,908号及公开为专利申请案US 2006/0251827号(发明名称为「用于固化介电材料串接式UV腔室」)所述文件转让给美国加州圣克拉拉应用材料公司并且全文并入作为参考。在此类紫外线工艺中,期望能增加紫外线辐射的强度以提供更快的固化时间以及更短的工艺周期。但是,通过使用高功率源或其它手段增加紫外线辐射,也会增加腔室内所产生的热。此过量的热会对基材上所处理的特征结构有负面影响,并且也会缩短紫外线源本身的寿命。
由于这些及其它缺点之故,尽管已开发了多种UV固化腔室及技术,但仍然在持续寻求紫外线处理技术上更进一步的改善。
发明内容
在基材处理设备中可使用紫外线灯的反射器。该反射器包含在紫外线灯长度上延伸的纵向带。该纵向带具有弯曲反射表面,并且包含数个通孔以将冷却剂气体导向紫外线灯。
基材处理腔室包含基材支撑件以及紫外线灯模块,该模块包含:(i)与基材支撑件分隔开的狭长的紫外线灯,该紫外线灯被配置成向基材支撑件发射紫外光;以及(ii)
主要反射器,包含:(1)中央反射器,该中央反射器包含在紫外线灯长度上延伸的纵向带,该纵向带具有弯曲反射表面并且包含数个通孔以将冷却剂气体导向紫外线灯;以及(2)放置在中央反射器的两侧的第一及第二侧反射器。
一种用紫外线辐射处理基材的方法,包含将基材设置在处理区域内。激励紫外线灯以产生紫外线辐射。设置弯曲反射表面以向基材反射所产生的紫外线辐射。导引数个冷却剂气流穿过弯曲反射表面中的多个孔洞以冷却紫外线灯。
一种紫外线灯反射器组件,包含:中央反射器,该中央反射器包含纵向带,该纵向带具有弯曲反射表面以及多个通孔;以及第一及第二侧反射器,位在该中央反射器的各侧上。次要反射器位在该中央反射器下方,该次要反射器包含上层及下层区段,每一区段包括(i)相对的纵向表面,以及(ii)在所述纵向表面的端部之间延伸的相对横切表面。
一种紫外线灯反射器,包含沿紫外线灯的长度延伸的纵向带,该纵向带具有弯曲反射表面以及多个通孔,以将冷却气体朝紫外线灯导引,所述通孔包含呈角度或倾斜的边缘。
一种用紫外线辐射处理基材的方法,包含:在处理区域内提供基材;激励紫外线灯以产生紫外线辐射;定位具有弯曲反射表面以及多个孔洞二者的纵向带以朝基材反射所产生的紫外线辐射,所述孔洞具有呈角度或倾斜的边缘;以及导引数个冷却剂气流进入具有呈角度或倾斜的边缘的所述孔洞,以使该冷却剂气体朝该紫外线灯注入。
附图说明
参考随后的描述、附加的权利要求以及绘示本发明实施例的附图,可更容易地了解本发明的这些特征结构、方面以及优点。但是,亦应了解到,每一特征结构通常均可在本发明中使用,不仅只用于特定附图的上下文,而本发明包括任何这些特征结构的结合,在附图中:
图1是紫外线(UV)灯模块的实施例的示意性透视图,该模块包含UV灯以及放置在石英窗以及基材之上的主要反射器;
图2是UV灯模块以及包含主要及次要反射器的反射器组件的顶透视图;
图3A是在反射器的弯曲表面上仰观的中央反射器的透视图,示出一部分的反射器支架,以及穿过中央反射器的孔洞越过UV灯上面的冷却剂气流;
图3B是沿图3A中的视线3B所取得的中央反射器的侧视图,示出穿过孔洞以及环绕UV灯周围的气流;
图4A以及图4B是冷却剂气体分别在常规系统(图4A)中以及穿过中央反射器的孔洞并环绕UV灯周围(图4B)的计算机建模示意图;
图5是根据本发明的实施例的串接腔室的示意性横截面图;
图6是根据本发明的实施例的基材处理设备的示意性顶平面图。
具体实施方式
图1显示紫外线(UV)灯模块20的实施例,该模块能产生紫外线辐射以处理诸如半导体晶片、显示器以及太阳能面板的基材38。UV灯模块20包含发射紫外线辐射的UV灯22。UV灯22可包括任何诸如汞微波弧灯、脉冲氙气闪光灯或高效UV灯发射二极管阵列等UV源。在一个方案中,UV灯22是用诸如氙气(Xe)或汞(Hg)的气体填充的密封等离子灯泡,且该灯泡由外部功率源23(诸如微波发生器)激发,该外部功率源包括磁电管以及激励磁电管灯丝的变压器。在另一实施例中,UV灯22可包括由功率源23(示意性图示)供电的灯丝,该功率源可将直流电供给给灯丝。UV灯22也可由包含射频(RF)能量源的功率源23供电,该射频能量源能在UV灯22内激发气体。为说明起见,UV灯22显示为狭长的圆柱状灯泡;但是,亦可使用具有其它形状的UV灯22,诸如球状灯或灯阵列,如本领域一般技术人员显而易见的。举例而言,合适的UV灯22可从美国俄亥俄州韦斯特莱克的Nordson Corporation或美国麦迪逊州史蒂文森的Miltec UV公司购得。在一方案中,UV灯22包括购自Miltec UV公司的单个狭长的UV H+灯泡。UV灯22可包括两个或更多个单独的长灯泡。
灯模块20包括反射器组件24,该反射器组件24包括部分地环绕紫外线灯模块20的UV灯22的主要反射器26。主要反射器26包含中央反射器28,中央反射器28在UV灯22后方放置于中央,并且与UV灯22呈分隔开的关系。中央反射器28包含纵向带30,该纵向带30如图3A以及3B所示,在紫外线灯的长度上延伸。该纵向带30具有弯曲反射表面32,该弯曲反射表面32是一内表面并且面向UV灯22的背面以朝基材38反射UV灯22发射的方向向后的紫外线辐射的光线。纵向带30的弯曲反射表面32可为圆形、椭圆形或抛物线型的弧形表面。在一方案中,弯曲反射表面32包含圆形表面,圆形表面的曲率半径至少为约2公分并少于约5公分,或甚至为从约3至约4公分。纵向带30也具有背表面34,该背表面34是平坦的,或者可弯曲以与弯曲反射表面32的曲率匹配。
纵向带30由容许红外线辐射及微波传输并反射紫外线辐射的材料制成。在一方案中,纵向带30包含石英。举例而言,石英长预制件可被机械加工以形成纵向带30。可使用诸如CNC的常规机械加工技术以机械加工一铸型石英预制件来获得包括该带30的前方上的弯曲反射表面32的纵向带的期望形状。之后,通过使用常规抛光方法抛光该面向内部的表面而形成弯曲反射表面32,以使该弯曲反射表面32的至少95%无皱纹、亦无裂隙。
可任选地,亦可将二向色性涂层36施加至纵向带30的弯曲反射表面32以向基材38反射更高百分比的方向向后的紫外光线。该二向色性涂层36是薄膜式滤层,该薄膜式滤层使具有小范围波长的光选择性地通过、同时反射其它波长。在一实施例中,二向色性涂层36包含由不同介电材料组成的多层薄膜。举例而言,不同介电材料可包括具有交替高低折射率的多个层,并且多个层被排列与选择成使二向色性涂层36不会反射UV灯22所发射的全部伤害性发热红外辐射,而是会容许该辐射的某部分传递到纵向带中。该带30的石英材料传输红外线光而二向色性涂层36反射UV灯22所发射的紫外线光。因为二向色性涂层是非金属,所以从功率源(未图示)施加至UV灯22、并向下入射至石英背侧的微波辐射不会显著地与经调制层反应或被经调制层吸收,并且易于传输以在UV灯22中离子化气体。
一般而言,不向纵向带30的背表面34施加涂层。但是次要反射涂层(未图示)也可被施加至背表面34以反射回任何通过在纵向带30前方的弯曲反射表面32传递的紫外线辐射,或者更快速地驱散因暴露于紫外线辐射而累积在纵向带30中的热量。
在纵向带30中设置数个通孔40。通孔40从背表面34延伸至弯曲反射表面32以容许冷却剂气体42从外部冷却剂气体源向UV灯22注入。通孔40包括具有第一直径的第一孔洞44,该第一直径大于第二孔洞46的第二直径。第一孔洞44及第二孔洞46沿着纵向带30的中央轴线48对齐。举例而言,第一孔洞44具有从约0.2毫米至约4毫米的直径,而第二孔洞46可具有从约6毫米至约12毫米的直径。作为另一示例,第一孔洞44的总数量的范围为从约10个至约50个;而第二孔洞46的总数量的范围为从约2个至约6个。此外,孔洞44、46的边缘可呈一角度或倾斜,以引发该冷却剂气体42朝紫外线灯22注入。适合的角度范围可为从约10度至约45度,诸如25度。
在一实施例中,中央反射器28的纵向带30的每一端部50a及50b包含端部凸耳52a及52b。反射器支架54包含端部支架56a及56b,端部支架56a及56b各自具有截去部位(cutout)58a及58b,这些截去部位被定形为使中央反射器28的每一端部凸耳52a及52b能装入端部支架56a及56b的截去部位58a及58b,以支承位于UV灯22后方的中央反射器28,如图3A及图3B所示。反射器支架54可由诸如Teflon
Figure BDA00003741206000061
之类的聚合物制成,Teflon
Figure BDA00003741206000062
可购自美国德拉瓦州的DuPont de Nemours公司。在一方案中,端部凸耳52a和52b是楔形凸耳,能装入对应的端部支架56a及56b的楔形截去部位58a、58b。楔形凸耳52a、52b也可具有倾斜表面60,该倾斜表面与端部支架56a及56b的楔形截去部位58a、58b的对应倾斜表面62的倾斜度相匹配。这容许中央反射器28从反射器组件24后方装入端部支架56a、56b的楔形截去部位58a、58b以支撑中央反射器28。
计算机建模图表被用于基于常规UV源组件(图4A)上的气体流动分布、以及包含带第一孔洞44的中央反射器28的UV灯模块20实施例(图3B)上的气体流动分布,来确定灯泡表面温度。作为对照,常规系统包含不具孔洞的矩形中央反射器板。在常规系统中,冷却气流均匀地扫过两侧的灯泡表面。但是,因为灯泡温度不均匀,所以某些热点区域获得较少冷却功率而其它冷区域获得过量冷却气流。如从这些图中观察到的,相较于常规系统,实质上增强的气流使用位于UV灯22后方的带孔洞40的中央反射器28来获得。如图4A所示,使用常规系统的UV灯22上的气流提供较不集中于UV灯22表面的冷却气流。相反,当气体穿过如图4B所示的中央反射器28的第一孔洞44及第二孔洞46时,UV灯22上的冷却气体42的气流表明冷却气流被有效地导向UV灯22的关键性顶端定点。这在UV灯22上提供低了45℃的表面温度(从648℃至603℃)。这些图表使用从法国ESI购得的Monte Carlo Flow仿真程序(CADalyzer
Figure BDA00003741206000071
)来建模。此仿真模型使用在给定的气流条件下模拟热能分布的迭代过程。在提供示例性建模仿真的同时,应了解到本发明涵盖在此所述之外的建模方案。
在图1及图2示出的方案中,除了中央反射器28之外,反射器组件24也可包括第一侧反射器70以及第二侧反射器72,这些反射器放置于中央反射器28的两侧。第一侧反射器70以及第二侧反射器72也可由铸型石英制成,并具有作为弧形反射表面74、76的内表面。弧形反射表面74、76上也可具有二向色性涂层36,该涂层使用与中央反射器28相同的涂层材料。包含中央反射器28、第一侧反射器70及第二侧反射器72的反射器组件24形成狭长的共鸣空腔,该空腔具有在UV灯22之上的一顶点相交的抛物线型表面80并如图1所示横跨中央反射器28的纵向带30的长边。第一侧反射器70及第二侧反射器72各自超过UV灯22的长边在纵向上延伸。
中央及侧反射器28、70、72的任一个分别可为椭圆形或抛物线型反射器,或者包括椭圆形及抛物线型反射部分的结合。椭圆形反射器与抛物线型反射器相比能装入更小的共鸣空腔以用于相同宽度的光束,且相较于抛物线型反射器,也可实现更卓越的光均匀性。但是,兼具椭圆形及抛物线型区段的反射器28、70、72在创建依应用而修改的反射图案时,容许更多灵活度。再者,椭圆形反射器不需具有真正的或完美的椭圆形。相反地,不具清楚定义的焦点而具有部分或半椭圆形形状的反射器亦可称为椭圆形反射器。类似地,抛物线型反射器不需具有真正的或完美的抛物线型。相反地,反射不精确的平行光线而具有部分或半抛物线型形状的反射器亦可称为抛物线型反射器。应注意到,如所绘示的实施例,反射器28、70、72被示为单独的不相连接的平板,但是本发明并不限于此,且反射器28、70、72可作为单独的U形部件连接,可包括或可不包括纵向孔隙。
反射器组件24控制来自UV灯22的照射分布曲线并且可补偿直接的光的非均匀性(沿UV灯的照射是离光源中心的距离的函数)。在使用单独的UV灯22来照射基材38的所示实施例中,第一侧反射器70及第二侧反射器72具有弧形反射表面74、76,这些表面是相反的对称反射表面。但是,在其它实施例中,例如当使用两个或更多个UV灯22来照射基材38时,也可如所述地使用非对称的一对侧反射器(未图示)。
如图1与图2所示,除主要反射器26之外,反射器组件24也可包括次要反射器90。次要反射器90进一步引导及再引导另外将落于主要反射器的满溢图案(flood pattern)边界外的UV辐射,以使此反射的辐射入射到待处理的基材38以增加辐照基材38的能量强度。次要反射器90表现为针对特殊UV灯22和/或主要反射器26定制的复杂形状。亦可取决于应用的需求,将次要反射器90(使用时与主要反射器26结合)定制成适于特殊的入射分布曲线以及均匀性水平。举例而言,在某些实施例中,次要反射器90可被设计成产生边缘高的入射分布曲线以补偿中央高的加热器热能分布曲线。同样,取决于次要反射器90是否与如下讨论的静态灯或旋转灯一起使用,次要反射器90通常可被设计成产生不同的入射模式。
如图2所示,次要反射器90将UV灯22的满溢图案从大体上矩形区域修改成大体上圆形92,以对应所暴露的大体上圆形的半导体基材38。次要反射器90包括上层部分94以及下层部分96,这两个部分在环绕反射器90的内部周边延伸的顶点98相交。上层部分94包括半圆形的截去部份100以容许冷却空气无阻塞地流动至UV灯22。上层部分94也包括两个相对的、通常向内倾斜(从顶端)的纵向表面102a及102b,以及两个相对的横切表面102c及102d。横切表面102c、102d通常是垂直的且沿横切方向具有凸起的表面。纵向表面102a、102b通常沿着纵向凹陷。
放置在上层部分94正下方的下层部分96包括两个相对的、通常向外倾斜(从顶端)的表面104a以及两个相对的、通常向外倾斜的横切表面104b。在所示的实施例中,表面104a、104b较表面102a、102b更具减少的角度(相对于垂直)。纵向表面102a、102b通常沿纵向凹陷,而相对的横切表面102c、102d通常沿横切方向凸起(值得注意的例外是,在转角108处,表面102a、102b的下层部分与表面102c、102d的下层部分相交)。
图1显示从UV灯22发射以及由主要及次要反射器26、90分别反射的UV辐射的简化反射路径。如图所示,主要反射器26以及次要反射器90的结合容许大体上所有由灯22发射的UV辐射被导向及入射基材38。来自灯22的辐射可通过不同示例性路径入射基材38,这些路径包括直接撞击基材38而不由主要反射器26或次要反射器90反射的路径110a、在被中央反射器28反射后撞击基材38的路径110b、在被第一侧反射器70反射后撞击基材38的路径110c、在被次要反射器90的上层部分94反射后撞击基材38的路径110d以及在被次要反射器90的下层部分96反射后撞击基材38的路径110e。应了解,路径110a-e仅为示例性路径且许多其它反射路径可直接从灯22产生,或从主要反射器26或次要反射器90反射。
如本文中所述的紫外线灯模块20可用于许多不同类型的基材处理设备,所述设备例如包括半导体处理设备、太阳能面板处理设备以及显示器处理设备。显示于图5及图6的示例性基材处理设备200可用于处理半导体晶片,诸如硅或复合半导体晶片。设备200例示ProducerTM处理系统的实施例,该系统可购自美国加州圣克拉拉的应用材料公司。如图5所示,设备200为一独立系统,具有支撑在主机结构202上的必要处理设施。设备200通常包括卡式负载腔室204,其中基材卡盒206a、b被支撑以容许将基材38加载到负载锁定腔室208以及从负载锁定腔室208卸载基材,该设备200还包括容纳基材搬运器214的移送腔室210;以及安装于移送腔室210上的一系列串接处理腔室216a-c。设施端部220容纳操作设备200所需的支撑设施,诸如充气板222以及配电板224。
每一串接处理腔室216a-c包括处理区域218a、b(如显示于腔室216b),这些处理区域能够分别处理基材38a、b。这两个处理区域218a、b共享一共同的气体供给、共同的压力控制以及共同的处理气体排气/抽气系统,从而容许在不同配置间快速转换。腔室216a-c的排列与结合可被修改以执行特定工艺步骤。串接处理腔室216a-c的任一个可包括如下所述的盖体,该盖体包括一个或多个UV灯22以用于在基材38上处理材料和/或用于腔室清洁工艺。在所示的实施例中,所有三个串接处理腔室216a-c具有UV灯22并且配置成UV固化腔室以并行运作以求最大产量。但是,在可替换的实施例中,所有串接处理腔室216a-c可不被装置成UV处理腔室,且设备200可适于具有执行诸如化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻或这些工艺的结合的其它工艺的腔室并在同一腔室中执行UV处理。举例而言,设备200可配置有串接处理腔室216a-c之一作为CVD腔室,用于在基材38上沉积材料(诸如低介电常数(K)薄膜)。
图6显示设备200的串接处理腔室216的一实施例,该串接处理腔室216被配置用于对诸如半导体晶片的基材38进行UV处理。处理腔室216包括主体230以及铰合至主体230的盖体234。耦接至盖体234的是两个壳体238a、b,壳体238a、b各自耦接至入口240a、b以及出口232a、b,用于传递冷却剂气体通过壳体238a、b的内部。可从冷却剂气源244通过管线246a、b以及气流控制器248a、b获得冷却剂气体,而该冷却剂气体可存在于室温或更低的温度,例如约22℃。冷却剂气源244将维持于充分压力与流速的冷却剂气体提供至入口240a、b以确保适当操作UV灯22和/或用于与串接处理腔室216a-c相关联的灯的功率源。可与串接处理腔室216一并使用的冷却模块的详细内容可在2006年11月3日提交、题为“用于UV固化系统的氮气浓化冷却空气模块”的共同受让的美国专利申请No.11/556,642中找到,该申请全部并入本文作为参考。可通过用无氧的冷却剂气体(例如氮气、氩气或氦气)冷却灯来避免形成臭氧。在一方案中,冷却剂气源244提供流速为从约200至2000sccm、包含氮气的冷却剂气体。出口242a、b从壳体238a、b接收排出的冷却剂气体,排出的冷却剂气体通过共同排气系统(未图标)收集,该系统包括洗涤器,用以移除取决于灯泡选择可能由UV灯泡产生的臭氧。
每一壳体238a、238b覆盖两个UV灯22中的一个灯,这些UV灯被分别置于主体230内所界定的两个处理区域218a、b之上。尽管如前所示,单一的UV灯22在两个处理区域218a、b之上,但是应注意到,多个UV灯22可用于增加总照射量,如2007年3月15日提交、题为“使用主要及次要照射器来用UV辐射处理基材的设备及方法”的美国专利公开No.US2007/0257205A1中所描述的,其全部内容并入本文作为参考。每一壳体238a、b包含放置UV灯22的上层壳体252a、b以及放置次要反射器90的下层壳体256a、b。在所示的方案中,圆盘255a、b分别具有数个齿257a、b,这些齿夹住对应的带(未图示),这些带将圆盘耦接至心轴(未图示),该心轴进而在操作时耦接至马达(未图示)。圆盘255a、b、带、心轴以及马达容许上层壳体252a、b(以及安装在壳体内的UV灯22)相对于安置在基材支撑件254a、b上的基材38a、38b而旋转。每一次要反射器90通过托架附接至各个圆盘255a、b的底部,该托架容许次要反射器90在下层壳体256a、b内与上层壳体252a、b与UV灯22一起旋转。相对于暴露的基材38a、b旋转UV灯22可改善横跨基材表面的暴露度的均匀性。在一实施例中,UV灯22可相对暴露的基材38a、b至少旋转180度,而在其它实施例中,UV灯22可旋转270度或甚至恰好360度。
每一处理区域218a、b包括在处理区域218a、b内用于支撑基材38a、b的基材支撑件254a、b。支撑件254a、b可被加热并且可由陶瓷或诸如铝的金属制成。较佳地,支撑件254a、b耦接至心柱258a、b,该心柱穿过主体230的底部延伸并且通过驱动系统260a、b操作以将处理区域218a、b中的支撑件254a、b朝向及远离UV灯22移动。驱动系统260a、b也可在固化期间旋转和/或平移支撑件254a、b以进一步增强基材照度的均匀性。取决于光线传递系统设计考虑因素的性质(诸如焦距),除了对基材38上入射的UV照射能级进行可能的微调之外,支撑件254a、b的可调整放置实现对易挥发的固化副产物、净化物、清洁气体的流动模式以及滞留时间的控制。
在所示的方案中,UV灯22是狭长的圆柱密封等离子灯泡,该UV灯22用汞填充以被功率源(未图示)激发。在一方案中,功率源是微波发生器,包括磁电管以及激励磁电管灯丝的变压器。在一方案中,产生微波的千瓦微波功率源邻近壳体238a、b的孔隙(未图示)并穿过孔隙传输施加至UV灯22的微波。提供高达6000瓦微波功率的功率源能从每一UV灯22产生高达约100瓦的UV光。在一方案中,UV灯22发射横跨波长为从170nm至400nm的宽广波段的UV光。UV灯22中的气体决定所发射的波长,且因更短的波长在氧气存在时趋于产生臭氧,故UV灯22发射的UV光可调至主要产生超过200nm频率的UV光以避免在UV处理工艺期间产生臭氧。
从每一UV灯22发射的UV光通过穿过置于盖体234内孔隙中的窗264a、b进入处理区域218a、b之一。在一方案中,窗264a、b由合成的石英玻璃制成,且具有足够厚度来维持真空而不破裂。举例而言,窗264a、b可由无OH的融合二氧化硅制成,而可传输最低为约150nm的UV光。盖体234密封至主体230,以使窗264a、b密封至盖体234以提供处理区域218a、218b,处理区域218a、218b具有能够维持从约1托尔至约650托尔的压力的体积。处理气体经由两个入口通道262a、b之一进入处理区域218a、b,而经由共同的排气端口266排出处理区域218a、b。同样,供给至壳体238a、b内部的冷却剂气体循环通过UV灯22,但通过窗264a、b与处理区域218a、b隔离开。
现将描述示例性紫外线处理工艺,在该工艺中包含碳氧化硅的低k介电材料被固化。对于此类固化工艺,在350℃至500℃间加热支撑件254a、b,而处理区域218a、b维持在从约1至约10托尔的气体压力以增强从支撑件254a、b至基材38的热传递。在该固化工艺中,在每一串接腔室216a-c(成对腔室每侧7slm)中通过每一入口通道262a、b在8托尔的压力下以14slm的流速导入氦气(He)。对于某些实施例,固化工艺亦可使用氮气(N2)或氩气(Ar)代替氦气或与之混合。净化气体移除固化副产物,促进横跨基材38a、b的均匀热传递,并且将处理区域218a、b内表面上聚集的残余物减至最少。也可添加氢气以从基材上的薄膜移除一些甲基并且清除固化期间释放的氧气。
在另一实施例中,固化工艺使用可包含脉冲氙气闪灯的脉冲UV灯22。处理区域218a、b维持在压力为约10毫托尔至约700托尔的真空下,而基材38a、b暴露于来自UV灯22的UV光脉冲。脉冲UV灯22可提供用于各种应用的经调谐UV光输出频率。
清洁工艺也可在处理区域218a、b中执行。在此工艺中,支撑件254a、b的温度可升至约100℃至约600℃之间。在此清洁工艺中,元素氧与存在于处理区域218a、b表面的碳氢化合物及碳物料反应以形成一氧化碳以及二氧化碳,一氧化碳以及二氧化碳可通过排气端口266抽出或排出。诸如氧气的清洁气体可暴露于选定波长的UV辐射以原位产生臭氧。当清洁气体为氧气时,可开启功率源以从UV灯22提供期望波长的UV光发射,较佳地波长约为184.9nm及约253.7nm。这些UV辐射波长增强有氧的清洁,因为氧气吸收184.9nm的波长并且产生臭氧及元素氧,而253.7nm的波长由臭氧吸收,转移成氧气以及元素氧。在清洁工艺的一方案中,包含5slm臭氧及氧气(氧气中臭氧占13%的重量)的处理气流进串接处理腔室216a、b,均等地在各处理区域218a、b内分流以产生足够氧自由基以在处理处理区域218a、b内从表面清洁沉积物。O3分子也会攻击多种有机残余物。残留的O2分子不会去除处理区域250a、b内表面上的碳氢化合物沉积物。可在固化六对基材38a、b后,在8托尔下用20分钟的清洁工艺执行足够的清洁工艺。
虽然显示且描述了本发明的示例性实施例,本领域一般技术人员可设计并入本发明及也在本发明范畴中的其它实施例。再者,关于附图中示例性实施例示出的词汇”之下(below)”、”之上(above)”、”底部(bottom)”、”顶部(top)”、”上(up)”、”下(down)”、”第一”及”第二”及其它相对性或位置性的词汇是可互换的。因此,权利要求不应限于本文中所述的用于说明本发明的较佳方案、材料或空间排列的描述。

Claims (23)

1.一种用于紫外线灯的紫外线灯反射器,所述反射器包括:
(a)置于中央的反射器,所述置于中央的反射器包括纵向带,所述纵向带具有:
(i)多个通孔,所述多个通孔在所述纵向带中以导引冷却剂气体朝向所述紫外线灯,以及
(ii)弯曲反射表面,所述弯曲反射表面具有二向色性涂层;以及
(b)第一和第二侧反射器,所述第一和第二侧反射器位于所述置于中央的反射器的各侧上以与所述置于中央的反射器形成抛物线型表面,所述第一和第二侧反射器各自包含具有所述二向色性涂层的弧形反射表面。
2.如权利要求1所述的反射器,其中所述二向色性涂层反射由所述UV灯发射的紫外线光,并且通过具有其他波长的光。
3.如权利要求1所述的反射器,其中所述二向色性涂层包括由不同介电材料组成的多层薄膜。
4.如权利要求1所述的反射器,其中所述二向色性涂层包括具有第一折射率的第一材料层、以及具有第二折射率的第二材料层,所述第二折射率低于所述第一折射率。
5.如权利要求1所述的反射器,其中所述第一和第二侧反射器与所述置于中央的反射器形成抛物线型表面,所述抛物线型表面横切所述纵向带的长度相会于顶点。
6.如权利要求1所述的反射器,其中所述纵向带在所述紫外线灯的长度上延伸。
7.如权利要求1所述的反射器,其中所述弯曲反射表面包含至少约2cm的曲率半径。
8.如权利要求1所述的反射器,其中所述多个通孔包括具有第一直径的第一孔洞、以及具有第二直径的第二孔洞,所述第一直径大于所述第二直径。
9.如权利要求1所述的反射器,其中所述多个通孔包含呈角度或倾斜的边缘。
10.如权利要求1所述的反射器,其中所述纵向带包括一对端部凸耳。
11.如权利要求10所述的反射器,包括聚合端部支架,所述聚合端部支架各自具有调整大小以装入端部支架的截去部位。
12.如权利要求1所述的反射器,包含石英。
13.一种反射器组件,包括如权利要求1所述的反射器,其中所述置于中央的反射器以及所述第一和第二侧反射器构成主要反射器,并且进一步包括次要反射器,所述次要反射器包含上层及下层区段,每一区段包括具有末端的相对的纵向表面,并且相对横切表面在所述纵向表面的末端之间延伸。
14.一种紫外线灯模块,包括如权利要求13所述的反射器组件,并且进一步包括狭长的紫外线灯。
15.一种基材处理腔室,包括:
(1)基材支撑件;以及
(2)如权利要求14所述的紫外线灯模块。
16.一种基材处理腔室,包括:
(a)基材支撑件;以及
(b)紫外线灯模块,包括:
(i)狭长的紫外线灯,所述紫外线灯与所述基材支撑件隔开,所述紫外线灯被配置成朝着所述基材支撑件传输紫外线光;以及
(ii)主要反射器,包括:
(1)置于中央的反射器,所述置于中央的反射器包括纵向带,所述纵向带具有在所述纵向带中的多个通孔以及弯曲反射表面,所述弯曲反射表面具有二向色性涂层;以及
(2)第一和第二侧反射器,所述第一和第二侧反射器位于所述置于中央的反射器的各侧上以与所述置于中央的反射器形成抛物线型表面,所述第一和第二侧反射器各自包含具有所述二向色性涂层的弧形反射表面。
17.如权利要求16所述的腔室,其中所述二向色性涂层反射由所述UV灯发射的紫外线光,并且通过具有其他波长的光。
18.如权利要求16所述的腔室,其中所述二向色性涂层包括由不同介电材料组成的多层薄膜。
19.如权利要求16所述的腔室,其中所述二向色性涂层包括具有第一折射率的第一材料层、以及具有第二折射率的第二材料层,所述第二折射率低于所述第一折射率。
20.如权利要求16所述的腔室,其中所述置于中央的反射器包含石英。
21.一种用紫外线辐射处理基材的方法,所述方法包括:
(a)在处理区域中提供基材;
(b)激励紫外线灯以产生紫外线辐射;
(c)在所述紫外线灯后方支撑主要反射器,所述主要反射器包括:
(i)置于中央的反射器,所述置于中央的反射器包括纵向带,所述纵向带具有在所述纵向带中以导引冷却剂气体朝向所述紫外线灯的多个通孔以及弯曲反射表面,所述弯曲反射表面具有二向色性涂层;以及
(ii)第一和第二侧反射器,所述第一和第二侧反射器位于所述置于中央的反射器的各侧上以与所述置于中央的反射器形成抛物线型表面,所述第一和第二侧反射器各自包含具有所述二向色性涂层的弧形反射表面;以及
(d)导引多个冷却剂气流通过所述弯曲反射表面中的多个孔洞以冷却所述紫外线灯。
22.如权利要求21所述的方法,导引包含氮气的冷却剂气体。
23.如权利要求21所述的方法,包括使得在所述基材上形成的一层低k介电材料暴露至所述紫外线辐射而固化所述介电层。
CN2013103861559A 2008-10-21 2009-10-20 具有冷却剂气体孔洞的紫外线反射器及方法 Pending CN103400627A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/255,609 2008-10-21
US12/255,609 US7964858B2 (en) 2008-10-21 2008-10-21 Ultraviolet reflector with coolant gas holes and method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2009801423975A Division CN102187441B (zh) 2008-10-21 2009-10-20 具有冷却剂气体孔洞的紫外线反射器及方法

Publications (1)

Publication Number Publication Date
CN103400627A true CN103400627A (zh) 2013-11-20

Family

ID=42107912

Family Applications (3)

Application Number Title Priority Date Filing Date
CN2009801423975A Expired - Fee Related CN102187441B (zh) 2008-10-21 2009-10-20 具有冷却剂气体孔洞的紫外线反射器及方法
CN2013103861559A Pending CN103400627A (zh) 2008-10-21 2009-10-20 具有冷却剂气体孔洞的紫外线反射器及方法
CN2013101903877A Pending CN103337274A (zh) 2008-10-21 2009-10-20 具有冷却剂气体孔洞的紫外线反射器及方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2009801423975A Expired - Fee Related CN102187441B (zh) 2008-10-21 2009-10-20 具有冷却剂气体孔洞的紫外线反射器及方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2013101903877A Pending CN103337274A (zh) 2008-10-21 2009-10-20 具有冷却剂气体孔洞的紫外线反射器及方法

Country Status (6)

Country Link
US (2) US7964858B2 (zh)
JP (1) JP5401551B2 (zh)
KR (1) KR101244243B1 (zh)
CN (3) CN102187441B (zh)
TW (1) TWI374452B (zh)
WO (1) WO2010048237A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108662444A (zh) * 2017-03-30 2018-10-16 深圳市优固科技有限公司 紫外光led光源装置

Families Citing this family (286)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100242299A1 (en) * 2003-01-09 2010-09-30 Con-Trol-Cure, Inc. Uv curing system and process
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US8314408B2 (en) 2008-12-31 2012-11-20 Draka Comteq, B.V. UVLED apparatus for curing glass-fiber coatings
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5909039B2 (ja) * 2010-04-06 2016-04-26 株式会社小森コーポレーション 巻紙印刷機
EP2388239B1 (en) 2010-05-20 2017-02-15 Draka Comteq B.V. Curing apparatus employing angled UV-LEDs
US8871311B2 (en) 2010-06-03 2014-10-28 Draka Comteq, B.V. Curing method employing UV sources that emit differing ranges of UV radiation
CN103038572B (zh) * 2010-07-16 2016-09-14 诺信公司 用于产生紫外光的灯系统和方法
US8794801B2 (en) * 2010-07-30 2014-08-05 Kla-Tencor Corporation Oblique illuminator for inspecting manufactured substrates
EP2418183B1 (en) 2010-08-10 2018-07-25 Draka Comteq B.V. Method for curing coated glass fibres providing increased UVLED intensitiy
US8309421B2 (en) 2010-11-24 2012-11-13 Applied Materials, Inc. Dual-bulb lamphead control methodology
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101290570B1 (ko) * 2012-03-06 2013-07-31 삼성코닝정밀소재 주식회사 고주파 가열 장치
KR101488659B1 (ko) 2012-03-06 2015-02-02 코닝정밀소재 주식회사 고주파 가열 장치
JP6275142B2 (ja) * 2012-08-23 2018-02-07 フィリップス ライティング ホールディング ビー ヴィ Led及び改良反射コリメータを備えた照明デバイス
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6171483B2 (ja) * 2013-03-29 2017-08-02 岩崎電気株式会社 照射装置
JP6186799B2 (ja) * 2013-03-28 2017-08-30 岩崎電気株式会社 照射器
NL2012499A (en) * 2013-04-17 2014-10-20 Asml Netherlands Bv Radiation collector, radiation source and lithographic apparatus.
US9132448B2 (en) * 2013-10-23 2015-09-15 Miltec Corporation Apparatus for radiant energy curing of a coating
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9433973B1 (en) * 2015-06-15 2016-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing apparatus
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
DE102015212969B4 (de) * 2015-07-10 2019-04-18 Koenig & Bauer Ag UV-Bestrahlungsvorrichtung
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170358446A1 (en) * 2016-06-13 2017-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer processing apparatus and wafer processing method using the same
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10446420B2 (en) * 2016-08-19 2019-10-15 Applied Materials, Inc. Upper cone for epitaxy chamber
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN108091588B (zh) * 2016-11-21 2019-05-31 北京北方华创微电子装备有限公司 一种退火工艺方法、工艺腔室及退火设备
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN106896543B (zh) * 2017-04-14 2019-09-13 武汉华星光电技术有限公司 一种紫外线固化装置
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102328781B1 (ko) * 2018-03-23 2021-11-22 한양대학교 산학협력단 리플렉터 및 이를 포함하는 광소결 장치
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) * 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN108848578A (zh) * 2018-08-01 2018-11-20 绵阳力洋英伦科技有限公司 一种闭合双抛物球面薄板高速加热装置及反射面处理方法
KR102179827B1 (ko) * 2018-08-06 2020-11-17 곽주현 경화 장치
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN112703588A (zh) * 2018-09-24 2021-04-23 应用材料公司 用于清洁和表面处理的原子氧和臭氧装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20220038679A (ko) * 2019-06-24 2022-03-29 더 유니버시티 오브 브리티쉬 콜롬비아 유체의 제어 방사를 위한 다중 반사 광반응기
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
JP7304768B2 (ja) 2019-08-16 2023-07-07 株式会社Screenホールディングス 熱処理装置および熱処理装置の洗浄方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP7248954B2 (ja) * 2019-08-29 2023-03-30 岩崎電気株式会社 低圧水銀ランプユニット
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
DE102020003124A1 (de) 2020-03-20 2021-09-23 Kastriot Merlaku Virenschutz-Vorrichtung für eine Luftdurchströmungs- / Belüftungs-Vorrichtung oder Lüftungs-Anlage oder Klima-Anlage
DE102021000458A1 (de) 2020-03-20 2021-12-30 Kastriot Merlaku Luftsterilisator
CN111532024B (zh) * 2020-03-26 2021-12-28 东莞市汇创智能装备有限公司 Uv固化机及其uv灯箱
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TWI756761B (zh) * 2020-04-06 2022-03-01 香港商正揚科技有限公司 紫外光固化裝置
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11744913B2 (en) * 2020-11-05 2023-09-05 Bolb Inc. Fluid conduit disinfector
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1727747A (zh) * 2004-07-29 2006-02-01 诺信公司 带快门的灯组件和冷却上述灯组件的方法
CN1978983A (zh) * 2005-12-07 2007-06-13 优志旺电机株式会社 光照射装置
CN101093786A (zh) * 2006-03-17 2007-12-26 应用材料股份有限公司 紫外固化系统
JP2008130888A (ja) * 2006-11-22 2008-06-05 Dainippon Screen Mfg Co Ltd 熱処理装置

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4048490A (en) * 1976-06-11 1977-09-13 Union Carbide Corporation Apparatus for delivering relatively cold UV to a substrate
JPH02189805A (ja) * 1989-01-17 1990-07-25 Ushio Inc マイクロ波励起型無電極発光装置
US5959306A (en) * 1996-02-08 1999-09-28 Bright Solutions, Inc. Portable light source and system for use in leak detection
US6098637A (en) * 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
US6118130A (en) * 1998-11-18 2000-09-12 Fusion Uv Systems, Inc. Extendable focal length lamp
US6630682B2 (en) * 2000-03-13 2003-10-07 Victor J. Shanley Combination UV inspection light and flashlight
US7265062B2 (en) * 2000-04-04 2007-09-04 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US6696801B2 (en) * 2000-04-07 2004-02-24 Nordson Corporation Microwave excited ultraviolet lamp system with improved lamp cooling
US6759664B2 (en) * 2000-12-20 2004-07-06 Alcatel Ultraviolet curing system and bulb
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20030192577A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
KR20030096733A (ko) * 2002-06-17 2003-12-31 삼성전자주식회사 자외선 조사 장치
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
JP2006147782A (ja) 2004-11-18 2006-06-08 Toshiba Ceramics Co Ltd 半導体基板用マイクロ波加熱セラミックスヒータ
DE202005002740U1 (de) * 2005-02-19 2005-06-02 Visplay International Ag Vorrichtung zum Aufhängen von Artikeln oder zur Halterung einer Ablage und Aufbau damit
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7777198B2 (en) * 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7692171B2 (en) * 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
JP4896555B2 (ja) * 2006-03-29 2012-03-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
CN101415865B (zh) * 2006-04-07 2015-10-07 应用材料公司 用于外延膜层形成的集束型设备
US7978964B2 (en) * 2006-04-27 2011-07-12 Applied Materials, Inc. Substrate processing chamber with dielectric barrier discharge lamp assembly
JP5026846B2 (ja) * 2006-04-28 2012-09-19 東海ゴム工業株式会社 アルミ系金属品付きゴム部材およびその製法
US7547633B2 (en) * 2006-05-01 2009-06-16 Applied Materials, Inc. UV assisted thermal processing
US20070256635A1 (en) * 2006-05-02 2007-11-08 Applied Materials, Inc. A Delaware Corporation UV activation of NH3 for III-N deposition
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
EP2041774A2 (en) 2006-07-03 2009-04-01 Applied Materials, Inc. Cluster tool for advanced front-end processing
JP5459944B2 (ja) * 2006-11-13 2014-04-02 大日本スクリーン製造株式会社 表面形状測定装置および応力測定装置、並びに、表面形状測定方法および応力測定方法
JP4621699B2 (ja) * 2007-02-13 2011-01-26 株式会社東芝 使用済燃料貯蔵ラック

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1727747A (zh) * 2004-07-29 2006-02-01 诺信公司 带快门的灯组件和冷却上述灯组件的方法
CN1978983A (zh) * 2005-12-07 2007-06-13 优志旺电机株式会社 光照射装置
CN101093786A (zh) * 2006-03-17 2007-12-26 应用材料股份有限公司 紫外固化系统
JP2008130888A (ja) * 2006-11-22 2008-06-05 Dainippon Screen Mfg Co Ltd 熱処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108662444A (zh) * 2017-03-30 2018-10-16 深圳市优固科技有限公司 紫外光led光源装置

Also Published As

Publication number Publication date
TW201029017A (en) 2010-08-01
KR20110077008A (ko) 2011-07-06
WO2010048237A3 (en) 2010-07-15
US8338809B2 (en) 2012-12-25
WO2010048237A2 (en) 2010-04-29
US20110248183A1 (en) 2011-10-13
US20100096564A1 (en) 2010-04-22
CN103337274A (zh) 2013-10-02
CN102187441B (zh) 2013-09-11
TWI374452B (en) 2012-10-11
CN102187441A (zh) 2011-09-14
JP2012506622A (ja) 2012-03-15
US7964858B2 (en) 2011-06-21
KR101244243B1 (ko) 2013-03-19
JP5401551B2 (ja) 2014-01-29

Similar Documents

Publication Publication Date Title
CN102187441B (zh) 具有冷却剂气体孔洞的紫外线反射器及方法
JP5285864B2 (ja) Uv硬化システム
JP2012506622A5 (zh)
US8203126B2 (en) Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US7692171B2 (en) Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
KR101168821B1 (ko) 처리 챔버의 고효율 uv 클리닝
US20070257205A1 (en) Apparatus and method for treating a substrate with uv radiation using primary and secondary reflectors
US8951348B1 (en) Single-chamber sequential curing of semiconductor wafers
US20090162259A1 (en) High efficiency uv curing system
US20080055712A1 (en) Filter system for light source
JP2007157583A (ja) 光照射装置
KR20010043928A (ko) 균일한 가스 분포와 광화학을 위한 가스 분기관
WO2010048227A2 (en) Ultraviolet-transmitting microwave reflector comprising a micromesh screen
JP2008130302A (ja) 光照射装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20131120