CN103415910B - 使用灯组件的基板下侧斜向加热 - Google Patents

使用灯组件的基板下侧斜向加热 Download PDF

Info

Publication number
CN103415910B
CN103415910B CN201280011132.3A CN201280011132A CN103415910B CN 103415910 B CN103415910 B CN 103415910B CN 201280011132 A CN201280011132 A CN 201280011132A CN 103415910 B CN103415910 B CN 103415910B
Authority
CN
China
Prior art keywords
substrate
chamber
receiving surface
substrate support
processing position
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280011132.3A
Other languages
English (en)
Other versions
CN103415910A (zh
Inventor
莫里斯·E·尤尔特
阿纳塔·K·苏比玛尼
乌梅什·M·科尔卡
强德拉塞卡·巴拉苏布拉曼亚姆
约瑟夫·M·拉内什
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103415910A publication Critical patent/CN103415910A/zh
Application granted granted Critical
Publication of CN103415910B publication Critical patent/CN103415910B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3488Constructional details of particle beam apparatus not otherwise provided for, e.g. arrangement, mounting, housing, environment; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole

Abstract

本发明公开用于处理基板的方法及设备。设备为双重功能处理腔室,所述双重功能处理腔室可在基板上执行材料处理与热处理两者。腔室具有环形辐射源,所述环形辐射源安置于腔室的处理位置与输送位置之间。升降销具有长度,所述长度足以在基板支撑件下降至辐射源平面下方时将基板保持在处理位置以提供基板的辐射加热。一种处理具有形成于基板的第一表面中的孔的所述基板的方法包括以下步骤:在孔中的第一表面上沉积材料,以及通过加热与第一表面相对的基板的第二表面来使材料再流。接着可沉积第二材料,从而部分或完全地填充孔。或者,可执行循环沉积/再流处理。

Description

使用灯组件的基板下侧斜向加热
领域
本文所公开的实施例涉及半导体处理。更具体地说,本文所公开的实施例涉及用于半导体基板的材料及热处理的设备及方法。
背景
热处理在半导体制造中较常见。半导体基板通常继诸如沉积、注入或蚀刻的材料处理之后经受热处理。在许多情况下,在与材料处理不同的腔室中中执行热处理。将基板自材料处理腔室移送至热处理腔室费时且降低生产产量。机器利用率,也就是操作机器来处理基板的时间,为降低生产每一芯片的成本的关键因素。因此,存在对于更有效率的半导体制造工艺及设备的不断需求。
发明内容
本发明公开用于使用双重功能处理腔室处理基板的方法及设备,所述双重功能处理腔室可在基板上执行材料处理与热处理两者。腔室具有辐射能源,所述辐射能源安置于腔室周边处的腔室的处理位置与输送位置之间,在所述处理位置处基板经定位用于处理,在所述输送位置处基板可经定位用于自腔室移除。基板支撑件具有基板容纳表面,在所述基板容纳表面上,基板可经定位以经历材料处理。反射体安置于辐射能源周围,且气源安置于腔室的处理位置上方。辐射能源界定穿过辐射能源的辐射源平面,且辐射源平面大体平行于基板支撑件的基板容纳表面。升降销可用以提供基板的辐射加热,所述升降销的长度足以在基板支撑件定位于辐射源平面与输送位置之间时将基板维持在处理位置。具有反射表面的边缘环可安置于基板支撑件周围。
在一个方面中,一种处理具有孔且包括形成于基板的第一表面中的沟槽的基板的方法包括以下步骤:在沟槽中的第一表面上沉积材料,及通过将能量引导至与第一表面相对的基板的第二表面来使材料再流。接着可沉积第二材料,从而部分或完全地填充孔。或者,可执行循环沉积作用/再流处理来填充孔。
附图简单说明
因此,以可详细理解本发明的上述特征结构的方式,上文简要概述的本发明的更特定描述可参照实施例进行,实施例中的一些实施例图示于附图中。然而,应注意,附图仅图示本发明的典型实施例,且因此不欲将附图视为本发明的范围的限制,因为本发明可允许其它同等有效的实施例。
图1为根据一个实施例的腔室的示意性横截面图。
图2A为图1的腔室的一部分的详细视图。
图2B为根据另一实施例的基板支撑件的近视图。
图2C为可用于图1的腔室中的辐射源的透视图。
图3为概述根据另一实施例的方法的流程图。
图4为根据另一实施例的组合工具的平面图。
图5为根据另一实施例的组合工具的平面图。
为了促进理解,在可能情况下已使用相同元件符号以指定为各图所共享的相同元件。预期在一个实施例中所公开的元件可有利地用于其它实施例中,而无需特定叙述。
具体描述
腔室经设置用于在基板的第一侧上沉积材料且用于在基板的第二侧上辐照,所述基板的第二侧与基板的第一侧相对。此腔室为能够在基板上执行材料处理与热处理两者而无需自腔室移除基板的双重功能腔室,从而消除将基板自沉积腔室输送至退火腔室所需要的时间。腔室具有:辐射能组件,所述辐射能组件定位于腔室的周边区域且界定位于腔室的处理位置与输送位置之间的辐射源平面;反射体,所述反射体安置于所述辐射能组件周围;以及气源,所述气源安置于所述处理位置上方。图1为根据一个实施例的腔室100的示意性横截面图。腔室100具有壁104及盖部102,所述壁104和所述盖部102封闭腔室100的内部容积138。基板支撑件106将内部容积138分隔成上部容积136及下部容积134。允许处理气体经由形成于盖部102中的入口108进入腔室的上部容积136,且将安置于基板支撑件106的基板容纳表面116上的基板在腔室100的处理位置160处暴露于处理气体。处理气体流经基板支撑件106的基板容纳表面116、环绕基板支撑件106的周边部分周围流动且经由泵入口110离开腔室100。
基板支撑件106的轴132穿透腔室的底壁140,且基板支撑件106的轴132包括导管130,所述导管130提供冷却气源(未图示)与基板容纳表面116之间的流体连通。将基板支撑件106垂直地致动,以使安置于基板支撑件106的基板容纳表面116上的基板在腔室的处理位置160与输送位置124之间移动。输送位置124界定基板的位置,基板搬运设备(未图示)可在基板的位置处经由入口122操纵基板。
辐射源组件112安置于腔室100的周边142处,且辐射源组件112界定介于处理位置160与输送位置124之间的辐射源平面126。多个升降销114穿过基板支撑件106的基板容纳表面116而安置,且当基板支撑件106回缩至辐射源平面126下方时,所述多个升降销114经致动以保持基板接近于处理位置160。基板由此暴露于来自辐射源组件112的辐射。在一个方面中,通过致动升降销,可将基板定位于不同于处理位置160的热处理位置128处,所述处理位置160可为材料处理位置。
辐射源组件112通常围绕基板支撑件106。辐射源组件112的内部范围144位于距基板支撑件106的外部范围146径向距离“d”处。选择距离“d”以产生位于处理位置160处或位于处理位置160附近的基板的选定的辐照度。通过改变辐射源组件112与基板支撑件106的外部范围146之间的距离“d”,可调整影响基板的辐射量及辐射强度。距离“d”在辐射源组件112的所有点处通常实质上为恒定的,且对于经设置以处理300mm晶片的腔室而言,距离“d”介于约0.001cm(亦即,10μm)与约5cm之间,例如,介于约1cm与约3cm之间。根据任何所需设计,在腔室100中的不同位置处,距离“d”也可不同。举例而言,在辐射能组件112的范围周围的不同点处,距离“d”可不同。
遮蔽环118安置于基板容纳表面116的边缘148周围,所述遮蔽环118可为金属或陶瓷。遮蔽环118大体上覆盖基板支撑件106的外部范围146以防止外部范围146上的沉积。遮蔽环118静置于在基板容纳表面116的外部范围146中形成的突出部分150上。在大多数情况下,安置于基板容纳表面116上的基板与遮蔽环118接触。在替代性实施例中,基板的外半径可小于遮蔽环118的内半径,以使得基板不与遮蔽环118接触。
在操作中,基板支撑件106在腔室100内垂直移动,从而在处理的不同阶段延伸且回缩至各个位置。在完全回缩至输送位置的情况下,基板容纳表面116定位于接近输送位置124,以允许基板搬运机构(未图示)放置且取回基板。由致动器162延伸升降销114,以将基板升降于基板容纳表面116上方。致动器162通过耦接至致动器162的马达(未图示)独立于基板支撑件106移动。随着基板支撑件106自输送位置升高,升降销114回缩,因此基板容纳表面116与基板接合。
基板容纳表面116可结合静电夹盘,所述静电夹盘通常为安置于绝缘基板容纳表面116中的导体158。导体158可为平板、金属线丝网或穿过基板容纳表面116曲折布线的单一路径金属线。功率通常经由导管156耦合至导体158,所述导管156穿过基板支撑件的轴132安置。当基板容纳表面116接合基板时,可激励静电夹盘以将基板固定于基板支撑件106上。在此时也可经由导管130建立冷却气体。
基板支撑件106上定位有基板,所述基板支撑件106将基板移向处理位置128及160。随着基板支撑件106向处理位置160升高,所述基板支撑件106经过辐射源组件112,所述基板支撑件106具有静置于突出部分150上的遮蔽环118。当基板容纳表面116到达处理位置160时,基板可经受材料处理,诸如,沉积、注入或蚀刻。遮蔽环118可具有用于接合盖环166的凹槽164,所述盖环166可为金属或陶瓷,所述盖环166自遮蔽环118向外朝盖部102延伸。盖环166及凹槽164通过控制自上部容积136经过盖环166进入下部容积134中的气流来改善遮蔽环118的功能。凹槽164及阻挡件166为可选的。随着基板支撑件106移向处理位置160及128,遮蔽环118与盖环166接合。随着基板支撑件106自处理位置160移向处理位置128,盖环与遮蔽环118及基板支撑件106一起移动。
在一些实施例中,可在辐射源组件112与输送位置124之间的点处提供边缘支撑件,所述边缘支撑件自侧壁104向内延伸。边缘支撑件(未图示)可经设置以在基板支撑件106移向输送位置124时与遮蔽环118接合。在此实施例中,突出部分150的外半径小于遮蔽环118的外半径,以使得遮蔽环118的一部分延伸超出基板支撑件106的外部范围146。此设置实现自基板支撑件106移除遮蔽环118,以改善对输送位置124处基板容纳表面116的接近。
在完成处理位置160处的处理之后,基板支撑件106可经定位用于基板的背侧热处理。通过中断至导体158的功率(或在真空夹盘实施例中中断至基板容纳表面的真空)来使基板的任何夹紧脱离,基板支撑件106回缩,且升降销114经致动至延伸位置中。此举使基板自基板容纳表面116脱离,且当基板支撑件106回缩至辐射源平面126下方的热处理位置时,此举将基板保持在处理位置160。基板背侧由此暴露于来自辐射源组件112的辐射。若需要,则可通过致动升降销来将基板移动至不同于处理位置160的热处理位置128。在这类实施例中,处理位置160可为材料处理位置。应注意,取决于特定实施例的能量暴露需要,热处理位置可根据需要在材料处理位置上方或下方。基板168在图1中图标于热处理位置。
在热处理期间,给辐射源组件112提供功率,且能量自辐射源组件112向基板168辐射。以此方式辐照基板168的“背侧”,所述“背侧”意谓与表面170相对的基板表面172,在表面170上执行材料处理。除提供经集成的材料及热处理腔室之外,以此方式辐照基板168的背侧172可通过辐照基板168的较少反射表面来改善热处理的能量效率。在一些实施例中,在基板168上执行的材料处理在表面170上形成反射层或部分层,所述反射层或部分层减少能量吸收。辐照背侧172避免反射性的增加。此外,表面170的反射性可反射来自辐射源组件112的辐射,所述辐射经由基板168穿过基板168的背面以进一步改善效率。
在一些实施例中,在热处理期间的基板168的位置可经调变以改善基板168上辐射的均匀性。可通过致动升降销114来将基板168自热处理位置128进一步向上或向下循环地移动,以将辐射模式中的任何非均匀性移动至背侧172上的各个位置,从而降低非均匀性及/或基板弯曲对基板处理的影响。可将背侧172与热处理位置128的最大偏差表达为与基板厚度的比率。上升比率可在约0.1倍与约100倍基板厚度之间变化。
当基板支撑件106在如图1中所示的热处理位置处时,热传感器120由穿过辐射源组件112与遮蔽环118之间的间隙154的视程来感测定位于经延伸的升降销114上的基板容纳表面116上方的基板168的热条件。在省略遮蔽环118的实施例中,间隙154将介于辐射源组件112与基板支撑件106的外部范围146之间。因此,热处理位置可由辐射源组件112与遮蔽环118之间或基板支撑件106的外部范围146与辐射源组件112的内部范围144之间的所需间隙154界定。
在热处理完成之后,通常通过回缩升降销114来将基板与基板容纳表面116再接合。可再应用夹紧,且重建冷却气体以冷却基板。可接着将基板支撑件106移动至用于进一步处理的位置中(若需要)或将基板支撑件106移动返回至用于取回基板的输送位置。当基板支撑件106定位于输送位置处时,通过延伸升降销114来提供对基板的接近,以便机器人叶片可插入于基板与基板容纳表面116之间。
图2A为图示基板支撑件106与辐射源组件112的关系的腔室100的详细视图。通过腔室壁104与盖部102之间的延伸部230自腔室壁104支撑辐射源组件112。辐射源组件112包括外壳202、辐射能源204、至少一个支撑件206及反射表面208,所述至少一个支撑件206自外壳202伸出且支撑辐射能源204。外壳202通常由导热材料制成,所述导热材料诸如为金属,例如不锈钢。外壳202内的通道212实现冷媒、气体或液体的循环。支撑件206可为诸如金属(例如不锈钢)的导热材料或诸如陶瓷的折射材料。辐射能源204可为灯,所述灯产生在自红外线至紫外或微波、毫米波、兆赫波、亚毫米波或远红外源的波长处的辐射。辐射能源204可产生具有自约5x10-2m至约1x10-7m的波长的辐射。示例性辐射能源包括热灯、卤素灯、弧光灯及同轴的微波波源或毫米波源。
外壳202具有多个导管242的特征结构,所述多个导管242延伸穿过所述外壳202。导管242在处理期间及在腔室抽气操作期间使气体能够自上部容积136流动至下部容积134。
外壳202的反射表面208经成形以将来自辐射能源204的辐射向定位于处理位置128或160处的基板的背侧反射。在一些实施例中,反射表面208经成形以允许基板的大体上均匀的辐照。反射表面208可具有任何所需形状,诸如,圆柱形、环形、椭圆形、卵形或不规则的弯曲形状。反射表面208可具有除曲面形状之外或代替曲面形状的刻面形状。在一个实施例中,反射表面208可为具有相同或不同曲率半径的圆柱面的经接合区段,所述经接合区段中的每一经接合区段也可部分地经锥形化或刻面化。在一个实施例中,反射表面208为半环形。在另一实施例中,反射表面208包含多个反射件,所述多个反射件中的每一反射件可独立地为大体上平坦、曲形、锥形或刻面,所述反射件经定位以便近似弯曲表面。例如支撑销、支撑杆或凸出物的支撑件206通常为不连续的,因此来自辐射能源204的辐射实质上到达反射表面208的所有范围且辐射反射向基板。
外壳202的上部部分232自反射表面208径向向内延伸距离“x”,所述距离“x”基于两个考虑而选定。首先,对于省略阻挡件166的实施例而言,选择距离“x”以在基板支撑件106布署于基板支撑件106的最上部的处理位置时提供在遮蔽环118与上部部分232之间的所需空间。在这类实施例中,遮蔽环118与上部部分232之间的空间影响沉积材料可进入下部容积134且在下部容积134中的腔室表面上沉积的范围。其次,选择距离“x”以在热处理期间遮蔽最接近辐射能源204的基板的部分,从而避免基板上的过度辐射。上部部分232可具有延伸部210,所述延伸部210具有突起部234,所述突起部234经提供以截取来自辐射能源204的所需辐射量。在一些实施例中,归因于延伸部210相对接近于辐射能源204及通道212,所述延伸部210可由诸如陶瓷的耐火材料制成。在其它实施例中,延伸部210可由具有关于所发射辐射的所需光学性质的材料形成,以使得辐射可选择性地阻断、传输或吸收且再发射。在一些实施例中,延伸部210的内半径可与内半径144大约相同,所述内半径144自腔室100的中心区域测量。在一些实施例中,距离“x”及突起部234可经设置以阻断自辐射能源至定位于处理位置128及160处的基板的任何部分的视程。对于经设置以处理300mm晶片的腔室而言,取决于辐射能源204的设置,外壳的上部部分及下部部分自腔室壁104延伸介于约1cm至约6cm之间。在一个实施例中,外壳的上部部分及下部部分自腔室壁延伸约2cm。
可使用其它方法减少基板受辐射能源204的直接照明。在一个实施例中,面向基板的辐射能源204的表面,例如,背向外壳202或反射表面208的表面,可涂布有涂层,所述涂层经设置以减少或消除在基板上入射的直接视程辐射。在一些实施例中,涂层可为反射性的,以使得在涂层上入射的来自辐射能源204的光向外壳202的反射表面反射,所述反射表面诸如为反射表面208。在其它实施例中,涂层可为吸收性的、半透明的、不透明的、黑色或白色的。在一些实施例中,涂层可为金属或陶瓷。涂层通常经选择以耐受辐射能源204的热环境。视情况与延伸部210的突起部234及上部部分232结合使用涂层可确保在热处理期间到达基板的所有光为经反射的光。在一些实施例中,使用涂层可通过消除基板表面受所发射辐射的直接照明的可能性来消除对外壳202的上部部分232的需要。
遮蔽环118可具有上表面224,所述上表面224经设置以将来自辐射能源204的辐射向定位于处理位置160处的基板反射。遮蔽环118的轮廓部分(contoured portion)240可经升高、成角度或弯曲以将经反射的辐射投射向基板。阻挡件166可具有轮廓部分236,所述轮廓部分236经成形以与遮蔽环118的轮廓部分240的形状匹配。轮廓部分236可经弯曲、成角度或成锥形而与遮蔽环118的轮廓部分240呈匹配关系,以确保控制穿过凹槽164及在阻挡件166周围的任何气流。
遮蔽环118可为例如不锈钢的金属,或涂布有金属的陶瓷。一般而言,遮蔽环118由耐高温处理的材料形成,且遮蔽环118的表面224为反射性的。遮蔽环118可为涂布有诸如银的反射性金属的陶瓷,或遮蔽环118可使用电介质反射镜,诸如,具有不同折射率的层状陶瓷。可将遮蔽环118的上表面224以与反射表面208的曲率及/或刻面相容的方式弯曲及/或形成刻面,以使得反射表面208及遮蔽环118的上表面224一起形成复合反射体,所述复合反射体经设置以将尽可能多的辐射自辐射能源204尽可能均匀地引导至定位于辐射能源204上方的基板背侧。
热传感器120包括光谱传感器214,光谱传感器214穿过腔室壁104中的开口216而安置。平板218将光谱传感器214紧固于所需位置,所述所需位置用于经由间隙154查看在处理位置160处的基板的背侧。光谱传感器214可为高温计。在一个实施例中,光谱传感器214将为高温计,所述高温计经调谐以测量具有约1μm的波长的辐射的强度。在另一实施例中,高温计可在约7-15μm的波长区域内操作。
在基板支撑件106的基板容纳表面116中形成通道228,以促进使冷媒与安置于所述基板容纳表面116上的基板接触。通道228与导管130流体连通。经由导管130向通道228提供例如冷却气体的冷媒,所述冷媒自基板容纳表面116的中心流动至边缘。通道228可具有自基板容纳表面116的中心至边缘而增加的深度以促进流体流动。流体流动的增加区域抵消冷媒因加热的膨胀。冷媒的膨胀产生压力,所述压力阻碍至基板容纳表面116的周边的流动。通道的渐增的深度抵消了阻碍。取决于所需的精确的冷却分布,所述通道可设计有任何有用的深度分布。在一个实施例中,通道深度随着距基板容纳表面116的中心的距离线性地增加。在此实施例中,流体流动的通量区域也线性地增加。在另一实施例中,通道228的深度可随着距基板容纳表面116的中心的距离的平方而增加。图2B为诸如图1的基板支撑件106这样的基板支撑件的近视图,所述基板支撑件具有通道228,所述通道228的深度随着距基板容纳表面的中心的距离的平方而增加。在另一实施例中,通道228的深度可设置为自基板容纳表面116的中心至边缘的近似恒定的质量通量。
基板容纳表面116可为反射性的。在一个实施例中提供电介质反射镜表面。在其它实施例中,将诸如银的反射性金属涂覆在陶瓷材料上方或透明材料下方。可将反射性材料以保形方式延伸至流体流动的凹部中。举例而言,若需要,可将反射性衬里涂覆于流体流动的凹部。若需要,任何已知保形处理可用以形成保形反射表面。在另一实施例中,例如,通过以诸如研磨的物理手段或者以诸如蚀刻的化学手段保形地沉积反射性材料且自凹部之间的平坦表面移除反射性材料,可将反射性材料仅涂覆于流体流动的凹部。
反射性的基板容纳表面116可经设置以选择性地反射可能被基板168吸收的辐射。举例而言,在一个实施例中,电介质反射镜可能是有用的,电介质反射镜经设置以反射具有介于约0.2μm与约1.0μm之间的波长的辐射。可通过在基板容纳表面116上形成具有不同折射率的交替层来使此电介质反射镜成型。
图2C为可用作图2A的实施例中的辐射能源204的辐射能源250的透视图。辐射能源250为半圆形,以供用于处理圆形基板的实质上圆柱形腔室中使用。辐射能源250为具有辐射区段252及两个连接器254的卤素灯,在所述灯的每一端处有一个连接器254。连接器254经设置以突出穿过外壳202及上文关于图2A所述的腔室壁104中的开口,以连接至功率源(未图示)。通常,导体安置于腔室壁的外部周围以将功率传递至连接器254。两个此种辐射能源250将通常以彼此相对的方式用于一个腔室中以在各处产生均匀的辐射。在一个实施例中,辐射能源250为7.5kW、480V白炽钨丝灯或卤素白炽钨丝灯。在另一实施例中,辐射能源250为氙气放电灯。辐射能源250可具有接触区域256,所述接触区域256用于接触诸如图2A的支撑件206这样的支撑件。接触区域256可为绝热垫,以减少支撑件206与辐射能源250接触的直接加热。
建议本文图示为204及250的辐射能源与基板容纳表面116实质上共平面,从而界定辐射源平面126。应注意,在一些实施例中,使用具有横向或垂直于基板容纳表面116的移位元件的辐射源可能有利的。这类辐射源可用来通过增加辐射发射的直线距离而增加功率至辐射源。在一个实施例中,多个直的狭长辐射源可沿垂直于基板容纳表面116的方向安置。在另一实施例中,辐射源可具有交替的横向移位(亦即,波型图案)的特征。在这类实施例中,外壳及外壳的反射表面可经最佳化用于所产生的特定辐射图案。在其它实施例中,灯可包含形成多边形灯的多个经接合的线性区段。在其它实施例中,辐射源可为多个线性灯,所述多个线性灯以共平面方式以实质上平行于基板支撑件106的基板容纳表面116的平面布置于腔室的周边周围,基板容纳表面每一灯的长轴实质上平行于基板容纳表面116。在其它实施例中,可使用多个这类平面的灯,每一平面在垂直于基板容纳表面116的方向上自另一平面移位。在其它实施例中,可视情况结合上文论述的其它灯形成来使用螺旋状(spiral)或螺旋形(helical)灯。
在其它实施例中,可使用多个u形灯,其中u形的直线部分实质上平行于基板容纳表面延伸,且一个u形灯的末端紧邻于另一u形灯的末端定位,以使得两个邻近灯的电触点穿透尽可能小的区域的外壳及腔室壁,以最小化外壳的反射表面的破坏。通过将一个灯的电气出口连接至邻近灯的电气入口,可轻易地串联连接这些灯。在具有安置于腔室的周边周围的多个源的特征的实施例中,每一源可具有分离的反射体及外壳,或可提供一个反射体及外壳用于源的组,甚至包括所有源的单一反射体及外壳。在一个实施例中,使用两个辐射源。
再次参阅图2A,外壳202的上部部分232具有下表面238,所述下表面238通常图示为平行于基板容纳表面116。下表面238可为反射性的以改善辐射源组件112的效率。在一个实施例中,下表面238相对于基板容纳表面116倾斜以使得下表面238与基板容纳表面116之间的距离随着距腔室壁104的径向距离减小。此倾斜可为线性或非线性的,且此倾斜可自辐射能源204向基板表面提供增加的辐射。在一个实施例中,下表面238的倾斜为线性的,且倾斜与基板容纳表面116形成角度,所述角度介于约1°与约10°之间,诸如,介于约3°与约7°之间,例如,约5°。在其它实施例中,可根据任何便利的设计弯曲下表面238。下表面238可具有单一曲率半径或焦点,或下表面238可具有多个曲率半径或焦点。在一个实例中,下表面238可为近似圆柱形,所述近似圆柱形具有实质上与灯的放电线圈或灯丝重合的聚焦区域。来自下表面238的经反射的辐射可帮助升高放电线圈的温度至操作范围,以使得需要较少电能来实现所需辐射输出功率。在其它实施例中,下表面238可经设置以引导经反射的辐射远离灯或将经反射的辐射向表面208聚焦以反射直至基板。在其它实施例中,下表面238可为尖状以将辐射反射至外壳202内的多个点或将辐射反射至基板支撑件106或遮蔽环118。
应注意,基板不必定位于材料处理(亦即,沉积或注入)及热处理的相同位置处。在上述描述中,建议处理位置160在材料处理及热处理期间为相同的,但并不是必需如此。举例而言,热处理位置可不同于材料处理位置。基板可自材料处理位置升高或降低至热处理位置。热处理位置相对于材料处理位置的位置通常取决于辐射源的设计及材料处理的需要。
在一个实施例中,腔室100可为物理气相沉积(physical vapor deposition;PVD)腔室。在此实施例中,腔室100的盖部102将包括此项技术中已知的溅射靶、磁控管及气体馈送系统。在替代性实施例中,腔室100可为化学气相沉积(chemical vapor deposition;CVD)腔室、等离子体辅助化学气相沉积(plasma enhanced chemical vapor deposition;PECVD)腔室或蚀刻腔室,所述CVD腔室、PECVD腔室或蚀刻腔室具有安置于此项技术中已知的盖部102中的喷头或喷头电极。在另一实施例中,腔室100可为P3i腔室,所述P3i腔室具有安置于此项技术中已知的盖部102中或耦接至盖部102的感应等离子体源。诸如辐射源组件112的辐射源组件可用于希望集成的热处理的任何处理腔室中。
图3为概述根据另一实施例的方法300的流程图。方法300利用上述实施例中的任何实施例中描述的双重功能腔室来在单一腔室中完成材料处理(亦即,沉积、蚀刻及/或注入)及热处理。在步骤310处,在腔室中的基板支撑表面上安置基板。在步骤320处,将基板定位于材料处理位置处,且在所述基板的第一表面上执行材料处理。在步骤330处,将基板与基板支撑表面以间隔分离。在步骤340处,通过将与第一表面相对的基板的第二表面暴露于辐射能源来执行热处理,所述辐射能源安置在基板支撑件的周边周围。在步骤350处,将基板与基板支撑件再接合且将基板冷却。可将整个方法300重复任何所需次数以在单一腔室中执行循环的材料/热处理。此外,若需要,可将材料操作及热操作独立地重复相同次数或不同次数。
在一个实施例中,步骤320的材料处理为用于在基板上沉积金属的PVD处理。在腔室的盖部中向腔室提供溅射气体。用射频(radio frequency;RF)或直流(direct current;DC)电功率激励安置于盖部中的溅射靶以形成等离子体。等离子体将材料自靶溅射至基板上。在金属填充处理情况下,在金属化之前将诸如TiN或TaN的阻挡层沉积于待填充的特征结构中。在硅化情况下,省略阻挡层,且在硅上直接沉积金属。在金属沉积处理情况下,在步骤320处执行的热处理可为减少在基板的凹部中的金属悬垂物的再流处理,或热处理可为驱动金属与硅之间的反应的硅化处理。在一个PVD实施例中,取决于所需沉积速率及基板大小,由氩溅射气体来溅射铜靶,所述氩溅射气体以介于约200sccm与约20,000sccm之间的流量提供。将介于约100W与约10,000W之间的RF功率施加于靶。
继金属沉积处理之后,在步骤330处将基板以斜率自第一温度分布加热至第二温度分布,所述斜率介于约10℃/s与约20℃/s之间,诸如,介于约15℃/s与约17℃/s之间,例如,约16.2℃/s。第一温度分布具有平均温度的特征,所述平均温度介于约20℃与约200℃之间,例如,约50℃,所述平均温度具有约5℃内的均匀性。
本文所述的加热方法具有关于金属沉积处理的优点。当在基板表面上沉积金属时,表面获得反射性。通常辐射能的吸收在金属化表面上减少,因此辐照金属化表面并不比加热与金属化表面相对的表面(例如,基板背侧)有效。例如,金属上方的硅的经改善的能量吸收改善热处理工艺的能量效率。
对于铜再流处理而言,第二温度分布具有平均温度的特征,所述平均温度介于约250℃与约350℃之间,诸如,介于约275℃与约325℃之间,例如,约320℃,所述平均温度具有约20℃内的均匀性,例如,约15℃内的均匀性。温度在周期内斜升,所述周期介于约10s与约30s之间,诸如,介于约15s与约25s之间,例如,约20s。在加热之后将第二温度分布实质上维持长达一周期以完成再流处理,所述周期介于约0s与约30s之间,诸如,介于约5s与约25s之间,例如,约20s。必要时,此方法可使用不同温度及时间用于不同材料的沉积及再流。诸如腔室100的腔室可经由最小的调适用于此方法。
在一些实施例中,通过将第一功率设定施加于辐射源使基板温度斜升,且接着在达到目标温度之后,通过将第二功率设定施加于辐射源使温度保持实质上恒定。在一个实施例中,第一功率设定为辐射源的额定功率的约90%,且第二功率设定为辐射源的额定功率的约10%。在上文涉及的7.5kW钨丝灯的情况下,90%的功率设定将施加约6.75kW的功率至每一个灯,且10%的功率设定将施加约750W的功率至每一个灯。取决于所使用的辐射源的类型及正在执行的热操作,加热的工作循环将介于约60%与约95%之间,且维持温度的工作循环将介于约5%与约30%之间。
在步骤340处将基板冷却至第三温度分布,所述第三温度分布在持续时间内具有低于约50℃的平均温度,所述持续时间介于约30s与约120s之间,诸如,约60s。在冷却期间,将整个基板上最高温度非均匀性保持在小于约55℃,以最小化基板上的热应力。此举可使用上文结合图1及图2A所述的基板支撑件来完成。在一个实施例中,最高温度非均匀性小于约50℃。
在金属沉积处理中,可在单一腔室中将以上所述沉积金属及再流的操作重复任何次数,以用金属达成图案化基板中的开口的填充。此循环再流处理达成凹部的快速无空隙填充。在大多数实施例中,将沉积及热处理重复五次或少于五次。在一个实例中,将沉积及热处理执行三次。在一些实施例中,在开始以上所述的填充处理之前,可在基板上方形成传统的阻挡层。阻挡层降低在连续的沉积及热处理期间金属迁移至基板中的趋势。
在硅化处理中,以上所述的周边辐射源可用以将基板加热至介于约150℃与约500℃之间的温度,以促进硅与诸如钴及钼的经沉积金属之间的反应。也可在用于硅化的循环中重复地执行沉积及热处理。
在另一实施例中,方法300可为氮化及再氧化处理。可通过将基板暴露于氮等离子体中来执行诸如去耦合等离子体氮化(decoupled plasma nitridation;DPN)处理这样的等离子体氮化处理。通过定位用于热处理的基板且激活辐射能源,可接着在相同腔室中使用本文所述的多功能材料/热腔室执行再氧化处理,所述辐射能源经定位以辐照基板表面,所述基板表面与暴露于氮等离子体中的表面相对。可在热处理期间提供诸如包含氧自由基的气氛的氧化气氛。可将循环氮化/再氧化处理重复任何所需次数。
上文结合图1至图2B所述的腔室100为双重功能腔室,所述双重功能腔室在单一腔室中的基板上执行材料处理及热处理。此双重功能腔室可用于在材料处理后接着热处理的处理。这类处理包括(但不限于)金属沉积及再流、硅化、沉积(CVD、原子层沉积(atomiclayer deposition;ALD)、PECVD、外延法)及退火、注入及退火,及等离子体氮化及再氧化。通过将上文大体上所述的周边辐射源耦接至执行材料处理的腔室,可在单一腔室中执行这类处理。
图4为根据另一实施例的组合工具400的平面图。组合工具400具有如上所述的至少一个双重功能材料/热腔室的特征。组合工具400的实例为可购自加利福尼亚的圣克拉拉(Santa Clara,California)的应用材料公司(Applied Materials,Inc.)的系统。也可使用由其它制造商制造的组合工具。任何便利类型的移送机器人404安置于组合工具的移送腔室402中。将具有两个负载锁定腔室406A/B的负载锁定物406耦接至移送腔室402。多个处理腔室408、410、412、414及416也耦接至移送腔室402。在传统组合工具中,一或多个处理腔室可为热处理腔室,诸如,退火腔室、除气腔室或氧化腔室。使用本文所述的双重功能腔室可消除热腔室且增加生产率。
在一个实施例中,组合工具400可为逻辑门形成工具。腔室408可为硅沉积腔室,所述硅沉积腔室可为PVD或CVD的,且所述硅沉积腔室可为等离子体增强的。腔室410可相应地为双重功能等离子体氮化及热处理腔室。腔室412可根据需要专用于氧化物形成、氮化/热处理或硅形成以最大化生产率。因为没有单一腔室单独专用于热处理,所以可通过使用双重功能材料/热腔室来增加生产率。在另一实施例中,腔室408、410、412、414及416中的任何腔室可为经组合的等离子体清洁及再氧化腔室。
图5为根据另一实施例的组合工具500的平面图。组合工具500具有耦接至两个移送腔室502及504的多个处理腔室的特征。组合工具500的实例为Applied Materials,Inc.的系统。也可使用由其它制造商制造的组合工具。移送腔室502及504中的每一移送腔室分别具有移送机器人506及508。将具有两个负载锁定腔室510A/B的特征的负载锁定物510耦接至第一移送腔室502。使用通过腔室(pass through chamber)520及522将基板在移送腔室之间传递,所述通过腔室520及522可由移送机器人506与508两者接近。
在典型的设置中,根据处理腔室的盖部的设置,处理腔室524、526、528、530及532中的任何或全部处理腔室可为双重功能沉积/热处理腔室,所述双重功能沉积/热处理腔室具有由CVD、PVD或ALD处理进行的沉积,所述CVD、PVD或ALD处理可为等离子体增强的。在一个实施例中,处理腔室524及526为用于形成阻挡层的PVD腔室,且腔室528、530及532为双重功能PVD金属沉积/再流腔室,每一腔室能够执行类似于图3的处理的处理。腔室516及518可为预清洁腔室,且腔室512及514可为除气腔室、冷却腔室或表面钝化腔室。腔室516、518、524、526、528及532中的任何腔室可为组合的等离子体清洁腔室和再氧化腔室。
尽管上文针对本发明的实施例,但在不脱离本发明的基本范围的情况下可设计本发明的其它及进一步实施例。

Claims (14)

1.一种用于处理半导体基板的腔室,所述腔室包含:
辐射能源,所述辐射能源定位于所述腔室的周边区域处,以在所述腔室的处理位置与输送位置之间提供辐射源,其中所述辐射能源安置于多个不连续的支撑件上,所述多个不连续的支撑件自所述腔室的侧壁径向向内延伸;
反射体,所述反射体定位于所述处理位置下方,所述反射体向上朝向所述处理位置定向,以及所述反射体安置于所述辐射能源周围;以及
气源,所述气源安置于所述处理位置上方。
2.如权利要求1所述的腔室,其中所述辐射能源包含环形的弧光灯。
3.如权利要求1所述的腔室,其中所述反射体为凹状且环形的。
4.如权利要求1所述的腔室,其中所述反射体经成形以将来自所述辐射能源的辐射向所述处理位置反射。
5.如权利要求1所述的腔室,所述腔室进一步包含:基板支撑件及升降销组件,所述基板支撑件耦接至垂直致动器,所述升降销组件耦接至所述基板支撑件且所述升降销组件延伸穿过所述基板支撑件的基板容纳表面,其中所述升降销组件包含多个升降销,所述多个升降销各自具有长度,所述长度足以在所述基板支撑件的所述基板容纳表面定位于所述辐射源与所述输送位置之间时将基板保持在所述处理位置。
6.如权利要求1所述的腔室,其中所述反射体包含径向延伸部,所述径向延伸部在所述腔室内部的所述辐射能源与所述处理位置的周边部分之间伸出。
7.如权利要求1所述的腔室,所述腔室进一步包含基板支撑件,所述基板支撑件具有阴影环,所述阴影环具有反射表面。
8.如权利要求7所述的腔室,其中所述基板支撑件具有沟槽化的基板容纳表面,且所述沟槽的深度随着距所述基板支撑件的中心的距离增加。
9.如权利要求1所述的腔室,其中所述辐射能源在所述辐射能源背向所述反射体的表面上具有涂层。
10.一种用于处理单一基板的腔室,所述腔室包含:
基板支撑件,所述基板支撑件经致动以在所述腔室内部的处理位置与基板输送位置之间移动,所述基板支撑件包含:
基板容纳表面,所述基板容纳表面具有多个通道,所述多个通道形成于所述基板容纳表面中;
升降销组件,所述升降销组件耦接至所述基板支撑件,所述升降销组件包含多个升降销,所述多个升降销经致动以在所述基板容纳表面上方延伸;
环形灯,所述环形灯安置于多个不连续的支撑件上,所述多个不连续的支撑件自所述腔室的侧壁径向向内延伸,所述环形灯界定位于所述处理位置与所述基板输送位置之间的辐射源平面;以及
凹状的环形反射体,所述凹状的环形反射体定位于所述处理位置下方,所述凹状的环形反射体向上朝向所述处理位置定向,以及所述凹状的环形反射体安置于所述环形灯周围。
11.如权利要求10所述的腔室,其中在所述基板容纳表面中形成的通道具有深度,所述深度自所述基板容纳表面的中心至所述基板容纳表面的周边部分而增加。
12.如权利要求10所述的腔室,所述腔室进一步包含遮蔽环,所述遮蔽环安置于所述基板支撑件周围且所述遮蔽环具有反射性的上表面,其中所述基板支撑件也具有反射性的上表面。
13.如权利要求10所述的腔室,其中所述基板支撑件具有反射性的基板容纳表面,所述反射性的基板容纳表面包含电介质反射镜。
14.如权利要求10所述的腔室,其中所述环形灯在所述环形灯的表面上具有反射涂层。
CN201280011132.3A 2011-03-11 2012-03-09 使用灯组件的基板下侧斜向加热 Active CN103415910B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/046,261 US8404048B2 (en) 2011-03-11 2011-03-11 Off-angled heating of the underside of a substrate using a lamp assembly
US13/046,261 2011-03-11
PCT/US2012/028521 WO2012125469A2 (en) 2011-03-11 2012-03-09 Off-angled heating of the underside of a substrate using a lamp assembly

Publications (2)

Publication Number Publication Date
CN103415910A CN103415910A (zh) 2013-11-27
CN103415910B true CN103415910B (zh) 2016-12-14

Family

ID=46795968

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280011132.3A Active CN103415910B (zh) 2011-03-11 2012-03-09 使用灯组件的基板下侧斜向加热

Country Status (6)

Country Link
US (3) US8404048B2 (zh)
JP (1) JP6073256B2 (zh)
KR (2) KR101921199B1 (zh)
CN (1) CN103415910B (zh)
TW (1) TWI545635B (zh)
WO (1) WO2012125469A2 (zh)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9905443B2 (en) * 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US8926806B2 (en) * 2012-01-23 2015-01-06 Taiwan Semiconductor Manufacturing Co., Ltd. Shielding design for metal gap fill
US10504719B2 (en) * 2012-04-25 2019-12-10 Applied Materials, Inc. Cooled reflective adapter plate for a deposition chamber
US8993422B2 (en) * 2012-11-09 2015-03-31 Infineon Technologies Ag Process tools and methods of forming devices using process tools
CN104233191A (zh) * 2013-06-08 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 加热腔室及等离子体加工设备
WO2015023603A1 (en) * 2013-08-12 2015-02-19 Applied Materials Israel, Ltd. System and method for forming a sealed chamber
US9443728B2 (en) 2013-08-16 2016-09-13 Applied Materials, Inc. Accelerated relaxation of strain-relaxed epitaxial buffers by use of integrated or stand-alone thermal processing
AT515052B1 (de) * 2013-10-17 2015-08-15 Mb Microtec Ag Visiervorrichtung
US9673092B2 (en) * 2014-03-06 2017-06-06 Asm Ip Holding B.V. Film forming apparatus, and method of manufacturing semiconductor device
US10760161B2 (en) 2014-09-05 2020-09-01 Applied Materials, Inc. Inject insert for EPI chamber
US11060203B2 (en) 2014-09-05 2021-07-13 Applied Materials, Inc. Liner for epi chamber
SG10201901906YA (en) * 2014-09-05 2019-04-29 Applied Materials Inc Atmospheric epitaxial deposition chamber
WO2016126381A1 (en) 2015-02-05 2016-08-11 Applied Materials, Inc. Rapid thermal processing chamber with linear control lamps
JP6554328B2 (ja) * 2015-05-29 2019-07-31 株式会社Screenホールディングス 熱処理装置
KR20170016562A (ko) 2015-08-03 2017-02-14 삼성전자주식회사 박막 증착 장치
US10727094B2 (en) 2016-01-29 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd Thermal reflector device for semiconductor fabrication tool
US9818717B2 (en) * 2016-02-24 2017-11-14 International Business Machines Corporation Enhanced cleaning for water-soluble flux soldering
CN108886013B (zh) * 2016-03-14 2023-06-16 应用材料公司 在去夹持步骤期间移除静电夹盘上的残余电荷的方法
US10325790B2 (en) * 2016-04-29 2019-06-18 Applied Materials, Inc. Methods and apparatus for correcting substrate deformity
US11572617B2 (en) * 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US20180122670A1 (en) * 2016-11-01 2018-05-03 Varian Semiconductor Equipment Associates, Inc. Removable substrate plane structure ring
US10573498B2 (en) * 2017-01-09 2020-02-25 Applied Materials, Inc. Substrate processing apparatus including annular lamp assembly
TWI776859B (zh) * 2017-03-06 2022-09-11 美商應用材料股份有限公司 旋轉器蓋
US10950449B2 (en) * 2018-01-12 2021-03-16 Asm Ip Holding B.V. Substrate processing apparatus
CN108060406B (zh) * 2018-01-29 2023-09-08 北京北方华创微电子装备有限公司 遮挡压盘组件、半导体加工装置和方法
US11043403B2 (en) 2018-04-06 2021-06-22 Semes Co., Ltd. Substrate support unit and substrate processing apparatus having the same including reflective member configured to reflect light toward substrate
KR102208753B1 (ko) * 2018-04-06 2021-01-28 세메스 주식회사 기판 지지 유닛 및 이를 갖는 기판 처리 장치
CN112368412A (zh) * 2018-06-25 2021-02-12 应用材料公司 用于基板的载体及用于承载基板的方法
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
US11289312B2 (en) * 2019-06-12 2022-03-29 Applied Materials, Inc. Physical vapor deposition (PVD) chamber with in situ chamber cleaning capability
KR102201877B1 (ko) * 2019-06-21 2021-01-13 세메스 주식회사 기판 지지 유닛 및 이를 갖는 기판 처리 장치
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
US20220267893A1 (en) * 2019-11-11 2022-08-25 Beijing Naura Microelectronics Equipment Co., Ltd. Sputtering device
CN110923642B (zh) * 2019-11-11 2022-07-22 北京北方华创微电子装备有限公司 溅射装置
US20210375650A1 (en) * 2020-06-01 2021-12-02 Applied Materials, Inc. High temperature and vacuum isolation processing mini-environments
US11222816B2 (en) * 2020-06-16 2022-01-11 Applied Materials, Inc. Methods and apparatus for semi-dynamic bottom up reflow
CN114075650A (zh) * 2020-08-18 2022-02-22 群创光电股份有限公司 曲面基板的镀膜装置及其镀膜方法
KR102584511B1 (ko) * 2020-12-07 2023-10-06 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US11492699B2 (en) * 2021-02-17 2022-11-08 Applied Materials, Inc. Substrate temperature non-uniformity reduction over target life using spacing compensation
JP7197739B2 (ja) * 2021-05-10 2022-12-27 ピコサン オーワイ 基板処理装置及び方法
CN114126101B (zh) * 2021-11-02 2024-01-26 Tcl华星光电技术有限公司 石英红外加热装置及其对基板加热的方法
KR102554574B1 (ko) 2023-02-06 2023-07-12 주식회사 트리버스시스템 물리적 기상 증착의 결합력 강화를 위한 지향성 표면 가열 장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6313027B1 (en) * 1995-08-07 2001-11-06 Applied Materials, Inc. Method for low thermal budget metal filling and planarization of contacts vias and trenches
CN1507648A (zh) * 2001-04-17 2004-06-23 �������ɭ 用于集成电路的快速热处理系统
CN1529900A (zh) * 2001-05-11 2004-09-15 Ӧ�ò��Ϲ�˾ 包括热分布板和边缘支撑的组合装置
CN101392409A (zh) * 2002-03-29 2009-03-25 马特森技术有限公司 使用加热源组合的脉冲式处理半导体加热方法

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63241923A (ja) * 1987-03-30 1988-10-07 Nikon Corp 光照射装置
US4981815A (en) * 1988-05-09 1991-01-01 Siemens Aktiengesellschaft Method for rapidly thermally processing a semiconductor wafer by irradiation using semicircular or parabolic reflectors
JPH0669148A (ja) * 1992-08-21 1994-03-11 Nec Kansai Ltd 加熱装置
US5803977A (en) * 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
JPH0729843A (ja) * 1993-06-25 1995-01-31 Hitachi Ltd 熱処理装置
KR100297282B1 (ko) 1993-08-11 2001-10-24 마쓰바 구니유키 열처리장치 및 열처리방법
JPH07201753A (ja) * 1993-12-29 1995-08-04 Nippon Steel Corp 薄膜製造方法およびその装置
US5518593A (en) * 1994-04-29 1996-05-21 Applied Komatsu Technology, Inc. Shield configuration for vacuum chamber
US5561735A (en) * 1994-08-30 1996-10-01 Vortek Industries Ltd. Rapid thermal processing apparatus and method
DE4432315A1 (de) * 1994-09-12 1996-03-14 Patent Treuhand Ges Fuer Elektrische Gluehlampen Mbh Quecksilberdampf-Kurzbogenlampe
EP0826985A1 (en) * 1996-08-28 1998-03-04 Applied Materials, Inc. Reflector for a semiconductor processing chamber
GB2325939B (en) * 1997-01-02 2001-12-19 Cvc Products Inc Thermally conductive chuck for vacuum processor
US6190113B1 (en) * 1997-04-30 2001-02-20 Applied Materials, Inc. Quartz pin lift for single wafer chemical vapor deposition/etch process chamber
US5792273A (en) * 1997-05-27 1998-08-11 Memc Electric Materials, Inc. Secondary edge reflector for horizontal reactor
US6222990B1 (en) 1997-12-03 2001-04-24 Steag Rtp Systems Heating element for heating the edges of wafers in thermal processing chambers
US6108491A (en) * 1998-10-30 2000-08-22 Applied Materials, Inc. Dual surface reflector
JP2000323487A (ja) * 1999-05-14 2000-11-24 Tokyo Electron Ltd 枚葉式熱処理装置
KR100363081B1 (ko) * 1999-09-16 2002-11-30 삼성전자 주식회사 박막 형성장치
WO2001082348A1 (en) * 2000-04-20 2001-11-01 Tokyo Electron Limited Thermal processing system
JP4540796B2 (ja) * 2000-04-21 2010-09-08 東京エレクトロン株式会社 石英ウインドウ、リフレクタ及び熱処理装置
JP2002075899A (ja) * 2000-08-31 2002-03-15 Ulvac-Riko Inc 円形状平板試料の均熱装置
JP2002134429A (ja) * 2000-10-12 2002-05-10 Applied Materials Inc 基板処理装置用のベアリングカバー、基板処理装置および熱処理方法
JP4765169B2 (ja) * 2001-01-22 2011-09-07 東京エレクトロン株式会社 熱処理装置と熱処理方法
JP2002313781A (ja) * 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板処理装置
JP4350322B2 (ja) * 2001-04-27 2009-10-21 株式会社日立国際電気 加熱処理装置
US6727176B2 (en) * 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
JP4397655B2 (ja) * 2003-08-28 2010-01-13 キヤノンアネルバ株式会社 スパッタリング装置、電子部品製造装置及び電子部品製造方法
JP2005108967A (ja) * 2003-09-29 2005-04-21 Hitachi Kokusai Electric Inc 基板処理装置
US20050223993A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses; methods for assessing alignments of substrates within deposition apparatuses; and methods for assessing thicknesses of deposited layers within deposition apparatuses
US20060096851A1 (en) * 2004-11-08 2006-05-11 Ilya Lavitsky Physical vapor deposition chamber having an adjustable target
KR100621777B1 (ko) * 2005-05-04 2006-09-15 삼성전자주식회사 기판 열처리 장치
JP2006324474A (ja) * 2005-05-19 2006-11-30 Sumitomo Metal Mining Co Ltd 金属被覆ポリイミド基板の製造方法
US20070020872A1 (en) 2005-07-06 2007-01-25 Isamu Shindo Process and apparatus for producing single crystal
US7378002B2 (en) * 2005-08-23 2008-05-27 Applied Materials, Inc. Aluminum sputtering while biasing wafer
JP5023505B2 (ja) * 2006-02-09 2012-09-12 東京エレクトロン株式会社 成膜方法、プラズマ成膜装置及び記憶媒体
US8500963B2 (en) * 2006-10-26 2013-08-06 Applied Materials, Inc. Sputtering of thermally resistive materials including metal chalcogenides
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
JP2007214593A (ja) * 2007-05-07 2007-08-23 Canon Anelva Corp 銅配線膜形成方法及び配線膜
US20090169760A1 (en) * 2007-12-31 2009-07-02 Rohan Akolkar Copper metallization utilizing reflow on noble metal liners
US20090194024A1 (en) 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8128262B2 (en) * 2010-03-30 2012-03-06 Abl Ip Holdings Llc Lighting applications with light transmissive optic contoured to produce tailored light output distribution
US8840288B2 (en) * 2010-11-02 2014-09-23 Nissan North America, Inc. Vehicle window assembly
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
JP5626163B2 (ja) * 2011-09-08 2014-11-19 信越半導体株式会社 エピタキシャル成長装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6313027B1 (en) * 1995-08-07 2001-11-06 Applied Materials, Inc. Method for low thermal budget metal filling and planarization of contacts vias and trenches
CN1507648A (zh) * 2001-04-17 2004-06-23 �������ɭ 用于集成电路的快速热处理系统
CN1529900A (zh) * 2001-05-11 2004-09-15 Ӧ�ò��Ϲ�˾ 包括热分布板和边缘支撑的组合装置
CN101392409A (zh) * 2002-03-29 2009-03-25 马特森技术有限公司 使用加热源组合的脉冲式处理半导体加热方法

Also Published As

Publication number Publication date
US20130270107A1 (en) 2013-10-17
US8404048B2 (en) 2013-03-26
US9863038B2 (en) 2018-01-09
US20130196514A1 (en) 2013-08-01
CN103415910A (zh) 2013-11-27
TW201246330A (en) 2012-11-16
US9818587B2 (en) 2017-11-14
KR20180126609A (ko) 2018-11-27
US20120231633A1 (en) 2012-09-13
TWI545635B (zh) 2016-08-11
JP6073256B2 (ja) 2017-02-01
KR20140018915A (ko) 2014-02-13
KR101921199B1 (ko) 2018-11-22
WO2012125469A2 (en) 2012-09-20
WO2012125469A3 (en) 2012-12-27
JP2014514734A (ja) 2014-06-19
KR101947102B1 (ko) 2019-02-13

Similar Documents

Publication Publication Date Title
CN103415910B (zh) 使用灯组件的基板下侧斜向加热
EP0811709B1 (en) Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
US20160010208A1 (en) Design of susceptor in chemical vapor deposition reactor
US9842753B2 (en) Absorbing lamphead face
KR20060114040A (ko) 패턴화된 웨이퍼의 배면의 신속 열처리 방법
US9905443B2 (en) Reflective deposition rings and substrate processing chambers incorporating same
US9612056B2 (en) Wafer holder with varying surface property
TW201003815A (en) Apparatus for manufacturing semiconductor
WO2019070382A1 (en) INFRARED LAMP RADIATION PROFILE CONTROL BY DESIGNING AND POSITIONING LAMP FILAMENT
US20120222618A1 (en) Dual plasma source, lamp heated plasma chamber
CN108493126A (zh) 具有柔性支座的灯头印刷电路板
CN104246984B (zh) 用于沉积腔室的冷却的反射接装板
KR102117234B1 (ko) 반사성 증착 링들 및 그를 포함하는 기판 프로세싱 챔버들
KR20140064227A (ko) 기판 처리 장치
JPH1197370A (ja) 熱処理装置
WO2014176174A1 (en) Absorbing lamphead face
WO2024015196A1 (en) High-efficiency led substrate heater for deposition applications

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant