CN103477422B - 低温氧化硅转换 - Google Patents

低温氧化硅转换 Download PDF

Info

Publication number
CN103477422B
CN103477422B CN201280018583.XA CN201280018583A CN103477422B CN 103477422 B CN103477422 B CN 103477422B CN 201280018583 A CN201280018583 A CN 201280018583A CN 103477422 B CN103477422 B CN 103477422B
Authority
CN
China
Prior art keywords
siliceous
nitrogen
layer
hydrogen
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280018583.XA
Other languages
English (en)
Other versions
CN103477422A (zh
Inventor
J·梁
N·K·英格尔
S·洪
A·M·帕特尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103477422A publication Critical patent/CN103477422A/zh
Application granted granted Critical
Publication of CN103477422B publication Critical patent/CN103477422B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

描述了一种形成氧化硅层的方法。所述方法首先通过自由基组分化学气相沉积(CVD)来沉积含硅-氮-氢(聚硅氮烷)的膜。通过在低基板温度下让聚硅氮烷膜暴露于湿气,将聚硅氮烷膜转换成氧化硅。还可把聚硅氮烷膜浸入具有氧与氢两者的液体(例如,水、过氧化氢和/或氢氧化铵)中。这些转换技术可单独或依序结合地使用。本文所述的转换技术加速了转换,产生了值得制造的膜,并且免除了对高温氧化处理的要求。臭氧处理可早于转换技术。

Description

低温氧化硅转换
相关申请的交叉引用
本申请是2011年9月20日提交的名称为“低温氧化硅转换(LOWTEMPERATURESILICONOXIDECONVERSION)”的美国专利申请第13/237,131号的PCT申请,并且涉及和要求2011年4月20日提交的名称为“低温氧化硅转换(LOWTEMPERATURESILICONOXIDECONVERSION)”的美国临时专利申请第61/477,515号的权益,所述申请全文为所有目的以引用方式并入本文中。
发明背景
自数十年前引入半导体装置以来,半导体装置几何形状已大幅缩小。现代半导体制造设备例行地制造具有45纳米(nm)、32nm和28nm的特征尺寸的装置,并且开发和使用新设备来制造甚至更小几何形状的装置。减小的特征尺寸导致装置上的结构特征空间尺寸缩小。装置上的间隙与沟槽的宽度变窄至间隙深度与宽度的深宽比变得足够高,因而难以用介电材料填充间隙。沉积的介电材料易于在间隙完全填充之前堵塞顶部,以致在间隙中间产生孔隙或裂缝。
多年来,已开发许多技术来避免介电材料堵塞间隙顶部或者“治愈”已形成的孔隙或裂缝。一种方法始于高流动性的前驱物材料,所述前驱物材料以液相形式涂抹于旋转基板表面(例如,SOG沉积技术)。这些流动性前驱物可流入和填充很小的基板间隙,而不形成孔隙或细缝。然而,一旦沉积这些高流动性材料,所述高流动性材料就硬化成固态介电材料。
在许多情况下,硬化包括热处理以自沉积材料移除碳与羟基而留下固态电介质,例如氧化硅。不幸的是,分离的碳与羟基物质通常在硬化电介质内留下孔洞,所述孔洞降低了最终材料的质量。此外,硬化电介质的体积还易收缩,以致在电介质与周围基板的界面处留下裂痕与空间。在一些情况下,硬化电介质的体积减少40%或以上。
旋涂式电介质(SOD)还用于流入图案化基板上的特征。所述材料通常从含硅、氮与氢的硅氮烷型膜转换成氧化硅。含硅、氮与氢的膜通常在高温、在含氧环境下转换成氧化硅。来自环境中的氧取代氮与氢以产生氧化硅膜。对于一些电路架构,高温暴露于氧环境会破坏下方的膜。所述考虑导致在制造工艺流程期间需要保留在“热预算”内。热预算考虑大大使SOD限制在并入下方氮化硅层的工艺流程(例如,DRAM应用),所述氮化硅层可保护下方特征不被氧化。
因此,需要新沉积工艺和材料以在结构基板上形成介电材料,而无需在含氧环境中进行高温处理。在本申请中满足了上述和其它需求。
发明内容
描述了一种形成氧化硅层的方法。所述方法首先通过自由基组分化学气相沉积(CVD)来沉积含硅-氮-氢(聚硅氮烷)的膜。通过在低基板温度下让聚硅氮烷膜暴露于湿气,将聚硅氮烷膜转换成氧化硅。还可把聚硅氮烷膜浸入具有氧与氢两者的液体(例如,水、过氧化氢和/或氢氧化铵)中。这些转换技术可单独或依序结合地使用。本文所述的转换技术加速了转换、产生了值得制造的膜,并且免除了对高温氧化处理的要求。臭氧处理可早于转换技术。
本发明实施例包括在基板上形成氧化硅层的方法。所述方法包括:形成含硅-氮-氢的层。形成含硅-氮-氢的层包括:使未激发前驱物流入远程等离子体区,以产生自由基前驱物;在无等离子体基板处理区中,结合含硅前驱物与自由基前驱物;以及在基板上沉积含硅-氮-氢的层。所述方法进一步包括:在含臭氧气氛中,固化含硅-氮-氢的层,以将含硅-氮-氢的层转换成含硅-氧的层。所述方法进一步包括让含硅-氧的层暴露于相对湿度为至少50%的潮湿气氛,以将含硅-氧的层转换成氧化硅层。
本发明实施例包括在基板上形成氧化硅层的方法。所述方法包括:形成含硅-氮-氢的层。形成含硅-氮-氢的层包括:使未激发前驱物流入远程等离子体区,以产生自由基前驱物;在无等离子体基板处理区中,结合含硅前驱物与自由基前驱物;以及在基板上沉积含硅-氮-氢的层。所述方法进一步包括:在含臭氧气氛中,固化含硅-氮-氢的层,以将含硅-氮-氢的层转换成含硅-氧的层。所述方法进一步包括:把含硅-氧的层浸入包含氧与氢的液体溶液中,以将含硅-氧的层转换成氧化硅层。
附加实施例和特征在以下的具体实施方式中部分地进行了阐述,并且所述附加实施例和特征在本领域技术人员审阅说明书之后变得显而易见或者可通过实践本发明来知晓。借助于说明书所述的工具、组合和方法,可实现和获得本发明的特征和优点。
附图说明
藉由参照说明书的其余部分和附图,可进一步了解本发明的本质和优点,其中在各个附图中相同的附图标记用于指代相似的部件。在一些情况下,子标记与附图标记有关且接在连字号后面,以表示多个类似部件之一。当引用附图标记而不指明现有子标记时,拟指代所有此类多个类似部件。
图1为图示根据本发明实施例的用于制造氧化硅膜的选定步骤的流程图。
图2为图示根据本发明实施例的用于在基板间隙中形成氧化硅膜的选定步骤的另一流程图。
图3图示根据本发明实施例的基板处理系统。
图4A图示根据本发明实施例的基板处理腔室。
图4B图示根据本发明实施例的气体分配喷洒头。
具体实施方式
描述了一种形成氧化硅层的方法。所述方法首先通过自由基组分化学气相沉积(CVD)来沉积含硅-氮-氢(聚硅氮烷)的膜。通过在低基板温度下让聚硅氮烷膜暴露于湿气,将聚硅氮烷膜转换成氧化硅。还可把聚硅氮烷膜浸入具有氧与氢两者的液体(例如,水、过氧化氢和/或氢氧化铵)中。所述转换技术可单独或依序结合地使用。本文所述的转换技术加速了转换、产生了值得制造的膜,并且免除了对高温氧化处理的要求。臭氧处理可早于转换技术。
已发现让自由基组分CVD的含硅-氮-氢的膜暴露于潮湿气氛加速氧化硅转换的完成,如由傅立叶变换红外线光谱(FTIR)确定的。当未暴露于潮湿气氛时,所述膜的性质和化学计量会随时间变化。改变膜性质使制造工艺复杂化。已发现由如FTIR确定的本质上无氮含量表征,如本文所述的让膜暴露于湿气可快速且可再现地使膜性质进入稳态。已进一步发现使用除自由基组分CVD以外的方法沉积的聚硅氮烷膜无法实现稳态氧化硅膜。所述观察结果可能因相对更空旷的网络(network)所致,所述网络通过如藉由混合自由基前驱物与含硅前驱物来沉积含硅-氮的膜而制得。空旷网络容许湿气穿透到膜内更深处。如此可扩大在基板方向上的氧化物转换。已发现在不借助相对较高温的氧气气氛处理的情况下,让硅氮烷膜暴露于湿气可产生氧化硅。避免高温氧处理预期可保留在氧化预算内,进而增进装置产量和性能。还发现让含硅-氮-氢的膜暴露于含氧与氢的液体中可在低温下使膜转换成氧化硅。
已发现依据本文所包含的方法转换成氧化硅的许多自由基组分CVD膜呈现出当基板暴露于典型的洁净室气氛时不会析出(evolve)的性质。现在将描述与形成氧化硅层的方法和系统相关的额外细节。
示例性氧化硅形成工艺
图1为图示根据本发明实施例的制造氧化硅膜的方法100中的选定步骤的流程图。方法100包括:将含硅前驱物提供至基板处理区(102)。在实施例中,含硅前驱物未经等离子体激发,因此前驱物完整无缺地进入基板处理区。接着,仅由不久要引入的自由基前驱物提供激发。在本发明的实施例中,含硅前驱物可包含一些碳,或者含硅前驱物可为无碳。含硅前驱物为例如含硅-氮的前驱物、含硅-氢的前驱物、或者含硅-氮-氢的前驱物、以及其它种类的硅前驱物。如以下详细说明的,缺碳可降低沉积膜的收缩率。除了无碳以外,含硅前驱物可为无氧。缺氧会造成由前驱物形成的含硅-氮的层中的较低浓度的硅醇(Si-OH)基。沉积膜中的过量硅醇基元(moiety)将导致孔隙度和收缩率在自沉积层移除羟基(-OH)基元的后沉积步骤期间提高。
无碳的硅前驱物的特定实例可包括硅烷胺,例如H2N(SiH3)、HN(SiH3)2与N(SiH3)3、以及其它硅烷胺。在不同实施例中,硅烷胺的流率可大于或约为200标准毫升每分钟(sccm)、大于或约为300sccm、或者大于或约为500sccm。在此给出的所有流率参照双腔室基板处理系统。单一晶圆系统需要这些流率的一半,并且其它晶圆尺寸可需要按处理面积缩放流率。这些硅烷胺可与附加气体混合,附加气体可当作载气、反应气体、或者二者。示例性附加气体包括H2、N2、NH3、He与Ar、以及其它气体。无碳的含硅前驱物的例子还可包括单独或者与其它含硅(例如,N(SiH3)3)、氢(例如,H2)和/或氮(例如,N2、NH3)的气体混合的甲硅烷(SiH4)。无碳的含硅前驱物还可包括单独或者互相结合或与前述无碳的含硅前驱物结合的二硅烷、三硅烷、甚至更高级的硅烷、以及氯化硅烷。
同样,将自由基前驱物提供至基板处理区(104)。自由基前驱物描绘在基板处理区外部的等离子体激发中由任何稳定物质(惰性或反应性)产生的等离子体流出物。自由基前驱物可为含氮自由基前驱物,所述含氮自由基前驱物在此称作氮自由基前驱物。氮自由基前驱物为含氮自由基的前驱物,含氮自由基的前驱物在基板处理区外部由更稳定的氮前驱物产生。稳定前驱物在此可称作未激发前驱物,以表示前驱物尚未穿过等离子体。可在腔室等离子体区或者在处理腔室外部的远程等离子体系统(RPS)中活化包含NH3、联氨(N2H4)和/或N2的稳定氮前驱物化合物,以形成氮自由基前驱物,接着将氮自由基前驱物输送到基板处理区中以激发含硅前驱物。在不同实施例中,稳定氮前驱物还可为包含NH3与N2、NH3与H2、NH3与N2与H2、以及N2与H2的混合物。联氨还可用来代替或结合NH3,并且用于包含N2与H2的混合物。在不同实施例中,稳定氮前驱物的流率可大于或约为300sccm、大于或约为500sccm、或者大于或约为700sccm。在腔室等离子体区中产生的氮自由基前驱物可为·N、·NH、·NH2等中的一个或多个,并且还可伴有在等离子体中形成的离子化物质。氧源还可结合远程等离子体中更稳定的氮前驱物,以使膜预先充满氧,同时降低流动性。氧源可包括O2、H2O、O3、H2O2、N2O、NO或NO2中的一个或多个。
在采用腔室等离子体区的实施例中,氮自由基前驱物在与沉积区隔开的基板处理区的区段中产生,前驱物在所述沉积区中混合和反应以在沉积的基板(例如,半导体晶圆)上沉积含硅-氮的层。氮自由基前驱物还可伴随载气,例如氢气(H2)、氮气(N2)、氦气等。在含硅-氮-氢的层生长期间以及在低温臭氧固化期间,基板处理区在此可被描述成“无等离子体”。“无等离子体”未必意味着所述区缺乏等离子体。腔室等离子体区中的等离子体边界很难界定,并且可能经由喷洒头中的孔径超出基板处理区。在感应耦合的等离子体的情况下,例如可直接在基板处理区内开始少量离子化。另外,可在基板处理区中产生低强度等离子体,而不消除形成膜的流动性本质。在产生氮自由基前驱物期间,使等离子体的离子密度比腔室等离子体区低的所有原因未脱离如本文所述的“无等离子体”的范围。
在基板处理区中,无碳的硅前驱物与氮自由基前驱物混合和反应以在沉积的基板上沉积含硅-氮-氢的膜(106)。在实施例中,可使用一些制法组合来共形地沉积所述沉积的含硅-氮-氢的膜。在其它实施例中,不像常规氮化硅(Si3N4)膜沉积技术,所述沉积的含硅-氮-氢的膜具有流动性。在形成期间具有流动性本质允许膜在固化之前流入窄的特征。
含硅-氮-氢的膜中的氮源自自由基前驱物或未激发前驱物(或者二者)。在一些实施例中,无碳的含硅前驱物实质上为无氮。然而,在其它实施例中,无碳的含硅前驱物和氮自由基前驱物两者含有氮。在第三种实施例中,自由基前驱物实质上为无氮,并且含硅-氮-氢的层的氮可由无碳的含硅前驱物供应。如此,自由基前驱物在此可称作“氮和/或氢自由基前驱物”,此意指前驱物含有氮和/或氢。类似地,流入等离子体区以形成氮和/或氢自由基前驱物的前驱物可称作含氮和/或含氢前驱物。所述命名法可应用到所述的各个实施例。在实施例中,含氮和/或含氢前驱物包含氢气(H2),而氮和/或氢自由基前驱物包含·H等。
返回图1所示的特定实例,含硅-氮-氢的膜的流动性可能起因于将氢自由基前驱物与无碳的含硅前驱物混合所引起的各种性质。这些性质可包括沉积膜中的大量氢组分和/或短链聚硅氮烷聚合物的存在。在形成所述膜期间和之后,这些短链生长和网布以形成更致密的介电材料。例如,沉积膜可具有硅氮烷型、Si-NH-Si主干(即,无碳的Si-N-H膜)。当含硅前驱物与自由基前驱物两者均为无碳时,所述沉积的含硅-氮-氢的膜实质上也为无碳。当然,“无碳”未必意味着所述膜甚至缺少微量碳。在前驱物材料中可能存在碳污染物,所述前驱物材料进入所述沉积的含硅-氮的前驱物。然而,这些碳杂质量远比可在具有碳基元的硅前驱物(例如,TEOS、TMDSO等)中发现的碳杂质量少。
在沉积含硅-氮-氢的层之后,在臭氧中固化沉积的基板。固化阶段涉及让含硅-氮-氢的层暴露于含臭氧气氛(108)。在实施例中,在基板处理区外部产生臭氧并使臭氧流入基板处理区。在本发明的不同实施例中,等离子体功率可以或可以不被施加至基板处理区,以进一步激发臭氧气氛。在实施例中,缺乏等离子体避免了产生原子氧,所述原子氧会关闭近表面的网络且阻止次表面的氧化。由于相对比较稳定的臭氧穿透敞开的硅-氮-氢的层网络,氮的减少和氧的增加不仅在表面附近发生,而且在次表面区中发生。在实施例中,随后可将等离子体施加至基板处理区,以在另一臭氧固化阶段中激发臭氧气氛。
接着,描述应用到固化操作的各种参数。沉积的基板可留在基板处理区以供固化,或者基板可传送到引入含臭氧气氛的不同腔室。在不同实施例中,在任一/两个阶段期间的基板的固化温度可低于或约为300℃、低于或约为250℃、低于或约为225℃、或者低于或约为200℃。在不同实施例中,基板的温度可高于或约为室温(25℃)、高于或约为50℃、高于或约为100℃、高于或约为125℃、或者高于或约为150℃。根据附加的所述实施例,任何上限可结合任何下限以形成附加的基板温度范围。在所述实施例中,在固化操作期间臭氧进入基板处理区的流率(只有臭氧贡献)可大于500sccm、大于1标准升每分钟(slm)、或者大于2slm。在所述实施例中,在固化操作期间的臭氧分压可大于或约为20托耳、大于或约为30托耳、大于或约为50托耳、或者大于或约为100托耳。
固化操作将含硅-氮-氢的层改性成含硅-氧的层。藉由让含硅-氧的层暴露于潮湿环境,将含硅-氧的层转换成氧化硅(操作110)。在所述实施例中,可在用以固化的相同区域中提供潮湿环境,或者可把基板移到分离的处理站。在本发明实施例中,潮湿环境的相对湿度可大于50%、大于60%、大于70%、大于75%、大于80%、或者大于85%。在实施例中,基板温度可为室温(25℃)至约100℃、约40℃至约95℃、约50℃至约90℃、约60℃至约90℃、或者约70℃至约90℃。在本发明实施例中,湿气处理的持续时间(duration)可少于2分钟、少于5分钟、少于10分钟、少于30分钟、或者少于1小时。
臭氧固化操作通常在比湿气处理高的基板温度下进行。在实施例中,由于在相同区域内准确调整这些低温有点困难,因此可在分离的腔室/站中进行固化操作和湿气处理。把本文所述的低温湿气处理包括在内将不需要高温氧气气氛退火(例如,约400℃或更高)。在本发明实施例中,固化操作结合湿气处理可完成氧化硅转换工艺。在其它实施例中,只有湿气处理用于进行转换工艺。在任一情况下,去除高温氧处理容许在不氧化下层的情况下进行转换工艺。在氧气气氛中缺少高温退火使得集成电路制造商能够保留在氧化预算内。去除这些较高温氧退火增进了集成电路装置的产量和性能。虽然本文所述的本发明已排除氧化退火,但是在实施例中,可包括高温惰性退火,以密实氧化硅膜。惰性环境中的高温退火计入热预算,但是不计入更特定的氧化预算,每一预算被确定用于特定工艺流程且与所述特定工艺流程有关。
固化操作的含臭氧气氛和湿气处理的水分含量各自提供氧,以将含硅-氮-氢的膜转换成氧化硅(SiO2)膜。利用傅立叶变换红外线光谱学(FTIR)来分析Si-O、Si-OH和Si-N键的浓度。已发现仅在臭氧固化操作之后,峰值和相关浓度随时间变化。所述膜的析出性质使制造工艺流程复杂化。在实施例中,在首先经臭氧固化且接着经湿气处理之后,FTIR峰值不会随时间发展。
现在参照图2,根据本发明实施例图示另一流程图,所述流程图示出用于在基板间隙中形成氧化硅膜的方法200中的选定步骤。基板可具有多个间隙供形成于基板上的装置部件(例如晶体管)间隔和组织。所述间隙的高度与宽度定义高度与宽度(即,H/W)的深宽比(AR),所述AR明显大于1:1(例如,5:1或以上、6:1或以上、7:1或以上、8:1或以上、9:1或以上、10:1或以上、11:1或以上、12:1或以上等)。在许多情况下,高AR因范围为约90nm至约22nm或以下(例如,小于90nm、65nm、50nm、45nm、32nm、22nm、16nm等)的小间隙宽度所致。
在基板处理区中,使含硅前驱物与自由基前驱物混合(操作204)。在基板上沉积流动性的含硅-氮-氢的层(操作206)。由于所述层具有流动性,因此所述层可填充具有高深宽比的间隙,而不在填充材料中心附近形成孔隙或细缝。例如,沉积的流动性材料不太可能在间隙完全填充之前过早地堵塞间隙顶部,以致在间隙中间留下孔隙。
接着在固化操作(208)中,固化如沉积的含硅-氮-氢的层,所述固化操作具有与在图1的操作108的描述中略述的实施例一样的实施例。以所述方式,将含硅-氮-氢的层转换成含硅-氧的层。
接着把基板传送出含臭氧气氛,并且把含硅-氧的层浸入包含氧与氢两者的液体溶液中(操作210),以完成氧化硅层转换。在本发明实施例中,由于液体溶液步骤的存在,在含氧环境中的进一步退火不是必要的。如本文所述,臭氧固化和把所得膜浸入液浴中可在基板上(包括基板间隙)形成氧化硅层(208)。如上所述,氧化硅层比起以含碳前驱物形成的类似层有较少孔洞和较少体积缩减,在热处理步骤之前所述含碳前驱物有大量碳存在所述类似层中。在许多情况下,使体积稍微适度缩小(例如,约15体积%或以下),以免后热处理步骤填充、治愈或以其它方式消除因氧化硅收缩而在间隙内形成的空间。在一些实施例中,沟槽中的氧化硅层实质上为无孔隙。
在把固化膜浸入液浴的操作期间,液浴、基板和固化膜可维持在相同温度。在实施例中,液浴可为室温(25℃)至约100℃、约40℃至约95℃、约50℃至约90℃、约60℃至约90℃、或者约70℃至约90℃。在本发明实施例中,液浴浸没的持续时间可少于2分钟、少于5分钟、少于10分钟、少于30分钟、少于1小时、少于2小时、或者少于5小时。在本发明实施例中,已发现一旦已如所述依序以臭氧固化和液浴来处理硅-氮-氢的层,就不需后续高温氧退火。发明人进一步发现在一些情况下,液浴可足以将含硅-氧的层转换成氧化硅。达成氧化硅都不需前置臭氧固化或后续高温氧退火。FTIR再次用来确定在完成基板处理之后,这种氧化硅膜不会显示随时间发展的峰高与位置。在经基板处理之后,并且当基板和膜暴露于典型洁净室气氛时,FTIR的结果实质上不变。
液浴或液体溶液包含氧与氢,且可包括水、过氧化氢或氢氧化铵中的一个或多个。在浸入操作210期间,把硅-氧膜浸入液体溶液中,并且在一些实施例中,基板可浸没在液体溶液中。在实施例中,液体溶液可为SC1或SC2浴。液体溶液可包含去离子水、至少10%的氢氧化铵和至少10%的过氧化氢。所有百分比在此按体积给出。液体溶液可包含去离子水、至少10%的氢氯酸和至少10%的过氧化氢。其它液浴可设计成具有氧与氢两者。发明人还发现当pH下降至酸性范围或上升至碱性范围时,氧化硅的转换速率提高。可在对示例性氧化硅沉积系统的描述期间引入附加参数。
示例性氧化硅沉积系统
可实现本发明实施例的沉积腔室可包括高密度等离子体化学气相沉积(HDP-CVD)腔室、等离子体增强化学气相沉积(PECVD)腔室、次常压化学气相沉积(SACVD)腔室、热化学气相沉积腔室、以及其它类型的腔室。可实现本发明实施例的CVD系统的特定实例包括CENTURAULTIMAHDP-CVD腔室/系统和PRODUCERPECVD腔室/系统,所述腔室/系统可取自美国加利福尼亚州圣克拉拉市的应用材料公司(AppliedMaterials,Inc.)。
可与本发明示例性方法一起使用的基板处理腔室的实例可包括在Lubomirsky等共同转让的美国临时专利申请第60/803,499号、2006年5月30日提交、名称为“用于电介质填隙的处理腔室(PROCESSCHAMBERFORDIELECTRICGAPFILL)”中所示和所述的基板处理腔室,所述临时专利申请为所有目的以引用方式并入本文中。附加示例性系统可包括在美国专利第6,387,207号与第6,830,624号中所示及所述的系统,所述专利还为所有目的以引用方式并入本文中。
沉积系统实施例可并入更大的制造系统来制造集成电路芯片。图3图示根据所述实施例的沉积、烘烤和固化腔室的一种此类系统300。在所述图中,一对前开式晶圆传送盒(FOUP)302供应基板(例如,直径300毫米(mm)的晶圆),所述基板由机器人手臂304接收,且基板在放入晶圆处理腔室308a-f之一之前,放到低压保持(holding)区306。第二机器人手臂310可用于将基板晶圆从保持区306传送到处理腔室308a-f以及回传。
处理腔室308a-f可包括一个或多个系统部件,用以沉积、退火、固化和/或蚀刻基板晶圆上的流动性介电膜。在一种配置下,两对处理腔室(例如,308c-d和308e-f)可用于在基板上沉积流动性介电材料,并且第三对处理腔室(例如,308a-b)可用于退火经沉积的电介质。在另一配置下,相同的两对处理腔室(例如,308c-d和308e-f)可被配置以沉积和退火基板上的流动性介电膜,而第三对腔室(例如,308a-b)可用于UV或电子束固化沉积膜。在又一配置下,所有三对腔室(例如,308a-f)可被配置以沉积和固化基板上的流动性介电膜。在再一配置下,两对处理腔室(例如,308c-d和308e-f)可用于沉积以及UV或电子束固化流动性电介质,而第三对处理腔室(例如,308a-b)可用于退火介电膜。在不同实施例中,对于与所示制造系统分离的腔室,可进行所述任何一个或多个工艺。
此外,处理腔室308a-f中的一个或多个可被配置成湿式处理腔室。这些处理腔室包括在包括水分的气氛中加热流动性介电膜。因此,系统300的实施例可包括湿式处理腔室308a-b和退火处理腔室308c-d,以对沉积的介电膜进行湿式和干式退火。
图4A为根据所述实施例的基板处理腔室400。远程等离子体系统(RPS)410可处理气体,所述气体接着行经气体入口组件411。在气体入口组件411内可见两个不同的气体供应通道。第一通道412运载气体,所述气体穿过远程等离子体系统(RPS)410,而第二通道413绕过RPS410。在所述实施例中,第一通道412可用于工艺气体,并且第二通道413可用于处理气体。盖子(或导电顶部)421和穿孔隔板(喷洒头453)被显示为在两者之间有绝缘环424,以允许相对于喷洒头453将AC电位施加至盖子421。工艺气体行经第一通道412而进入腔室等离子体区420,并且可由腔室等离子体区420中的等离子体单独或结合RPS410激发工艺气体。腔室等离子体区420和/或RPS410的组合在此称作远程等离子体系统。穿孔隔板(也称作喷洒头)453隔开腔室等离子体区420和喷洒头453下方的基板处理区470。喷洒头453容许等离子体存在于腔室等离子体区420,以免直接激发基板处理区470中的气体,同时仍然允许激发物质从腔室等离子体区420进入基板处理区470。
喷洒头453设在腔室等离子体区420与基板处理区470之间,并且喷洒头453容许腔室在等离子体区420内产生的等离子体流出物(前驱物或其它气体的激发衍生物)穿过多个穿孔456,所述穿孔456横跨板材厚度。喷洒头453还具有一个或多个中空容积451,所述中空容积451可填充有蒸汽或气体形式的前驱物(例如,含硅前驱物),并且所述前驱物经由小孔455进入基板处理区470,但是不直接进入腔室等离子体区420。在此所述实施例中,喷洒头453比穿孔456的最小直径450的长度厚。为了维持显著浓度的激发物质从腔室等离子体区420穿透至基板处理区470,可通过形成穿孔456的较大直径部分穿过喷洒头453,以限制穿孔的最小直径450的长度426。在所述实施例中,穿孔456的最小直径450的长度可与穿孔456的最小直径一样量级或更小。
在所示实施例中,喷洒头453可分配(经由穿孔456)工艺气体和/或这些工艺气体在经腔室等离子体区420中的等离子体激发之后产生的等离子体流出物,所述工艺气体含有氧、氢和/或氮。在实施例中,经由第一通道412引入RPS410和/或腔室等离子体区420的工艺气体可含有氧气(O2)、臭氧(O3)、N2O、NO、NO2、NH3、包括N2H4的NxHy、甲硅烷、二硅烷、TSA和DSA中的一种或多种。工艺气体还可包括载气,例如氦气、氩气、氮气(N2)等。第二通道413还可输送工艺气体和/或载气和/或用以自生长或如沉积的膜移除不想要的组分的膜固化气体(例如,O3)。等离子体流出物可包括工艺气体的离子化或中性衍生物,且在此还可称作氧自由基前驱物和/或氮自由基前驱物,所述前驱物与引入的工艺气体的原子成分有关。
在实施例中,穿孔456的数量可为约60至约2000个。穿孔456可具有各种形状,但是最容易制作成圆形。在所述实施例中,穿孔456的最小直径450可为约0.5mm至约20mm、或者约1mm至约6mm。在选择穿孔截面形状方面也有余地,所述穿孔截面可制作成圆锥形、圆柱形、或者两种形状的组合。在不同实施例中,用以将气体引入基板处理区470的小孔455的数量可为约100至约5000个或者约500至约2000个。小孔455的直径可为约0.1mm至约2mm。
图4B为根据所述实施例的与处理腔室一起使用的喷洒头453的底视图。喷洒头453对应于图4A所示的喷洒头。穿孔456绘示成在喷洒头453的底部有较大内径(ID),且在顶部有较小ID。小孔455实质上均匀地分布在喷洒头表面、甚至在穿孔456之间,如此有助于提供比所述其它实施例更均匀的混合。
当经由喷洒头453中的穿孔456到来的等离子体流出物结合经由源自中空容积451的小孔455到来的含硅前驱物时,在基板上形成示例性膜,所述基板由基板处理区470内的基座(未图示)支撑。虽然基板处理区470可装配以支持等离子体以供其它工艺,例如固化,但是在示例性膜生长期间,不存在等离子体。
可在喷洒头453上方的腔室等离子体区420或喷洒头453下方的基板处理区470中点燃等离子体。在腔室等离子体区420中存在等离子体,以从含氮-氢的气体的流入物产生氮自由基前驱物。在处理腔室的导电顶部(盖子421)与喷洒头453之间施加AC电压,以在沉积期间点燃腔室等离子体区420中的等离子体,所述AC电压通常处于射频(RF)范围。RF电源产生13.56兆赫(MHz)的RF高频,但是RF电源也可单独或结合13.56MHz的频率产生其它频率。
在第二固化阶段或清洁界定基板处理区470的内面期间,当基板处理区470中的底部等离子体开启时,顶部等离子体可处于低或无功率。藉由在喷洒头453与腔室的基座或底部之间施加AC电压,点燃基板处理区470中的等离子体。当等离子体存在时,可将清洁气体引入基板处理区470。
基座可具有热交换通道,热交换流体流经热交换通道,以控制基板温度。所述构造允许冷却或加热基板温度,以维持相对较低的温度(从室温至约120℃)。热交换流体可包含乙二醇和水。还可利用嵌入式单回路加热元件,电阻加热基座的晶圆支撑盘(较佳为铝、陶瓷、或者上述物质的组合物)以达到相对较高的温度(从约120℃至约1100℃),所述加热元件以平行同心圆形式配置成完整两圈。加热元件的外部可邻接支撑盘周围运作,而内部在半径较小的同心圆路径运作。加热元件的接线穿过基座主干。
基板处理系统受控于系统控制器。在示例性实施例中,系统控制器包括硬盘驱动器、软盘驱动器和处理器。处理器含有单板计算机(SBC)、模拟与数字输入/输出板、接口板和步进马达控制板。CVD系统的各种零件都符合规范板、卡笼和连接器尺寸与类型的VersaModularEuropean(VME)标准。VME标准还定义具有16位数据总线与24位地址总线的总线结构。
系统控制器控制沉积系统的所有动作。系统控制器执行系统控制软件,所述软件为储存在计算机可读介质的计算机程序。较佳地,介质为硬盘驱动器,但是介质还可为其它类型的存储器。计算机程序包括指定特定工艺的时序、混合气体、腔室压力、腔室温度、RF功率水平、晶座位置和其它参数的指令集。储存在其它存储器装置(例如,包括软盘或其它适合驱动器)的其它计算机程序还可用来指示系统控制器。
可利用由系统控制器执行的计算机程序产品来施行用于在基板上沉积膜叠层、将膜转换成氧化硅的工艺或者用于清洁腔室的工艺。计算机程序代码可以任何传统计算机可读编程语言编写:例如,68000汇编语言、C、C++、Pascal、Fortran或其它语言。适当的程序代码利用传统文字编辑器输入单一文件或多个文件,并且储存或收录在诸如计算机的存储器系统之类的计算机可用介质中。如果输入码文本为高级语言,则进行编码,并且产生的编译器代码接着于预先编译的MicrosoftWindows库例程的目标代码链接。为了执行链接的编译目标代码,系统用户调用目标代码,使计算机系统加载存储器中的代码。CPU接着读取和执行代码,以进行在程序中识别的任务。
用户与控制器之间间的界面经由平板触敏显示器。在较佳实施例中,采用两个显示器,一个显示器装设在洁净室壁中以供操作员使用,另一显示器放置在壁后方以供维修技师使用。两个显示器可同时显示相同的信息,在所述情况下一次只有一个显示器接受输入。为了选择特定画面或功能,操作员触碰触敏显示器的指定区域。触碰区域改变醒目颜色,或者显示新的菜单或画面,以确定操作员与触敏显示器之间的通信。代替触敏显示器或者除了触敏显示器以外,可使用诸如键盘、鼠标或其它点触或通信装置之类的其它装置,以允许用户与系统控制器通信。
如本文使用的,“基板”可为在所述基板上具有或不具有多个层的支撑基板。支撑基板可为绝缘体或者具有各种掺杂浓度与轮廓的半导体,并且可以是例如用于制造集成电路的类型的半导体基板。“氧化硅”层可包括低浓度的其它元素成分,例如氮、氢、碳等。在一些实施例中,氧化硅本质上由硅与氧组成。术语“前驱物”用于指代参与反应以自表面移除材料或在表面上沉积材料的任何工艺气体。处于“激发态”的气体描绘其中至少一些气体分子处于振动激发、游离和/或离子化状态的气体。气体(或前驱物)可为二种或更多种气体(或前驱物)的组合物。“自由基前驱物”用于描绘等离子体流出物(正退出等离子体的激发态的气体),所述等离子体流出物参与反应以自表面移除材料或在表面上沉积材料。“氮自由基前驱物”是含氮的自由基前驱物,并且“氢自由基前驱物”是含氢的自由基前驱物。词语“惰性气体”指当蚀刻或并入膜时不会形成化学键的任何气体。示例性惰性气体包括稀有气体,但是也可包括其它气体,只要微量(通常)陷入膜时不会形成化学键即可。
通篇所用术语“沟槽”并非暗示蚀刻的几何形状具有大的横向深宽比。从表面上方观看,沟槽可呈圆形、椭圆形、多边形、矩形、或各种其它形状。术语“通孔”用于指低深宽比沟槽,通孔可以或可不填有金属以形成纵向电连接。如在此使用的,共形层指与所述表面具有相同形状的表面上大致均匀的材料层,即所述层的表面和待覆盖的表面大致平行。本领域普通技术人员将理解沉积材料不太可能是100%共形,并且由此术语“大致”允许可接受容限。
根据上述数个实施例,本领域技术人员将理解,可使用各种修改、替换构造和等同物,而不脱离本发明的精神。此外,大量熟知的工艺和元件并未提及,以免不必要地混淆本发明。因此,以上说明不应视为限制本发明的范围。
应理解除非上下文特别指明,否则提供的数值范围到下限单位的十分之一还明确揭示介于所述范围上限与下限之间的中间值。介于论述范围内任何论述值或中间值与论述范围内的任何其它论述值或中间值之间的每一较小范围也包含在内。这些较小范围的上限与下限可独立地涵盖在所述范围内或排除在所述范围以外,并且取决于论述范围中的任何特别排除的限值,本发明还包含在较小范围中包括上限和/或下限的每一范围。当论述范围包括限值中的一个或二个时,排除那些所包括的限值中的任一个或者两个的范围也包括在内。
除非上下文清楚地指明,否则如本文和后附权利要求书使用的,单数形式“一”、“一个”和“所述”包括复数引用。由此,例如,对“一个工艺”的引用包括多个此类工艺,并且对“所述前驱物”的引用包括引用一种或多种前驱物以及本领域技术人员已知的等同物等。
同样,本说明书和以下权利要求书采用的词语“包含”、“包括”、“含有”和“含”旨在指定所述特征、整体、部件或步骤的存在,但是并不排除一个或多个其它特征、整体、部件、步骤、动作或群组的存在或添加。

Claims (11)

1.一种在基板上形成氧化硅层的方法,所述方法包含:
通过下列步骤形成含硅-氮-氢的层:
使未激发前驱物流入远程等离子体区,以产生自由基前驱物;
在无等离子体的基板处理区中,结合含硅前驱物与所述自由基前驱物;以及
在所述基板上沉积所述含硅-氮-氢的层;
在含臭氧气氛中,固化所述含硅-氮-氢的层,以将所述含硅-氮-氢的层转换成含硅-氧的层;以及
让所述含硅-氧的层暴露于相对湿度为至少50%的潮湿气氛,以将所述含硅-氧的层转换成所述氧化硅层,其中在所述暴露操作期间,所述基板温度高于或等于25℃且低于100℃。
2.如权利要求1所述的方法,其中所述潮湿气氛具有至少75%的相对湿度。
3.如权利要求1所述的方法,其中在所述固化操作期间,所述基板温度高于或等于125℃且低于或等于225℃。
4.如权利要求1所述的方法,其中让所述含硅-氧的层暴露于所述潮湿气氛持续不到5小时。
5.如权利要求1所述的方法,其中让所述含硅-氧的层暴露于所述潮湿气氛持续不到2小时。
6.如权利要求1所述的方法,其中所述氧化硅层本质上由硅与氧组成。
7.如权利要求1所述的方法,其中所述含硅-氮-氢的层在沉积期间具有流动性。
8.如权利要求1所述的方法,其中所述基板经图案化且具有沟槽,所述沟槽的宽度为50纳米或更小。
9.如权利要求1的所述方法,其中所述含硅前驱物和所述含硅-氮-氢的层各自为无碳。
10.如权利要求1的所述方法,其中所述未激发前驱物包含联氨(N2H4)、氨气(NH3)、氮气(N2)和氢气(H2)中的至少一个。
11.如权利要求1所述的方法,其中所述含硅前驱物包含N(SiH3)3
CN201280018583.XA 2011-04-20 2012-03-30 低温氧化硅转换 Active CN103477422B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161477515P 2011-04-20 2011-04-20
US61/477,515 2011-04-20
US13/237,131 US8445078B2 (en) 2011-04-20 2011-09-20 Low temperature silicon oxide conversion
US13/237,131 2011-09-20
PCT/US2012/031640 WO2012145148A2 (en) 2011-04-20 2012-03-30 Low temperature silicon oxide conversion

Publications (2)

Publication Number Publication Date
CN103477422A CN103477422A (zh) 2013-12-25
CN103477422B true CN103477422B (zh) 2016-06-29

Family

ID=47021545

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280018583.XA Active CN103477422B (zh) 2011-04-20 2012-03-30 低温氧化硅转换

Country Status (6)

Country Link
US (1) US8445078B2 (zh)
JP (1) JP5600368B2 (zh)
KR (1) KR101445344B1 (zh)
CN (1) CN103477422B (zh)
TW (1) TWI463566B (zh)
WO (1) WO2012145148A2 (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10343907B2 (en) 2014-03-28 2019-07-09 Asm Ip Holding B.V. Method and system for delivering hydrogen peroxide to a semiconductor processing chamber
US20150340274A1 (en) * 2014-05-23 2015-11-26 GlobalFoundries, Inc. Methods for producing integrated circuits with an insultating layer
US9431238B2 (en) 2014-06-05 2016-08-30 Asm Ip Holding B.V. Reactive curing process for semiconductor substrates
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
CN116504679A (zh) * 2017-05-01 2023-07-28 应用材料公司 具有真空隔离和预处理环境的高压退火腔室
KR20180134048A (ko) 2017-06-08 2018-12-18 에스케이하이닉스 주식회사 전자 장치 및 그 제조방법
WO2019025392A1 (en) 2017-08-03 2019-02-07 Merck Patent Gmbh QUANTUM PERFORMANCE RECOVERY
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10818490B2 (en) 2017-12-15 2020-10-27 Applied Materials, Inc. Controlled growth of thin silicon oxide film at low temperature
US20220235456A1 (en) * 2019-07-18 2022-07-28 Tokyo Electron Limited Method for forming insulation film
KR20210021420A (ko) 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
CN110676154A (zh) * 2019-09-26 2020-01-10 上海华力微电子有限公司 一种提高聚硅氮烷膜质转换效率的方法
US20230030436A1 (en) * 2021-07-30 2023-02-02 Applied Materials, Inc. Plasma treatment process to densify oxide layers

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7521378B2 (en) * 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
CN101418438A (zh) * 2007-10-22 2009-04-29 应用材料股份有限公司 通过来自乙硅烷前体的远程等离子体cvd的高质量氧化硅膜

Family Cites Families (344)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4910043A (en) 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
KR930009549B1 (ko) 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
US5356722A (en) * 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2871580B2 (ja) 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6187682B1 (en) 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
TW445570B (en) 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
EP1095958B1 (en) 1999-10-25 2006-02-08 Dow Corning Corporation Soluble silicone resin compositions
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
KR100498834B1 (ko) 2000-04-04 2005-07-04 아사히 가세이 가부시키가이샤 절연 박막 제조용 코팅 조성물
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
JP3990920B2 (ja) 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
JP2003017556A (ja) 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
EP1417474B1 (en) 2001-07-25 2021-12-29 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
KR100428768B1 (ko) 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (ja) 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
JP3868324B2 (ja) 2002-04-15 2007-01-17 三菱電機株式会社 シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6734082B2 (en) 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP2004311827A (ja) * 2003-04-09 2004-11-04 Seiko Epson Corp 絶縁膜の形成方法、トランジスタの製造方法、電気光学装置、集積回路、並びに電子機器
JP4140768B2 (ja) 2003-04-24 2008-08-27 株式会社日立国際電気 半導体原料
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
EP1695448A4 (en) 2003-12-17 2007-06-06 Cedraeus Inc METHOD FOR RANDOM DECISION-MAKING PROCESS
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
WO2005078784A1 (ja) 2004-02-17 2005-08-25 Toagosei Co., Ltd. シリコン酸化膜の製造方法
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
WO2005121397A2 (en) 2004-06-04 2005-12-22 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
TW200619416A (en) 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP5091428B2 (ja) 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7544603B2 (en) 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
TW200737307A (en) 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
TWI389250B (zh) * 2006-01-18 2013-03-11 Az Electronic Mat Ip Japan Kk 矽石質膜之製法及附有由它製造的矽石質膜之基板
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4618178B2 (ja) 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
KR101304726B1 (ko) 2006-04-03 2013-09-05 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 화학적 증착에 의한 질화규소 필름 및/또는 산화질화규소 필름의 침착 방법
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080038486A1 (en) 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7781352B2 (en) * 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090068179A (ko) 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8329587B2 (en) * 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (ja) 2010-04-05 2011-11-04 Denso Corp 排気ガス循環装置
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
JP5566845B2 (ja) 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7521378B2 (en) * 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
CN101418438A (zh) * 2007-10-22 2009-04-29 应用材料股份有限公司 通过来自乙硅烷前体的远程等离子体cvd的高质量氧化硅膜

Also Published As

Publication number Publication date
TWI463566B (zh) 2014-12-01
WO2012145148A2 (en) 2012-10-26
CN103477422A (zh) 2013-12-25
TW201248723A (en) 2012-12-01
KR101445344B1 (ko) 2014-09-29
KR20130135392A (ko) 2013-12-10
JP5600368B2 (ja) 2014-10-01
US8445078B2 (en) 2013-05-21
JP2014512687A (ja) 2014-05-22
US20120269989A1 (en) 2012-10-25
WO2012145148A3 (en) 2012-12-27

Similar Documents

Publication Publication Date Title
CN103477422B (zh) 低温氧化硅转换
TWI544548B (zh) 形成使用氧化物襯墊的可流動介電質之方法
US8466073B2 (en) Capping layer for reduced outgassing
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
KR102011079B1 (ko) 감소된 아웃개싱을 위한 표면 처리 및 증착
TWI535882B (zh) 使用非碳可流動cvd製程形成氧化矽的方法
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
CN102687252A (zh) 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
TW201126010A (en) Curing non-carbon flowable CVD films
TW201137976A (en) Chemical vapor deposition improvements through radical-component modification
JP2013545284A (ja) アミン硬化ケイ素−窒化物−水素化物膜
JP2013508975A (ja) 引張膜のための応力管理
CN103348456A (zh) 自由基蒸汽化学气相沉积
TW201323649A (zh) 遠端電漿燒入處理
TW201134975A (en) In-situ ozone cure for radical-component CVD
US20140329027A1 (en) Low temperature flowable curing for stress accommodation

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant