CN103869769A - Computation of statistics for statistical data decimation - Google Patents

Computation of statistics for statistical data decimation Download PDF

Info

Publication number
CN103869769A
CN103869769A CN201310689280.7A CN201310689280A CN103869769A CN 103869769 A CN103869769 A CN 103869769A CN 201310689280 A CN201310689280 A CN 201310689280A CN 103869769 A CN103869769 A CN 103869769A
Authority
CN
China
Prior art keywords
value
variable
module
generator
impedance matching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310689280.7A
Other languages
Chinese (zh)
Other versions
CN103869769B (en
Inventor
安德鲁·S·方
约翰·C·小瓦尔考
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/086,883 external-priority patent/US9295148B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN103869769A publication Critical patent/CN103869769A/en
Application granted granted Critical
Publication of CN103869769B publication Critical patent/CN103869769B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

The invention relates to computation of statistics for statistical data decimation, and especially describes a system and method for statistical data decimation. The method includes receiving a variable from a radio frequency (RF) system, propagating the variable through a model of the RF system, and counting an output of the model for the variable to generate a count. The method further includes determining whether the count meets a count threshold, generating a statistical value of the variable at the output of the model upon determining that the count meets the count threshold, and sending the statistical value to the RF system to adjust the variable.

Description

The statistical computation of extracting for statistics
Technical field
The embodiment of the present invention relates to carries out statistics judgement and the use of statistical value in plasma system.
Background technology
In plasma system, generate radio frequency (RF) signal by generator.Signal is passed to plasma reactor to generate plasma in plasma reactor.The plasma forming in plasma reactor is for various application, and for example, clean wafer, deposits a material on wafer, etched wafer, etc.
The characteristic of desired control plasma is to control application.For example, desired control plasma uniformity is to reach etch rate.As another example, desired control plasma power is to reach rate of sedimentation.
For control characteristic, utilize the sensor in plasma system to carry out measurement characteristics.
Under this background, there is the embodiment described in the disclosure.
Summary of the invention
Embodiment of the present disclosure provides for generating statistical value to reduce device, the method and computer program of the data volume being associated with the model in plasma system.Should be understood that, the present embodiment can be implemented in many ways, for example, implements with the method on process, device, system, equipment or computer-readable medium.Multiple embodiment are described below.
In certain embodiments, statistical value is used for controlling plasma chamber or produces RF signal.For example, not to analyze in whole values of the variable of the output of computer-generated model to control plasma chamber, but generate statistical value by described value, and judge that described statistical value is whether within preset range.Determining described statistical value within preset range time, article on plasma fluid chamber is not controlled, and for example, does not adjust the RF signal that is supplied to plasma chamber, etc.On the other hand, determining statistical value not outside preset range time, utilize statistical value to control plasma chamber, for example, generate the RF signal that is supplied to plasma chamber based on statistical value, etc.
In each embodiment, a kind of method comprises: receive from radio frequency (RF) system variable; Variable Transmission is passed through to the model of RF system; And for described variable, the output of model is counted to generate counting.Described method also comprises: judge whether described counting meets count threshold; In the time determining described counting and meet count threshold, generate the statistical value of variable in the output of described model; And statistical value is sent to RF system with regulated variable.
In each embodiment, a kind of method comprises: receive the data that are associated with variable from radio frequency (RF) generator.RF generator is configured to produce the RF signal for the treatment of to be fed into via impedance matching circuit plasma chamber.Variable is associated with RF system, and RF system comprises RF generator, impedance matching circuit and plasma chamber.Described method also comprises: data based on received and be created on the value of the output of computer-generated model; Amount to the value from computer-generated model output is counted; Judge whether described amount exceedes count threshold; Generate statistical value in response to determining that described amount exceedes count threshold by the data that generate from described computer-generated model output; And described statistical value is sent to RF generator to regulate the RF signal being produced by described RF generator.
In certain embodiments, a kind of method comprises: receive the data that are associated with variable from radio frequency (RF) generator.RF generator is for generation of the RF signal for the treatment of to be fed into via impedance matching circuit plasma chamber.Variable is associated with RF system, and RF system comprises RF generator, impedance matching circuit and plasma chamber.Described method comprises: the data based on received are created on the value of the output of computer-generated model; Amount to the value from computer-generated model output is counted; Judge whether described amount exceedes count threshold; Exceed count threshold and generate statistical value by the value of exporting from computer-generated model in response to determining described amount; Judge that described statistical value is whether outside preset range; Regulate described statistical value within described preset range in response to determining described statistical value outside preset range; Thereby and regulate to control RF generator the RF signal being produced by RF generator by send to RF generator through the statistical value regulating.
In multiple embodiment, a kind of method comprises: receive the data that are associated with variable from radio frequency (RF) generator.RF is for generation of the RF signal for the treatment of to be fed into via impedance matching circuit plasma chamber.Variable is associated with RF system, and RF system comprises RF generator, impedance matching circuit and plasma chamber.Described method also comprises: the data based on received are created on the value of the output of computer-generated model; Amount to the value from computer-generated model output is counted; Judge whether described amount exceedes count threshold; And exceed count threshold and generate statistical value by the value of exporting from computer-generated model in response to determining described amount.Described method comprises: whether decision statistic value is outside presumptive area; Produce indicating fault in response to determining statistical value outside presumptive area; And described indicating fault is sent to RF generator.
Some advantages of the one or more embodiment that describe in the disclosure comprise: control plasma chamber with statistical value instead of in whole values of the variable of the output of computer-generated model.For example, be not to judge that described value is whether within preset range, but whether decision statistic value is within preset range.Determining statistical value within preset range time, do not make any change of further control plasma chamber.On the other hand, determining statistical value outside preset range time, the statistical value after statistical value is made a change to control plasma chamber and acquired change.
Use statistical value instead of saved in whole values of the output of computer-generated model the processing cost being associated with processing costs.For example, not to use multiple servers, such as server zone etc., carry out processing costs to generate statistical value based on described value and to control plasma chamber, but multiple processor, for example, one, two, etc., be enough to generate statistical value and control plasma chamber based on statistical value.
Other advantage of the one or more embodiment that describe in the disclosure comprises: extract received data after the statistical value that generates variable.The extraction of data has been created empty position in the memory device of console controller.Empty position is for receiving the more data about the variable being associated with plasma system.
By reference to the accompanying drawings, other scheme will become apparent in the following detailed description.
Brief description of the drawings
By reference to the explanation of carrying out below in conjunction with accompanying drawing, can understand best embodiment.
Fig. 1 be according to the described embodiment of the disclosure for generating the block diagram of plasma system of statistical value of variable.
Fig. 2 be according to the described embodiment of the disclosure for generating the figure of another plasma system of statistical value of variable.
Fig. 3 is the figure according to the host computer system of Fig. 1 of the described embodiment of the disclosure or the plasma system of Fig. 2.
Fig. 4 is the figure according to another host computer system of Fig. 1 of the described embodiment of the disclosure or the plasma system of Fig. 2.
Fig. 5 be according to the described embodiment of the disclosure for illustrating the figure of memory device that uses pointer accessing memory position.
Fig. 6 is the figure according to the inserting sorting operation of the described embodiment of the disclosure.
Fig. 7 is the figure according to the memory array that illustrates merge sort operation of the described embodiment of the disclosure.
Fig. 8 is the figure according to the memory device that illustrates the compare operation in merge sort operation of the described embodiment of the disclosure.
Fig. 9 is the figure that extracts (SD) module according to the statistics of Fig. 1 of the described embodiment of the disclosure or the plasma system of Fig. 2.
Figure 10 is the figure according to the SD module of Fig. 1 of the described embodiment of the disclosure or the plasma system of Fig. 2.
Figure 11 is the figure according to the embodiment of the timeslice method of sampling of the fault in compensation biasing and/or the detection plasma system of the described embodiment of the disclosure.
Figure 12 be according to the described embodiment of the disclosure for generating the block diagram of SD module of mobile variance.
Figure 13 be according to the described embodiment of the disclosure for generating the process flow diagram of method of statistical value.
Embodiment
The following examples have been described the system and method extracting for carrying out statistics.Obviously, can implement embodiments of the invention in the case of not having some or all in these details.In other example, for fear of unnecessarily making embodiments of the invention fuzzy, known processing operation is not elaborated.
Fig. 1 is the block diagram of the embodiment of the plasma system 100 of the statistical value for generating variable.Plasma system 100 comprises host computer system 140, x megahertz (MHz) radio frequency (RF) generator, y MHz RF generator, and z MHz RF generator.Each RF generator comprises controller.For example, x MHz RF generator comprises x controller, and y MHz RF generator comprises y controller, and z MHz RF generator comprises z controller.The example of x MHz, y MHz or z MHz comprises 2MHz, 27MHz and 60MHz.In certain embodiments, x MHz is 2MHz, and y MHz is 27MHz, and z MHz is 60MHz.And host computer system 140 comprises console controller 150.
In certain embodiments, controller comprises processor and memory device.In multiple embodiment, processor is CPU (central processing unit) (CPU) or microprocessor or special IC (ASIC) or programmable logic device (PLD) (PLD) etc.The example of memory device comprises ROM (read-only memory) (ROM), random-access memory (ram) or its combination.In each embodiment, memory device is flash memory or memory disk redundant array (RAID) or hard disk etc.
X controller is coupled via cable 144 and host computer system 140, and cable 144 comprises connected in series or parallel join or parallel serial parallel interface (PSPI).Similarly, y MHz RF generator is via cable and host computer system coupling, and z MHz RF generator is coupled via cable and host computer system 140.Each cable that RF generator and host computer system 140 are coupled comprises connected in series or parallel join or parallel serial parallel interface (PSPI).
Plasma system 100 also comprises impedance matching circuit 106 and plasma chamber 111.Impedance matching circuit 106 is connected with plasma chamber 111 via RF transmission line 132.In certain embodiments, a part for RF transmission line 132 comprises the RF cable of the insulator encirclement of being surrounded by RF tunnel, and another part of guiding chuck 152 into of RF transmission line 132 is surrounded by RF cylinder.
Impedance matching circuit will be connected to the impedance and the impedance matching in source that is connected to impedance matching circuit of load of impedance matching circuit.Source provides RF energy to load, load consumption RF energy.The example in source comprises one or more in x, y and z RF generator, and one or more in the RF cable that RF generator and impedance matching circuit 106 are coupled.In certain embodiments, source comprises the miscellaneous equipment (not shown) of coupling between one or more in impedance matching circuit 106 and x, y and z MHz RF generator of plasma system 100, for example, for the wave filter that the RF signal of supplying with via RF cable is carried out to filtering, etc.The example of load comprises plasma chamber 111 and RF transmission line 132.Other example of load comprises the miscellaneous equipment (not shown) of the coupling between impedance matching circuit 106 and plasma chamber 111 of plasma system 100, for example, the RF signal of supplying with is carried out to the wave filter of filtering via RF transmission line 132, etc.
Plasma chamber 111 comprises the chuck 152 being connected with RF transmission line 132, for example, and electrostatic chuck (ESC), magnetic card dish, etc.Plasma chamber 111 also comprises the top electrode 154 towards chuck 152.For example, the lower surface 156 of top electrode 154 is positioned at upper surface 158 opposites of chuck 152 and the upper surface 158 towards chuck 152.In each embodiment, top electrode 154 ground connection.Chuck 152 comprises bottom electrode, and bottom electrode is made up of the such as metal such as anodized aluminum, aluminium alloy.And top electrode 154 is made up of the such as metal such as aluminium, aluminium alloy.
Workpiece 160 is placed on upper surface 158 so that workpiece 160 is processed, and workpiece 160 is for example for semiconductor wafer, above it, exploitation has the semiconductor wafer of integrated circuit, etc.The processing example of workpiece 160 comprises clean workpiece 160 or etching workpiece 160 or the films such as such as oxidation film is deposited on workpiece 160, or its combination, etc.On workpiece 160, the integrated circuit of exploitation is for various computing equipments, such as such as cell phone, tablet computer, smart phone, computing machine, laptop computer, networked devices etc.
In certain embodiments, plasma chamber 111 comprises other parts (not shown), for example, the top electrode extension of dielectric collar, the lower dielectric collar of surrounding chuck 152, the bottom electrode extension that surrounds chuck 152, upper plasma exclusion zone (PEZ) ring, lower PEZ ring etc. in the upper dielectric collar of encirclement top electrode 156, encirclement.
In each embodiment, top electrode 154 comprises the one or more holes with the central gas feed device coupling such as such as gas supply lines (not shown).Central authorities' gas feed device receives one or more processing gases from gas source (not shown).The example of processing gas comprises oxygen-containing gas, such as O 2.Other example of processing gas comprises fluoro-gas, for example, and tetrafluoromethane (CF 4), sulfur hexafluoride (SF 6), perfluoroethane (C 2f 6), etc.Top electrode 154 ground connection.Bottom electrode 152, via impedance matching circuit 106 and the coupling of x MHz RF generator, is coupled via impedance matching circuit 106 and yMHz RF generator, and via impedance matching circuit 106 and the coupling of z MHz RF generator.
Process when gas and in the time that one or more in x, y and zMHz RF generator are supplied to chuck 152 via impedance matching circuit 106 by one or more RF signal powers when supplying with between top electrode 154 and chuck 152, processing gas is lighted with at the interior generation plasma of plasma chamber 111.For example, x MHz generator is supplied to impedance matching circuit 106 via RF cable 130 by RF signal 104.Impedance matching circuit 106 is adjusted to generate RF signal through adjusting and is provided RF signal through adjusting to light processing gas via RF transmission line 132 the one or more one or more RF signals that receive of the correspondence from x, y and z RF generator, thereby generates plasma in the gap between chuck 152 and top electrode 154.As another example, y MHz RF generator is supplied with RF signal via RF cable, and RF cable is coupled y MHz RF generator and the impedance matching circuit 106 of adjusting RF signal.In this example, the RF signal through adjusting is further sent to chuck 152 to generate plasma via impedance matching circuit 106 and RF transmission line 132.
Generate the operating period of plasma at plasma system 100, each RF controller receives the data volume from the variable of sensor (not shown), the some coupling in sensor and plasma system 100.For example, x controller receives the value from the variable of the voltage and current probe being connected with RF cable 130.As another example, y controller receives the value from the variable of the voltage and current probe connecting with the RF cable that y MHz RF generator is connected with impedance matching circuit 106.As another example, y controller receives the value from the variable of the voltage probe being connected with RF transmission line 132.As another example, z controller receives the value via the optical sensor of the indoor window of plasma chamber and plasma optically-coupled.
The example of variable is included in the power of the RF signal at the some place of plasma system 100, or the power of plasma, or the frequency of RF signal, or the real part of loaded impedance, or the imaginary part of loaded impedance, or at the voltage value at this some place, or in the current magnitude at this some place, phase place between this some place's complex voltage and telegram in reply stream, or at the wafer bias (bias) at this some place, or at the ion energy at this some place, or at the plasma potential at this some place, or flow at the telegram in reply at this some place, or at the complex voltage at this some place, or at the loaded impedance at this some place, or its combination.
The example of loaded impedance comprises the impedance of one or more parts of plasma system 100.For example, loaded impedance is the impedance at the some place in plasma system 100.As another example, loaded impedance is that one or more impedance (RF cable is coupled y MHz RF generator and impedance matching circuit 106, and RF cable is by z MHz RF generator and impedance matching circuit 106), impedance matching circuit 106, RF transmission line 132 and the plasma chamber 111 in RF cable 130 is coupled.
In certain embodiments, point in plasma system 100 is included in the point of the output of x MHz RF generator, or the point of the output of y MHz RF generator, or the point of the output of z MHz RF generator, or point on RF cable 130, or point on the RF cable that y MHz RF generator and impedance matching circuit 106 are coupled, or point on the RF cable that z MHz RF generator and impedance matching circuit 106 are coupled, or the point of the input of impedance matching circuit 106, or at the point of the output of impedance matching circuit 106, or point on RF transmission line 132, or the point at chuck 152 places.
In each embodiment, the output of x MHz RF generator is the input coupling with impedance matching circuit 106 via RF cable 130, the output of y MHz RF generator is via the input coupling of RF cable and impedance matching circuit 106, and the output of z MHz RF generator is via the input coupling of RF cable and impedance matching circuit 106.In multiple embodiment, the output of impedance matching circuit 106 is coupled via RF transmission line 132 and chuck 152.
Console controller 150 comprises one or more parts, for example, variable requester 170, variable receiver 110, model 113, model value maker 115, data volume counter 112, limit value intersection abstraction module 114, statistics extract (SDD) module 172, switch module 180, RF controll block 197 and transmitter 174.SDD module 172 comprises that statistics extracts (SD) module 116 and data removing module 120.
In certain embodiments, the computer program of one or more parts of console controller on being implemented as non-transient state computer-readable mediums such as such as memory device as described herein.In each embodiment, one or more parts of console controller are implemented as hardware, for example, and special IC etc.For example, handover module 180 is transistor or a group transistor.In multiple embodiment, one or more parts of console controller are implemented as the combination of hardware and computer program as described herein.
Variable requester 170 is asked the data from the variable of x controller via the communication channel of cable 144.In certain embodiments, the address of variable receiver 110 (, port address etc.) sent to x controller by variable requester 170 for example,, thereby allow x controller that the data of variable are sent to variable receiver 110.
In multiple embodiment, console controller 150 has been got rid of variable requester 170, and the data of variable are periodically sent to variable receiver 110 by x controller.In the time receiving request, x controller sends to variable receiver 110 via the communication channel 102 of cable 144 by the data of variable.
In certain embodiments, variable requester 170 and variable receiver 110 are implemented as parts.
Similarly, in multiple embodiment, variable receiver 110 receives the data from the variable of the combination of x controller, y controller and z controller.
Variable receiver 110 receives from the data of the one or more variable in x, y and z MHz RF generator and these data is sent to model 113.The example of model 13 comprises the combination of RF cable model or the combination of impedance matching model or RF transport module or chuck model or RF cable model and impedance matching model or the combination of RF cable model and impedance matching model and RF mode or RF cable model and impedance matching model and RF mode and chuck model, etc.
The model of the parts of plasma system is the computer-generated model of parts.For example, RF mode is the computer-generated model (Fig. 1) of RF transmission line 132.As another example, RF mode comprises the electric circuit of RF transmission line 132, and electric circuit comprises electric component, for example, and capacitor or inductor etc.In order to illustrate, in the time that RF transmission line 132 comprises the inductor of the inductance with L henry and have the capacitor of electric capacity of C farad, RF mode comprises the inductor of the inductance with L henry and has the capacitor of the electric capacity of C farad.And in RF mode, the parts in electric circuit for example, connect in the mode identical with the mode that electric component was connected of the electric circuit of RF transmission line 132 (, series, parallel etc.).For example, in the time that inductor is connected with the Parallel-connected Capacitor in RF transmission line 132, RF mode comprises the inductor connector in parallel with inductor.
Similarly, to be generated the similar mode of mode of RF mode by RF transmission line 132, generate impedance matching model based on impedance matching circuit 106.And, to be generated the similar mode of mode of RF mode by RF transmission line 132, for example, based on RF cable (, RF cable 130(Fig. 2) etc.) generate RF cable model.And, to be generated the similar mode of mode of RF mode by RF transmission line 132, generate chuck model based on chuck 152.
In certain embodiments, carry out generation model 113 by the processor of console controller.
Be 13/756 submitting in application number on January 31st, 2013 and that name is called " Using Modeling to Determine Wafer Bias Associated with a Plasma System ", the example that generation model is provided in 390 application, the full content of this application is incorporated in herein by reference.
Characteristics such as the such as electric capacity of the value of model value maker 115 based on being received by variable receiver 110 and model 113 or inductance or impedance or telegram in reply stream or complex voltage and generate the value of variable in the output of model 113.For example, the part that model value maker 115 propagates through the resistance value receiving from x controller RF cable model and impedance matching model is with the output generation resistance value at impedance matching circuit.In certain embodiments, the resistance value receiving from x controller is the resistance value in the output of x MHz RF generator.As another example, model value maker 115 is propagated the telegram in reply stream receiving from y controller and magnitude of voltage with the output at RF mode and is generated complex voltage and current value via the part of RF cable model, impedance matching model and RF mode.As another example, model value maker 115 is propagated the performance number receiving from y controller with the output at chuck model and is generated complex voltage and electric current via the part (component) of RF cable model, impedance matching model, RF mode and chuck model.
In certain embodiments, when direction be while being gone out by the property calculation such as value and such as resistance value, power consumption number, magnitude of voltage, current value of the part of model, the value of propagate variables.In the application that is 13/756,390 at application number, provide direction and example.
In each embodiment, model value maker 115 is generated the value of a variable by the value of one or more variablees.For example, model value maker 115 is become the value of the wafer bias of the output of model 113 next life by the power value of the complex voltage of output and the voltage value of electric current, the complex voltage of output and the current magnitude of electric current and output complex voltage and electric current.Other example that generates wafer bias is provided in the application that is 13/756,390 at application number.As another example, model value maker 115 is by the value of the ion energy of the output of the wafer bias of output and zero-crest voltage generation model 113 of output.Be 61/799 submitting in application number on March 15th, 2013 and that name is called " Using Modeling to Determine Ion Energy Associated with a Plasma System ", other example that generates ion energy is provided in 969 application, and the full content of this application is incorporated in herein by reference.
In certain embodiments, for the one or more each values that receive from x, y and z MHz RF generator by variable receiver 110, the value of the output of computation model 113.
Data at the variable of the output of model 113 send to data volume counter 112 from model value maker 115.The quantity of the value of data volume counter 112 to the variable receiving from model value maker 115 is counted, and counting is sent to limit value intersection extraction model 114.
In certain embodiments, data volume counter 112 calculates the quantity of the variate-value of the maximum storage capacity that is no more than variable receiver 110.
Limit value intersection extraction model 114 judges whether the quantity of the value receiving from data volume counter 112 is greater than threshold value, and threshold value is pre-stored in intersection abstraction module 114.The example of threshold value comprises 1000 values or 10,000 values or 100,000 values etc.Other example of threshold value comprises 500-1000 value or 1000-10, and 000 is worth or 10,000-100, and 000 is worth or 100,000-1000, and 000 is worth or 10,00,000-10,000,000 value.
In the time that the quantity of the value of determining is greater than threshold value, signal is sent to SD module 116 to start generating statistical value by described value from limit value intersection abstraction module 114.On the other hand, in the time that the quantity of the value of determining is no more than threshold value, signal is not sent to SD module 116 from limit value intersection abstraction module 114, and SD module 116 does not start to generate statistical value by described value.
In certain embodiments, the memory capacity of the memory device based on console controller 150 generates threshold value.For example, in the time that SD module 116 comprises n value of two buffer zones and each buffer stores variable, threshold value is n value.When first in two buffer zones is when full, SD module 116 is second in the buffer zone of the data Replica to two from the first buffer zone, and starts to carry out counting statistics value by data.The value of SD module 16 based in the first buffer zone carried out counting statistics value.In each embodiment, after copying, override the data in the first buffer zone by the data that model value maker 115 generates.
In multiple embodiment, be not data volume counter 112, but ratio counter is positioned at console controller 150.Ratio counter is implemented as calculation procedure or hardware or its combination.The ratio of the quantity of the value of the processor processing of passing through console controller 150 in the quantity of the value receiving from model value maker 115 in ratio counter window computing time and time window.In these embodiments, limit value intersection abstraction module 114 judges whether the ratio calculating is greater than limit value, and described limit value is pre-stored in the memory device of limit value intersection abstraction module 114.In the time determining the ratio calculating and be less than limit value, can't help the value that model value maker 115 generates to generate statistical value.On the other hand, in the time that the ratio that determines calculating is more than or equal to limit value, the value being generated by model value maker 115 generates statistical value.
In certain embodiments, judge the processing speed of the processor of console controller 150 based on many factors, processing speed equals the quantity of handled value in time window, described many factors is for example served as reasons the time that the value access that receives from model value maker 115 and counting statistics value spend, or realize the time that the pressure in plasma chamber 111 spends send the signal that generates pressure by processor after, or time of spending of the value of pressure in sensing and reception plasma chamber 111, or realize the time that the temperature in plasma chamber 111 spends send the signal that generates temperature by processor after, or time of spending of the value of temperature in sensing and reception plasma chamber 111, or generate the time that the gap between top electrode 154 and the chuck 152 in plasma chamber 111 spends send the signal that generates gap by processor after, or time of spending of the value in gap in sensing and reception plasma chamber 111, or its combination.For example, the processor of console controller 150 is waited for processing pressure value, until force value is by pressure transducer (not shown) sensing and received by processor.This wait has reduced the processing speed of processor.As another example, the signal in the gap between the change top electrodes 154 to be sent such as the processor of console controller 150 and chuck 152, until after sending by processor the signal of realizing pressure and temperature at the interior pressure and temperature of realizing of plasma chamber 111.This wait has reduced the processing speed of processor.
SD module 116 is intersected the data volume of passing through the variable that data volume counter 112 receives from model value maker 115 of determination module 112 from limit value and is exceeded the signal of threshold value and determine statistical value according to the data of variable in response to receiving.For example, SD module 116 applied statistics operate to generate statistical value by the value of variable, applied statistics operates such as being for example inserting sorting operation, or merge sort operation, or mobile interquartile range (IQR) calculating operation, or interquartile range calculating operation, or maximum value calculation operation, or minimum value calculating operation, or mean value computation operation, or median computation methods, or variance yields computing method, or standard deviation computing method, or mobile mean value computation method, or moving median computing method, or mobile variance yields computing method, or mobile standard deviation computing method, or mould, or mobile mould, or its combination etc.
After statistical value generates, SD module 116 closing switch modules 180 are to be coupled variable receiver 110 and data removing module 120.In the time that switch module 180 is closed, data removing module 120 (for example carries out access and deletion to being stored in data in the memory device of data sink 110, wipe, replacement etc.) be stored in the data in memory device, to allow one or more from x, y and z controller of variable receiver 110 to receive and the additional data of storage of variables.In this way, reduced and the cost of implementing to be associated for storing a large amount of variable receivers of mass data.Be stored in the data of the variable in variable receiver 110 by deletion, use more than 110 data with storage of variables of variable receiver.
In certain embodiments, by SD module 116, statistical value is offered to RF controll block 197.The statistical value of another variable that RF controll block 197 receives according to a variable or from SD module 116 is determined the statistical value of variable.For example, RF controll block 197 is determined statistical value power and/or the statistical value of frequency according to the statistical value of the variable receiving from SD module 116.As another example, the statistical value that RF controll block 197 is determined frequencies with receive from SD module 116 identical.As another example, RF controll block 197 receives the statistical value of the wafer bias of the output of model 113, and determine at the complex voltage of output and the voltage value of electric current, in the current magnitude of complex voltage and the electric current of output, and at the complex voltage of output and the power value of electric current.In this example, at the complex voltage of output and the voltage value of electric current, in the complex voltage of output and the current magnitude of electric current and meet the value at the wafer bias of output at the complex voltage of output and the power value of electric current.As another example, RF controll block 197 receives the statistical value of ion energy of the output of model 113 and zero-crest voltage value of the wafer bias value of definite output and output.In this example, wafer bias value and zero-crest voltage meet the value of ion energy.RF controll block 197 will send to transmitter 174 by the statistical value of the definite variable of RF controll block 197.
In each embodiment, the statistical value of variable is sent to transmitter 174 by SD module 116, also statistical value sent to RF controll block 197 simultaneously or statistical value is not sent to RF controll block 197.
Transmitter 174 sends to one or more x, y and z controller by the statistical value of the variable receiving from RF controll block 197 and/or from SD module 116 via corresponding communication channel.For example, transmitter 174 sends to x controller by the statistical value of variable via communication channel 184, and the statistical value of variable is sent to y controller via communication channel, and the statistical value of variable is sent to z controller via communication channel.As another example, transmitter 174 sends to x controller by the statistical value of the variable generating according to the data of the variable receiving from x controller via communication channel 184.As another example, the statistical value of the variable that the data of transmitter 174 receives basis variable from y controller generate is via sending to y controller with the communication channel of y controller coupling.
The controller of RF generator receives from the statistical value of the variable of transmitter 174 and statistical value is offered to the RF feeding mechanism of RF generator, for example, and RF feeding mechanism 186 etc.RF feeding mechanism comprises driver, for example, transistor, a group transistor etc., it produces RF signal, for example, have the RF signal 124 of the statistical value of the variable receiving from transmitter 174 etc.RF signal amplifies by the RF amplifier that is connected with driver and via sending to impedance matching circuit 106 with the RF cable of RF amplifier coupling.
Thereby impedance matching circuit 106 mates the impedance of load produce RF signal and will send to chuck 152 via RF transmission line 182 through the RF signal of adjusting adjusting via the RF signal that such as RF cable 130 cables such as RF such as grade receive from RF generator with the impedance in source.When in the time that gas is processed in the interior supply of plasma chamber 111 and receive the RF signal through adjusting by chuck 152, at the interior generation plasma of plasma chamber 111.In certain embodiments, before plasma is receiving the RF signal through adjusting while producing, in the time of the RF signal receiving from impedance matching circuit 106 through adjusting, the characteristic such as the such as impedance of plasma, power, frequency is adjusted.
In certain embodiments, SD module 116 is determined statistical value according to the data of the one or more variablees that receive from x controller, y controller and z controller.
In multiple embodiment, use and be different from the multiple RF generators shown in Fig. 1.For example, plasma system 100 comprises two RF generators or four RF generators.
Should further point out, in certain embodiments, except receiving the value of one or more variablees from x, y and/or z controller, console controller 150 receives the value from one or more sensors.X, y and/or z controller do not serve as the middle device between console controller 150 and one or more sensor.
In each embodiment, variable requester 170, variable receiver 110, model 113, model value maker 115, data volume counter 112, limit value intersect determines that each in model 114, statistics determination module 116, switch module 180, data removing module 120, RF controll block 197 and transmitter 174 is implemented as independent processor.For example, variable requester 170 is implemented as a processor, and data volume counter 112 is implemented as another processor.
In multiple embodiment, variable requester 170, variable receiver 110, model 113, model value maker 115, data volume counter 112, limit value intersects determines model 114, statistics determination module 116, switch module 180, data removing module 120, one or more in RF controll block 197 and transmitter 174 are implemented as a processor, variable requester 170, variable receiver 110, model 113, model value maker 115, data volume counter 112, limit value intersects determines model 114, statistics determination module 116, switch module 180, data removing module 120, any remainder in RF controll block 197 and transmitter 174 is implemented as another processor.
In certain embodiments, not by top electrode 154 ground connection, but provide RF power for top electrode 154.In each embodiment, not by top electrode 154 ground connection, but the bottom electrode ground connection of chuck 152, and RF power is offered top electrode 154 by RF transmission line.
In each embodiment, statistical value is stored in the memory device of SD module 116.The size of the memory device of SD module 116 is less than the size of the memory device of variable receiver 110.For example, the memory device of SD module 116 comprises single memory position, and the memory device of variable receiver 110 comprises multiple memory locations.As another example, the memory device of SD module 116 comprises the memory location fewer than the quantity of the memory location of the memory device of variable receiver 110.
It should be pointed out that in certain embodiments, console controller 154 comprises multiple processors, and for example, one, two, three etc., to generate statistical value and to control plasma chamber 111, and multiple processor has high cost benefit.For example, do not control plasma chamber 111 with independent processor or independent server, for example, control the temperature in plasma chamber 111 with a processor, control the gap in plasma chamber 111 with another processor, control the pressure in plasma chamber 111 with another processor, control the frequency of the signal being received by plasma chamber 111 with another processor, carry out the power of control signal with another processor, or its combination, etc., but with a processor generate statistical value and control plasma chamber 111.Processor is controlled plasma chamber 111 based on statistical value.
The example of controlling plasma chamber 111 comprises: the frequency that changes the RF signal being produced by RF generator, or the power of change RF signal, or change the temperature in plasma chamber 111, or change the gap in plasma chamber 111, or change the pressure in plasma chamber 111, or its combination.
In certain embodiments, the processor control air supply valve (not shown) of console controller 150, air supply valve is convenient to be supplied to the gas access of top electrode 154 from the gas of gas reservoir (not shown).For example, the processor control driver of console controller 150, for example, and transistor, a group transistor etc., it is supplied with electric current and opens or closes air supply valve with the amount that is supplied to plasma chamber 111 by control example as processed the gases such as gas.The control of supplying with also allows processor to control wherein to have supplied with the pressure in the plasma chamber 111 of gas.
In each embodiment, utilize electric motor driven screw mechanism (not shown) that top electrode 154 is risen or transferred.The processor of console controller 150 is controlled electric motor driven screw mechanism via the such as driver such as transistor, a group transistor, so that top electrode 154 moves up or down, thereby control for example, gap between the top electrode 154 such as (, change, increase, reduce) and chuck 152.
In multiple embodiment, in chuck 152, comprise well heater, and the processor by console controller 150 carrys out control heater via the such as driver such as transistor, a group transistor, thereby control for example, temperature in (, change, rising, reduction etc.) plasma chamber 111.
In multiple embodiment, for example catheter fever transmission mechanism is located in plasma chamber 111, and the processor by console controller 150 is controlled flowing of cooling liquid via valve and the such as driver such as transistor, a group transistor, thereby controls the temperature in plasma chamber 111.
Fig. 2 is the figure of the embodiment of the plasma system 151 of the statistical value for generating variable.Plasma system 151 comprises plasma chamber 111, impedance matching circuit 106, x, y and zMHz RF generator and host computer system 190.Host computer system 190 comprises console controller 192.
In certain embodiments, except plasma system 151 comprises host computer system 190 instead of host computer system 140(figure), plasma system 151 and plasma system 100(Fig. 1) identical.For example,, except plasma system 151 comprises console controller 192 instead of console controller 150(Fig. 1), plasma system 151 structurally with plasma system 100(Fig. 1) identical.
Except console controller 190 comprises bias compensation module 196, event checking module 198 and communication block 191, console controller 190 and console controller 150(Fig. 1) identical.RF controll block 197 is connected to bias compensation module 196 and event checking module 198.SD module 116 is connected to bias compensation module 196 and event checking module 198.
Whether the statistical value that bias compensation module 196 is judged the variable receiving from RF controll block 197 or from SD piece 116 is within preset range, and described preset range is pre-stored in the memory device of bias compensation module 196.
In certain embodiments, bias compensation module 196 has the multiple preset ranges for multiple statistical values of variable.The first preset range of the statistical value of the variable that for example, the memory device, stores of bias compensation module 196 generates for the data by the variable receiving from x controller.As another example, the second preset range of the statistical value of the variable that the memory device, stores of bias compensation module 196 generates for the data by the variable receiving from y controller.In each embodiment, the first preset range is identical with the second preset range.In certain embodiments, the first preset range is different from the second preset range.
In the time that the statistical value that determines variable is within preset range, statistical value is sent to transmitter 122 by bias compensation module 196, and transmitter 122 sends to the corresponding controller in x, y and z controller by statistical value via one or more communication links.On the other hand, in the time that the statistical value that determines variable is not within preset range, bias compensation module 196 regulates statistical value (for example, adjust, change, increase, reduce, tuning etc.) within preset range and will offer transmitter 122 through the statistical value regulating.
The statistical value of the variable through regulating is sent to the corresponding controller in x, y and z controller via one or more communication channels by transmitter 122.
The controller of RF generator receives the statistical value from the variable through regulating of transmitter 122 via the communication channel that controller and transmitter 122 are coupled, and will offer through the statistical value regulating the RF feedway of RF generator.For example, x controller receives the statistical value of the variable through regulating and will offer RF feedway 186 through the statistical value regulating.The RF feedway of RF generator produces RF signal, such as RF signal 155 etc., and it comprises the statistical value through regulating.For example, RF signal 155 has the power of the statistical value through regulating.As another example, RF signal 155 has the frequency of the statistical value through regulating.
To be similar to mode mentioned above, impedance matching circuit 106 from x, y and z MHzRF generator corresponding one or more RF cables of corresponding one or more couplings receive one or more RF signals.The one or more RF signals of impedance matching circuit 106 based on received produce the RF signal through adjusting and will send to chuck 152 via RF cable 132 through the RF signal of adjusting.Based on the received RF signal through adjusting, at the interior generation plasma of plasma chamber 111, or generated plasma in the time of RF signal receiving through adjusting time, based on the characteristic of adjusting plasma through the RF signal of adjustment.
Event checking module 198 is from RF controll block 197 or receive the statistical value of variable from SD module 116, and whether decision statistic value within presumptive area, and described presumptive area is pre-stored in the memory device of event checking module 198.In certain embodiments, presumptive area is identical with preset range.In each embodiment, presumptive area is the scope that is different from preset range.
In certain embodiments, event checking module 198 has the multiple presumptive areas for multiple statistical values of variable.The first presumptive area of the statistical value of the variable that for example, the memory device, stores of event checking module 198 generates for the data by the variable receiving from x controller.As another example, the second presumptive area of the statistical value of the variable that the memory device, stores of event checking module 198 generates for the data by the variable receiving from y controller.In each embodiment, the first presumptive area is identical with the second presumptive area.In certain embodiments, the first presumptive area is different from the second presumptive area.
In the time that the statistical value that determines variable is within presumptive area, event checking module 198 does not generate fault-signal.On the other hand, in the time that the statistical value that determines variable is outside presumptive area, fault-signal generates and offers transmitter 122 by event checking module 198.
Transmitter 122 sends to corresponding x, y and z controller by fault-signal via one or more communication channels.For example, transmitter 122 sends to fault-signal x controller and fault-signal is sent to y controller via communication channel via communication channel 202.
The controller of RF generator receives fault-signal and fault-signal is replied.For example, the RF feedway that the controller of RF generator sends signal to RF generator is to suspend the generation of the RF signal for being sent to impedance matching circuit 106.As another example, the controller of RF generator sends signal to the RF feedway of RF generator to suspend the generation of the RF signal for being sent to impedance matching circuit 106, until receive the statistical value through regulating for compensating biasing via transmitter 122 from bias compensation module 196.
In certain embodiments, event checking module 198 sends to remote computer system by fault detection signal via communication block 191, for by the signalling trouble of the statistical value of variable to remote computer system.The example of communication block 191 comprises network interface controller, such as for example network interface adapter or network interface unit.
The example of remote computer system comprises the computing machine that operates by user, server, processor, mobile phone, smart phone, tablet computer etc.User checks for example, notice on the display device (, cathode-ray tube display, liquid crystal display, emitting diode display device, plasma display equipment etc.) of remote computer system, and determines to take measures to solve fault.
In each embodiment, SD module 116 is connected to communication block 191, for the statistical value of variable is sent to remote computer system.
In each embodiment, each in variable requester 170, variable receiver 110, data volume counter 112, model 113, limit value intersection determination module 114, model value maker 115, statistics determination module 116, switch module 180, data removing module 120, bias compensation module 196, event checking module 198, RF controll block 197, transmitter 174 and communication block 191 is implemented as independent processor.For example, variable requester 170 is implemented as a processor, and data volume counter 112 is implemented as another processor.
In multiple embodiment, variable requester 170, variable receiver 110, data volume counter 112, model 113, limit value intersection determination module 114, model value maker 115, statistics determination module 116, switch module 180, data removing module 120, bias compensation module 196, event checking module 198, RF controll block 197, one or more in transmitter 174 and communication block 191 are implemented as a processor, and variable requester 170, variable receiver 110, data volume counter 112, model 113, limit value intersection determination module 114, model value maker 115, statistics determination module 116, switch module 180, data removing module 120, bias compensation module 196, event checking module 198, RF controll block 197, any remainder in transmitter 174 and communication block 191 is implemented as another processor.
In certain embodiments, console controller 192 has been got rid of data removing module 120 and switch 180.In these embodiments, in host computer system 190, do not extract.In these embodiments, whole values of variable are all stored in one or more memory devices of host computer system 190, or send to remote computer system to store via communication block 191, or send to virtual machine to store via communication block 191, etc.
Fig. 3 is as host computer system 190(Fig. 2) the figure of embodiment of exemplary host system 400.Host computer system 400 comprises field programmable gate array (FPGA) 402 and microprocessor 404.It should be pointed out that except FPGA402, can use any other integrated circuit, for example, ASIC etc.And, except microprocessor 404, can use any other integrated circuit, for example, FPGA, ASIC etc.
FPGA402 comprises multiple serial parallel interfaces (SPI) (MSPI) 406, and it comprises one or more PSPI.MSPI406 comprises 27 pins, and 27 pins comprise 9 pins that are respectively used to PSPI.For example, MSPI406 comprises the PSPI being connected with x controller, the PSPI being connected with y controller, and the PSPI(Fig. 2 being connected with z controller).MSPI406 receives the data from the PSPI of x, y and z controller, for example, the power reading back from serial data output (SDO) port of x, y and z controller, the frequency of reading back from the SDO port of x, y and z controller, the real part of the plasma impedance reading back from the SDO port of x, y and z controller, the imaginary part of the plasma impedance reading back from the SDO port of x, y and z controller, and other variable etc., and data are sent to soft core digital signal processor (DSP) 408 and/or high-speed port 410.
Soft core DSP408 comprises model 113 and model value maker 115.For example, FPGA402 implements the electric circuit of the electric component such as such as capacitor or inductor etc. that comprises RF transmission line 132.And FPGA402 for example, connects the parts in electric circuit in the identical mode of the mode that connects with the electric component of the electric circuit of RF transmission line 132 (, serial, parallel etc.).
The data of the variable receiving by MSPI406 send to soft core DSP408 from MSPI406.The value of the variable of the output of the value of the model value maker 115 of soft core DSP108 based on receiving from MSPI406 and generation model 113, and the value of generation is sent to the high-speed bus port 412 of microprocessor 404 via high-speed port 410 and high-speed bus 412.The example of high-speed bus comprises with 500MHz or with 400MHz or with 300MHz or with 600MHz or to transmit the bus of data between 5MHz and 500MHz etc.The data of variable are sent to Fig. 2 as SDD172(via high-speed port 415) the SDD logical block 416 of example.
In certain embodiments, logical block is the computer program of being carried out by one or more processors, for example, carries out SDD logical block 416 by microprocessor 404.In multiple embodiment, logical block is implemented as the hardware in integrated circuit.In each embodiment, logical block is implemented as the combination of computer program and hardware.
SDD logical block 416 is applied to statistics conversion the data of the variable receiving from soft core DSP408 via high-speed port 415 to generate statistical value.For example, SDD logical block 416 generates average or intermediate value or mould or standard deviation or maximal value or minimum value or interquartile range (IQR) etc. according to the data of the variable receiving from soft core DSP408 via high-speed port 415, thereby generates statistical value.As another example, SDD logical block 416 generates the mobile average of multiple values of the power receiving from soft core DSP408.As another example, SDD logical block 416 generates the moving median of multiple values of the real part of the plasma impedance receiving from soft core DSP408.As another example, SDD logical block 416 generates mobile IQR or IQR or maximal value or minimum value or average or intermediate value or variance or standard deviation or mobile average or moving median or mobile variance or mobile standard deviation or mould or mobile mould or its combination etc. according to the data value of the variable from soft core DSP408, thereby generates statistical value.
In multiple embodiment, SDD logical block 416 is deleted one or more values of the variable receiving in time window except the statistical value of variable.For example, SDD logical block 416 is wiped the value of the imaginary part of the plasma impedance except the intermediate value of value from the memory device of host computer system 400.As another example, the memory device of SDD logical block 416 in host computer system 400, wipe the value of the frequency except the mould of value.
In certain embodiments, in host computer system 400, do not extract.In these embodiments, whole values of variable are all stored in one or more memory devices of host computer system 400, or send to remote computer system to store via VME communication block 422, or send to virtual machine to store via VME communication block 422, etc.The example of VME communication block 422 comprises ethernet communication piece, EtherCAT communication block, USB (universal serial bus) (USB) port, network interface controller, serial port and parallel port.VME communication block is communication block 191(Fig. 2) example.
As bias compensation module 196(Fig. 2) statistical value based on receiving from SDD logical block 416 of the bias compensation module 418 of example determine that amount of bias is with compensation biasing.For example, determining statistical value outside preset range time, bias compensation module 418 regulates statistical value within described preset range.
In certain embodiments, bias compensation module 418 will offer the controller of RF generator via high-speed port 415, high-speed bus 412, high-speed port 410, MSPI406 and communication channel through the statistical value regulating.In each embodiment, bias compensation module 418 offers the statistical value through regulating the ports such as the such as ethernet port, EtherCAT port, USB port, parallel port, serial port of RF generator via VME communication block, or provides it to the port of remote computer system.
Microprocessor 404 comprises events/failures detection module 420, the events such as the such as fault at the some place of its detection plasma system 151 interior (Fig. 2), for example, point place in some place or impedance matching circuit 106 in plasma chamber 111 or RF transmission line 132(Fig. 2) on some place or the some place on the some place in some place or y MHz RF generator in x MHz RF generator or the some place in z MHzRF generator or RF cable that RF generator and impedance matching circuit 106 are coupled, etc.For example, determining the statistical value receiving from SD logical block 416 outside preset range time, events/failures detection module 420 determines Fig. 2 at plasma system 151() in there is event.The generation instruction of event sends to one or more equipment from event/fault detection module 420 via VME communication block 422, for example, and x MHz RF generator, y MHz RF generator, z MHz RF generator, remote computer system etc.Events/failures detection module 420 is events/failures detection module 198(Fig. 2) example.
Fig. 4 is as host computer system 190(Fig. 2) the block diagram of embodiment of host computer system 450 of another example.Except host computer system 450 comprises microprocessor 452 and FPGA403, host computer system 450 and host computer system 400(Fig. 4) similar.Except microprocessor 452 comprises variable module 454, microprocessor 452 and microprocessor 404(Fig. 3) similar.And, except FPGA403 does not comprise soft core DSP408(Fig. 3), FPGA403 is similar to FPGA402.
The model value maker 115 of variable module 454 receives the data from one or more variablees of MSPI406 via high-speed port 410, high-speed bus 412 and high-speed port 415.The data of the variable of the model value maker 115 of variable module 454 based on receiving from MSPI406 and the characteristic such as such as electric capacity, impedance etc. of model 113 are determined the data at the variable of the output of model 113.For example, in the time that the plasma impedance receiving via the MSPI406 impedance that is the element of Z1 and RF mode is Z2, model value maker 115 determine the impedance of the output of RF modes be Z1 and Z2 direction and.As another example, when the complex voltage receiving via three communication channels and electric current are the complex voltage of plural V & I1 and RF mode and electric current while being plural V & I2, model value maker 115 determine the plural V & I of the output of RF mode be V & I1 and V & I2 direction and.
SDD logical block 416 receives the data of the variable being generated by variable module 454 and determines statistical value in mode similar to the above according to data.And bias compensation module 418 is carried out receiving and counting value and determines and be applied to plasma chamber 111(Fig. 1 based on statistical value from SDD logical block 416) biasing.For example, determining statistical value outside preset range time, bias compensation module 418 regulates statistical value within preset range.
Bias compensation module 418 will send to the one or more one or more PSPI in x, y and z controller (Fig. 2) through the statistical value regulating in mode similar to the above.For example, bias compensation module 418 is determined the statistical value of the statistical value of the power through regulating and the frequency through regulating and will be offered x controller via high-speed port 415, high-speed bus 412, high-speed port 410, MSPI406 and communication channel through the statistical value regulating.In certain embodiments, bias compensation module 418 sends to the statistical value through regulating the ports such as the such as ethernet port, EtherCAT port, USB port, parallel port, serial port of RF generator via VME communication block 422, or sends to the port of remote computer system.
The statistical value of events/failures detection module 420 based on receiving from SDD logical block 416 detects plasma system 151(Fig. 2) interior event.For example, determining statistical value outside presumptive area time, events/failures detection module 420 determines event has occurred in plasma system 151.Statistical value is that the data of the variable that generated by variable module 454 generate.
The generation instruction of event sends to one or more equipment from event/fault detection module 420 via VME communication block 422, for example, and remote computer system, x MHz RF generator, y MHz RF generator, z MHz RF generator etc.User checks the instruction on the display device of remote computer system and can determine to take measures to solve fault.
Fig. 5 is the figure of the embodiment of the memory device 500 of the use of the pointer for illustrating reference-to storage position.Memory device 500 is positioned at variable receiver 110(Fig. 1 and Fig. 2).In certain embodiments, memory device 500 is within data volume counter 112 or limit value intersection determination module 114 or SD module 116.
Memory device 500 comprises memory array 1 and memory array 2.The data of memory array 1 storage of variables, and the storage address of position in memory array 2 memory arrays 1.The example of the data of variable is shown as index 0, index 1, index 2, index 3 and index 4.
As shown in the figure, the data of variable are received in memory array 1 and are stored in storage address 0x0,0x1, and 0x2, in 0x3 and 0x4.When the data of variable are received in memory array 1 when interior, the processor by such as data volume counter 112 or limit value intersect the pointer of the processors such as the processor of determination module 114 or the processor of SD module 116 at memory array 2 interior generated datas.0x0 pointer is stored in storage address 0x5 and sensing value index 0.0x1 pointer is stored in storage address 0x6 and sensing value index 1.And 0x2 pointer is stored in storage address 0x7 and sensing value index 2,0x3 pointer is stored in storage address 0x8 and sensing value index 3, and 0x4 pointer is stored in storage address 0x9 and sensing value index 4.
Although figure 5 illustrates five values, in certain embodiments, be stored in memory array 1 greater or less than the value of five.
It should be pointed out that in certain embodiments, pointer is used in reference to the data to access, to revise or delete the variable at this storage address place to storage address.In each embodiment, pointer is for changing the position of the value in memory device.Such as the each position in the memory device such as memory array, storage stack array is identified by storage address.
Fig. 6 is the figure of the embodiment of inserting sorting operation.The data of variable are stored in memory array 502, and memory array 502 is memory array 1(Fig. 5) example.For example, 1,2,5,3 and 4 is the values that are stored in the variable in memory array 502.In inserting sorting operation, between each value of memory array 502 and its residual value of memory array 502, compare with by the value of memory array 502 minimum from whole values classify to the mxm. in whole values.For example, 5 and 2 compare.Determine 5 and be greater than 2, and therefore point in memory array 502 pointer of the 3rd position from the left side and still point to the 3rd position.As another example, 5 and 1 compares.Determine 5 and be greater than 1, and therefore, the pointer of the 3rd position from the left side pointing in memory array 502 still points to the 3rd position.As another example, 3 and 5 compare, and determine 3 and be less than 5.And, point to the pointer of the 3rd position from the left side in memory array 502 and become and point to memory array 502 the 4th position from the left side, and the pointer that points to the 4th position changes over now and points to the 3rd position.In this example, in memory array 502, make 3 and 5 switches.
Classify with by the value in memory array 502 minimum from value classify with the minimum value in determined value and maximal value to the mxm. in value.
Although figure 6 illustrates five values, in certain embodiments, be stored in memory array 502 greater or less than the value of five.
Fig. 7 is the figure that illustrates the embodiment of three memory arrays 504,506 and 508 of merge sort operation.Memory array 504,506 and 508 is parts of memory device 510.Memory device 510 is (Fig. 1 and Fig. 2) in variable receiver 110.In certain embodiments, memory device 510 intersects in determination module 114 or SD module 116 at data volume counter 112 or limit value.In each embodiment, memory array 504 and 506 is in the memory device of variable receiver 110, and merging memory array 508 is in the memory device of SD module 116.
After carrying out inserting sorting operation, generate the value of the variable in memory array 504 and 506.For example, by the value of the variable in memory array 504, the minimum of the whole values in this memory array 504 is classified to the mxm. of the whole values memory array 504.As another example, the minimum of the whole values by the value of the variable in memory array 506 in memory array 506 is classified to the mxm. of the whole values memory array 506.
In the data of memory array 504 and 506 interior reception variablees.In merge sort operation, the maximal value of whole values of determine memory array 504, and the minimum value of whole values of determine memory array 506.Further whether the minimum value in determine memory array 506 is greater than the maximal value in memory array 504.
In the time determining minimum value in memory array 506 and be not more than the maximal value in memory array 504, the each value in each value of memory array 504 and memory array 506 is compared.On the other hand, in the time determining minimum value in memory array 506 and be greater than the maximal value in memory array 504, between the value of memory array 504 and 506, do not compare.In the situation that not comparing, generate the merging memory array 508 of the whole values that comprised memory array 504 and 506.For example, the value of memory array 504 and 506 is written into and merges in memory array 508 according to the order of the value in memory array 504 and 506.
In each embodiment, the storage address of the memory array 506 of the value of storing " 7 " is immediately for example, after the storage address of the memory array 504 of (, be just adjacent to, continue and waiting) value of storing " 6 ".In certain embodiments, the memory array of the memory array 506 of the value of storing " 7 " (is for example listed in after the storage address of memory array 504 of the value of storing " 6 ", just be not close to, in two storage addresss, in multiple storage addresss etc.), but not immediately thereafter.
In each embodiment, for example, by the storage address that multiple (,, two etc.) are empty memory array 504 and 506 is separated.
Although it should be pointed out that each memory array 504 and 506 comprises six values.In certain embodiments, each memory array 504 and 506 comprises the value of the variable of varying number.
In each embodiment, merge memory array 508 and there is the size identical with the total quantity of the storage address in memory array 504 and 506, for example, quantity of storage address etc.
Fig. 8 is the figure that illustrates the embodiment of the memory device 550 of the compare operation in merge sort operation.Memory device 550 comprises memory array 552 and 554, and merges memory array 556.Memory array 553,554 and 556 is parts of memory device 550, and memory device 550 is positioned at variable receiver 110 (Fig. 1 and Fig. 2).In certain embodiments, memory device 550 is positioned at data volume counter 112 or limit value intersection determination module 114 or SD module 116.In each embodiment, memory array 552 and 554 is positioned at the memory device of variable receiver 110, and merging memory array 556 is positioned at the memory device of SD module 116.
In comparing one to one between the value of memory array 552 and 554, whether the value in the storage address of determine memory array 552 is less than the value in the storage address of memory array 554.For example, whether the value of determine memory array 552 " 4 " is less than the value " 3 " of memory array 554.As another example, each value of the variable in memory array 552 is compared according to each value of the variable in the order of the storage address in memory array 552 and 554 and memory array 554.For further illustrating the order of comparison, the value " 1 " in the storage address MA1 of memory array 552 compares with value " 3 ", " 5 ", " 6 " and " 8 " in storage address MA5, MA6, MA7 and the MA8 of memory array 554.Then, value " 3 ", " 5 ", " 6 " and " 8 " in storage address MA5, MA6, MA7 and the MA8 of the value in the storage address MA2 of memory array 552 " 2 " and memory array 554 are compared.Storage address MA1 is lower than storage address MA2.
When value in the storage address of determining in memory array 552 and 554 is less than the value in remaining storage address in memory array 552 and 554, judge less value is inserted (for example, write etc.) in the empty store address merging in memory array 556.For example, in the time determining value " 3 " in memory array 554 and be less than the value " 4 " in memory array 552, value " 3 " is written in the storage address 558 that merges memory array 556.As another example, in the time determining value " 4 " in memory array 552 and be less than the value " 5 " in memory array 554, value " 4 " is written in the storage address 560 that merges memory array 556.Empty store address in merging memory array 556 takies storage address in succession with merging memory array 556.
In merge sort operating process, after comparing between the value of memory array 552 and 554, compare not writing the arbitrary value of memory array 554 and all the other values of not writing of memory array 554 of merging in array 556.For example, the value of memory array 554 " 5 " and the value " 6 " of memory array 504 are compared.Compare by the order that comprises the storage address that not yet writes the memory array 554 that merges the value in memory array 556.For example, do not write merge memory array 556 in the situation that in value " 5 ", " 6 " and " 8 ", the value " 5 " in the minimum storage address in the storage address of the value of storing " 5 ", " 6 " and " 8 " in memory array 554 and value " 6 " and " 8 " are compared.The storage address of value " 6 " is greater than the storage address of the value " 8 " in memory array 554.
In merge sort operating process, in the value of not writing, the smaller in the value of not writing is write and merged in memory array 556.For example, in the time comparing between the value in memory array 554 " 5 " and " 6 ", will be worth " 5 " and write merging memory array 556.As another example, in the time comparing between the value in memory array 554 " 6 " and " 8 ", will be worth " 6 " write store array 556.The value of not writing relatively after any its residual value be written in the address blank with the merging memory array 556 being connected with the storage address of value.For example, the value of memory array 554 " 8 " is written in the storage address 562 of memory array 556.
In the time of merge sort EO, classify to the mxm. of whole values of memory array 552 and 554 from the minimum of the whole values in memory array 552 and 554 merging memory array 556.
Although it should be pointed out that each memory array 552 and 554 comprises four values.In certain embodiments, each memory array 552 and 554 comprises the variate-value of varying number.
In each embodiment, for example, by multiple (, one, two etc.) empty store address memory array 552 and 554 is separated.In certain embodiments, storage address MA5 is connected with the storage address MA4 of memory array 552.
In each embodiment, merge memory array 556 and there is the size identical with the total quantity of the storage address in memory array 552 and 554.
Fig. 9 is as SD module 116(Fig. 1 and Fig. 2) the figure of embodiment of SD module 580 of example.SD module 580 comprises mobile IQR module, IQR module, inserting sorting module, merge sort module, mould module, mobile mould module, average module, intermediate value module, variance module, standard deviation module, mobile average module, moving median module, mobile variance module and mobile standard deviation module.
Mobile IQR module is determined such as memory array 502(Fig. 6) or memory array 504(Fig. 7) or memory array 506(Fig. 7) or merge memory array 508(Fig. 7) or memory array 552(Fig. 8) or memory array 554(Fig. 8) or merge memory array 556(Fig. 8) etc. the mobile IQR of value of the variable in memory array.Similarly, the IQR of the value of the variable in IQR module computing store array.And, the value of inserting sorting module variable in memory array by inserting sorting operational applications.The value of merge sort module variable in memory array by merge sort operational applications.Mould module is determined the mould of the value of the variable in memory array.Similarly, mobile mould module is determined the mobile mould of the value of the variable in memory array.The mean value of the value of the variable in average module computing store array.Intermediate value module generates the intermediate value of the value of the variable in memory array.
The variance of the value in variance module computing store array, and standard deviation module is determined the standard deviation of the value in memory array.The moving average of the value of the variable in mobile average module computing store array, and moving median module is determined the moving median of the value of the variable in memory array.The mobile variance of the value in mobile variance module computing store array, and mobile variance module is determined the mobile variance of the value in memory array.Mobile standard deviation generates the mobile standard deviation of the value in memory array.
Mobile statistical value, for example, mobile IQR value or mobile mould value or mobile average or moving median or mobile variance yields or mobile standard deviation etc., the value of dynamically considering the value of variable, because this value is by such as SD module 116(Fig. 1 and Fig. 2) the memory array of buffer zone and so on from model value maker 115(Fig. 1 and Fig. 2) etc. receive.For example, memory array 502(Fig. 6 in the time not receiving the value " 3 " of memory array 502 and " 4 ") in value " 1 ", the moving average of " 2 " and " 5 " be different from the moving average of value " 1 ", " 2 ", " 5 ", " 3 " and " 4 ".As another example, merge memory array 556(Fig. 8 while merging its residual value " 3 " of memory array 556 and " 4 " merging not generate in memory array 556) in the mobile standard deviation of value " 1 ", " 2 " and " 3 " be different from and merge value " 1 ", " 2 ", " 3 " of memory array 556, the moving average of " 3 " and " 4 ".
In each embodiment, from the receiving velocity of the value in the buffer zone of the SD module 116 of mould value maker 115 with by variable receiver 110(Fig. 1 and Fig. 2) the receiving velocity of value identical.
In certain embodiments, SD module 580 comprises mobile IQR module or IQR module or inserting sorting module or merge sort module or mould module or mobile mould module or average module or intermediate value module or variance module or standard deviation module or mobile average module or moving median module or mobile variance module or mobile standard deviation module or its combination.For example, SD module 580 comprises mobile IQR module and inserting sorting module.As another example, SD module 580 comprises merge sort module and mobile average module and mobile standard deviation module.
In each embodiment, each in mobile IQR module and IQR module and inserting sorting module and merge sort module and mould module and mobile mould module and average module and intermediate value module and variance module and standard deviation module and mobile average module and moving median module and mobile variance module and mobile standard deviation module is implemented as independent processor.For example, mobile IQR module is implemented as a processor, and mould module is implemented as another processor.
In multiple embodiment, mobile IQR module, IQR module, inserting sorting module, merge sort module, mould module, mobile mould module, average module, intermediate value module, variance module, standard deviation module, mobile average module, moving median module, mobile variance module, be implemented as a processor with one or more in mobile standard deviation module, and mobile IQR module, IQR module, inserting sorting module, merge sort module, mould module, mobile mould module, average module, intermediate value module, variance module, standard deviation module, mobile average module, moving median module, mobile variance module, be implemented as another processor with any remainder in mobile standard deviation module.
In multiple embodiment, each in mobile IQR module, IQR module, inserting sorting module, merge sort module, mould module, mobile mould module, average module, intermediate value module, variance module, standard deviation module, mobile average module, moving median module, mobile variance module and mobile standard deviation module is implemented as and is stored in the computer program in non-transient state computer-readable medium or is embodied as hardware or is embodied as hardware and the combination of computer program.
In certain embodiments, with carry out inserting sorting operation computation of mean values concurrently.For example,, with the minimum value from value is classified concurrently to variable to the maximal value in value by value value summation.
In multiple embodiment, after carrying out inserting sorting operation, determine IQR or intermediate value.After having carried out inserting sorting operation, the minimum by the value of variable from value is classified to the mxm. in value.When quantity on duty is odd number, the value that is positioned at the centre of classification value is intermediate value.When quantity on duty is even number, the mean value that is positioned at two values of the centre of classification value is intermediate value.The intermediate value calculating is for determining IQR.
In each embodiment, operate computation of mean values concurrently with execution merge sort.Carry out computation of mean values according to whole values of classification after merge sort operation.
In multiple embodiment, after carrying out merge sort operation, determine IQR or intermediate value.After carrying out merge sort operation, the minimum by the value of variable from value is classified to the mxm. in value.When quantity on duty is odd number, the value that is positioned at the centre of classification value is intermediate value.When quantity on duty is even number, the mean value that is positioned at two values of the centre of classification value is intermediate value.The intermediate value calculating is for determining IQR.
Figure 10 is as SD module 116(Fig. 1 and Fig. 2) the figure of embodiment of SD module 590 of example.SD module 590 comprises mobile IQR module, IQR module, inserting sorting module, merge sort module, mould module, mobile mould module, average module, intermediate value module, variance module, standard deviation module, mobile average module, moving median module, mobile variance module and mobile standard deviation module.
And, in SD module 590, merge sort module is connected to mobile IQR module, IQR module, inserting sorting module, mould module, mobile mould module, average module, intermediate value module, variance module, standard deviation module, mobile average module, moving median module, mobile variance module and mobile standard deviation module.
Mobile IQR module calculated example is as merged memory array 508(Fig. 7) or merge memory array 556(Fig. 8) etc. merge the mobile IQR of the value in memory array.Similarly, IQR module is calculated the IQR that merges the value in memory array.And average module is calculated the average that merges the value in memory array.Intermediate value module generates the intermediate value that merges the value in memory array.Mould module generates the mould that merges the value in memory array, and mobile mould module is calculated the mobile mould that merges the value in memory array.And variance module is calculated the variance that merges the value in memory array.Standard deviation module is determined the standard deviation that merges the value in memory array, and mobile average module is calculated the mobile average that merges the value in memory array.Moving median module is determined the moving median that merges the value in memory array, and mobile variance module is calculated the mobile variance that merges the value in memory array.Mobile standard deviation module generates the mobile standard deviation that merges the value in memory array.
In certain embodiments, SD module 590 comprises mobile IQR module or IQR module or inserting sorting module or merge sort module or mould module or mobile mould module or average module or intermediate value module or variance module or standard deviation module or mobile average module or moving median module or mobile variance module or mobile standard deviation module or its combination.
Figure 11 is the figure for the embodiment of the system 601 of the Applicative time sheet method of sampling.System 601 comprises bias compensation module 196 and event checking module 198.Bias compensation module 196 and/or event checking module 198 receive from SD module 116(Fig. 1 and Fig. 2) rise time of value of variable.For example, time point t1 is the time point that generates variate-value V21 by SD module 116.As another example, time point t2 is the time point that generates variate-value V22 by SD module 116, and time point t2 is the time point that generates variate-value V23 by SD module 116, and time point t4 is the time point that generates variate-value V24 by SD module 116.As another example, time point t5 is generated variate-value V25 and is generated the time point of variate-value V11 by SD module 116 by SD module 116.Time point t1 to t5 calculates by SD module 116.In certain embodiments, time point t1 is the time point that generates variate-value V15 and variable V 21.
In certain embodiments, variate-value V11, V12, V13, V14 and V15 are the values as the first variable of variable 1.Variate-value V21, V22, V23, V24 and V25 are the bivariate values as variable 2.Variable 1 is different from variable 2.For example, variable 1 is power, and variable 2 is voltage.As another example, variable 1 is electric current, and variable 2 is voltage.
In each embodiment, variable 1 and variable 2 are identical variable and the value based on from different RF generator and generate.For example, variable 1 is generated by the magnitude of voltage of x MHz RF generator, and variable 2 is generated by the magnitude of voltage of y MHz RF generator.As another example, variable 1 is generated by the frequency values of y MHz RF generator, and variable 2 is generated by the frequency values of z MHz RF generator.
Variable V 11 to V15 is stored in SD module 116(Fig. 1 and Fig. 2) such as inserting sorting array, merge in the memory array such as array.For example, by variate-value V11 to V15, the minimum from value is classified to the mxm. in value.In this example, V11 is minimum, and V15 is mxm..And variable V 21 to V25 is stored in SD module 116(Fig. 1 and Fig. 2) such as inserting sorting array, merge in the memory array such as array.For example, by variate-value V21 to V25, the minimum from value is classified to the mxm. in value.In this example, V21 is minimum, and V25 is mxm..And time point t1 to t5 is stored in the memory array 607 of bias compensation module 196 and/or event checking module 198.Time point t1 to t5 receives to be stored in memory array 607 from SD module 116 by bias compensation module 196 and/or event checking module 198.
Whether the time point t1 of the generation of bias compensation module 196 decision variable value V21 is identical with the time point of the generation of variate-value V15.In the time that the time point t1 of generation that determines variate-value V21 is identical with the time point of the generation of variate-value V15, variate-value V21 and V15 are for judging whether there is biasing at plasma system.For example, be positioned at outside preset range and when variate-value V15 is positioned at outside preset range, judge and have biasing determining variate-value V21.In this example, value V15 and V21 regulate biasing to generate the statistical value through regulating by bias compensation module 196.As another example, determine variate-value V21 be positioned at preset range within and variate-value V15 while being positioned within preset range, determine and in plasma system, do not have biasing.As another example, determine variate-value V21 be positioned at preset range within and variate-value V15 while being positioned at outside preset range, determine and in plasma system, not having biasing or having biasing.
Similarly, whether the time point t5 of the generation of bias compensation module 196 decision variable value V25 is identical with the time point of the generation of variate-value V11.In the time that the time point t5 of generation that determines variate-value V25 is identical with the time point of the generation of variate-value V11, variate-value V25 and V11 are for judging whether there is biasing at plasma system.
And in certain embodiments, whether the time point t1 of the generation of event checking module 198 decision variable value V21 is identical with the time point of the generation of variate-value V15.In the time that the time point t1 of generation that determines variate-value V21 is identical with the time point of the generation of variate-value V15, variate-value V21 and V15 are for judging whether there is fault at plasma system.For example, be positioned at outside presumptive area and when variate-value V15 is positioned at outside presumptive area, determine and have fault determining variate-value V21.As another example, determine variate-value V21 be positioned at presumptive area within and variate-value V15 while being positioned at presumptive area, determine and in plasma system, do not have fault.As another example, determine variate-value V21 be positioned at presumptive area within and variate-value V15 while being positioned at outside presumptive area, determine and in plasma system, do not have fault or have fault.
Similarly, whether the time point t5 of the generation of event checking module 198 decision variable value V25 is identical with the time point of the generation of variate-value V11.In the time that the time point t5 of generation that determines variate-value V25 is identical with the time point of the generation of variate-value V11, variate-value V25 and V11 are for judging whether there is fault at plasma system.
Figure 12 is the block diagram of the embodiment of the SD module 600 for generating mobile variance 602.SD module 600 comprises multiple totalizer A1, A2, A3, A4 and A5, multiplier MU1, divider D1 and D2, and square-root computer SQRT1.SD module 600 is SD module 116(Fig. 1 and Fig. 2) example.
SD module 600 comprises current mean value computation device, and its calculated example is as memory array 502(Fig. 6) or memory array 504(Fig. 7) or memory array 506(Fig. 7) or merge memory array 508(Fig. 7) or memory array 552(Fig. 8) or memory array 554(Fig. 8) or merge memory array 556(Fig. 8) etc. the current average of value of the variable in memory array.And, for the current data point x that is calculated current average in memory array by it, for example, value in value, merging memory array in memory array etc., deducts current average to generate δ (delta) value of variance by totalizer A1 from current data point.Totalizer A2 is added to generate result by δ value and current average, and result is divided by the total quantity n that is generated the data point in the memory array of current average by it.The total quantity of data point calculation device computational data point.Result is next average with generation variable of being undertaken by divider D1 divided by the sum of data point.In certain embodiments, next average is statistical value.
Deduct next average to generate result by totalizer A4 from current data point x, and by multiplier MU1, result and δ value are multiplied by generation result mutually.By totalizer A5, result and current instantaneous average M2 are added to generate next instantaneous average M2.In certain embodiments, next instantaneous average M2 is statistical value.
By divider D2 using next instantaneous value M2 divided by as the quantity of quantity of total quantity that is less than the data point in memory array to generate mobile variance 602.In each embodiment, mobile variance 602 is statistical values.
Calculate the square root of mobile variance 602 by square-root computer SQRT1 to generate mobile standard deviation 604.In multiple embodiment, mobile standard deviation 604 is statistical values.
It should be pointed out that for the each different value in memory array, next average, next instantaneous average and mobile variance 602 are different.Mobile variance 602 changes along with the variation of the value in memory array.
Pseudo-code for generating mobile variance 602 is provided below:
Def online_variance (data):
n=0
Current average=0
M2=0
X in for quantity:
n=n+1
The current average of δ=x-
Next average=(current average+δ)/n
The current instantaneous average M2+ δ * of next instantaneous average M2=(next average of x –)
Mobile variance=next instantaneous average M2/ (n-1)
Return to mobile variance.
In pseudo-code, the total quantity n of quantity point, current average and current instantaneous average are initialized to zero.
Figure 13 is the process flow diagram of the embodiment of the method 700 for generating statistical value.In method 700, variable is input to such as console controller 150(Fig. 1 from RF systems such as such as x controller or y controller or z controller or its combinations) or console controller 192(Fig. 2) etc. console controller.In operation 702, variable is propagated through model 113(Fig. 1-4).For example, calculated direction and.In this example, direction and be variable value with allow the value of part of the model 113 that the value of variable propagates through.In certain embodiments, operation 702 is by model value maker 115(Fig. 1-4) carry out.
Method 700 also comprises the operation 704 of the output of model 113 being counted for variable.For example,, to counting in the quantity of value that Variable Transmission is generated after by model 113.In the output of model 113 generation value, and by data volume counter 112(Fig. 1 and Fig. 2) carry out calculated value.The output of the model 113 of counting in certain embodiments, comprise direction and.
In the operation 706 of method 700, to intersect determination module 114 by limit value and judge whether counting meets count threshold, count threshold is to be stored in limit value to intersect the pre-stored quantity of the value in the memory device of determination module 114.Operation 704 counting continues for example, until counting does not meet the count threshold such as (, be less than).On the other hand, for example, in the time that counting meets (, exceeding, be more than or equal to etc.) count threshold, in operation 708, generate statistical value by the output of the model 113 calculating by model value maker 115.For example,, by the direction being worth and generation statistical value.
By SD module 116(Fig. 1 and Fig. 2) generate statistical value.In operation 710, by transmitter 174(Fig. 1 and Fig. 2) statistical value is sent to RF system with regulated variable.For example, statistical value is sent to x, y and/or z controller to generate RF signal based on statistical value.
It should be noted, although described above-described embodiment in conjunction with parallel-plate plasma chamber, in one embodiment, above-described embodiment is applicable to the plasma chamber of other type, for example, comprise the plasma chamber of inductively coupled plasma (ICP) reactor, comprise plasma chamber of electron cyclotron resonance (ECR) reactor etc.For example, the indoor inductor coupling of x MHz, y MHz and z MHz RF generator and ICP plasma chamber.
In certain embodiments, use kilo hertz (kHz) RF generator, and do not use MHz RF generator.For example, use 400kHz RF generator, and do not use x MHz RF generator.
In each embodiment, MHz RF generator has the frequency of operation of MHz, and kHz RF generator has the frequency of operation of kHz.
Embodiment more described herein are by means of comprising portable equipment, microprocessor system, realizing based on various computer system configurations such as client's electronic equipment microprocessor or able to programme, microcomputer, prime frame counters.More described embodiment realize in the distributed computing environment of executing the task at the teleprocessing equipment by network linking in this article.
In the time considering above-described embodiment, should be appreciated that embodiment more described herein have adopted the various computer implemented operation that relates to the data of storing in computer system.These operations are operations of the physical manipulation of requirement physical quantity.Any operation of the part of formation embodiment described herein is useful machine operation.Embodiment more described herein also relate to equipment or the device for carrying out these operations.In certain embodiments, device is specially constructed for tailored version computing machine.In the time limiting tailored version computing machine, computing machine carries out other processing, program execution or is not the routine of the part of special purpose, still can operate for special purpose simultaneously.In each embodiment, optionally start or the general purpose computer that configures is processed operation by the one or more computer programs that are stored in computer memory, cache memory or obtain via network.In the time obtaining data by network, carry out deal with data by other computing machine on the network of for example cloud computing resources and so on.
Some embodiment are made as the computer-readable code on non-transient state computer-readable medium.Non-transient state computer-readable medium is data-storable arbitrary data memory device, and data can read by computer system subsequently.The example of non-transient state computer-readable medium comprises memory storage (NAS), ROM, RAM, the high density disk ROM(CD-ROM that hard disk drive, network connect), CD can pen recorder (CD-R), CD can rewriting device (CD-RW), tape or other optics or non-optical data storage device.In certain embodiments, non-transient state computer-readable medium is included in the computer-readable tangible medium distributing in the computer system of net connection, so that computer-readable code is stored and carries out with distribution mode.
Although described the operation of method by certain order, should be understood that, in certain embodiments, can between operation, carry out other housekeeping operations, or adjustment operation is so that they are in slightly different moment generations, or operation is distributed in and allows to process operation by the system of the each interval generation being associated with processing, as long as the processing of overlapping operation in desired manner.
In certain embodiments, from one or more features of any embodiment with from one or more Feature Combinations of any other embodiment, and do not depart from the scope described in each embodiment described in the disclosure.
Although previous embodiment is illustrated in some details for understanding clearly object, obviously can implements some changes and improvements in the scope of claims of enclosing.Therefore, current embodiment should be considered as exemplary with nonrestrictive, and the embodiment details that is not limited to provide herein, but can in the scope of the claims of enclosing and equivalency range, modify.

Claims (26)

1. a method, it comprises:
Receive from radio frequency (RF) system variable;
By described Variable Transmission by the model of described RF system;
For described variable, the output of described model is counted to generate counting;
Judge whether described counting meets count threshold;
In the time determining described counting and meet described count threshold, generate the statistical value of described variable in the described output of described model; And
Described statistical value is sent to described RF system to regulate described variable.
2. the method for claim 1, wherein said variable comprises phase place or telegram in reply stream or complex voltage or its combination between power or frequency or voltage value or current magnitude or complex voltage and telegram in reply stream.
3. the method for claim 1, wherein said RF system comprises RF generator.
4. the method for claim 1, wherein said model is the model that computing machine generates, wherein propagate direction that described variable comprises by described model the variate-value that generates the value of described variable and be associated with the part of described model with.
5. the method for claim 1, wherein said output comprises multiple values of described variable.
6. method as claimed in claim 5, wherein said statistical value comprises mobile mould or its combination of mobile interquartile range or the interquartile range of described value or the minimum value of the maximal value of described value or described value or the standard deviation of the intermediate value of the average of described value or described value or the variance of described value or described value or the moving median of the mobile average of described value or described value or the mobile standard deviation of the mobile variance of described value or described value or the mould of described value or the described value of described value.
7. a method, it comprises:
Receive the data that are associated with variable from radio frequency (RF) generator, described RF generator is configured to produce the RF signal for the treatment of to be supplied to via impedance matching circuit plasma chamber, described variable is associated with RF system, and described RF system comprises described RF generator, impedance matching circuit and described plasma chamber;
Generate from the value of computer-generated model output based on received data;
Amount to the described value from described computer-generated model output is counted;
Judge whether described amount exceedes count threshold;
Exceed described count threshold in response to determining described amount, according to generating statistical value from the described value of described computer-generated model output;
Described statistical value is sent to described RF generator to regulate the described RF signal being produced by described RF generator.
8. method as claimed in claim 7, wherein be provided for described plasma chamber through the described RF signal regulating via RF cable, described impedance matching circuit and RF transmission line, wherein said RF cable is by described RF generator and the coupling of described impedance matching circuit, and wherein said RF transmission line is by described impedance matching circuit and the coupling of described plasma chamber.
9. method as claimed in claim 7, wherein said variable comprises phase place or telegram in reply stream or complex voltage or its combination between power or frequency or voltage value or current magnitude or complex voltage and telegram in reply stream.
10. method as claimed in claim 7, wherein said impedance matching circuit is by the impedance matching in the impedance of load and source, wherein said source comprises described RF generator and the RF cable by described RF generator and the coupling of described impedance matching circuit, wherein said load comprises described plasma chamber and RF transmission line, and described RF transmission line is by described plasma chamber and the coupling of described impedance matching circuit.
11. methods as claimed in claim 7, wherein said plasma chamber comprises chuck and the top electrode towards described chuck.
12. methods as claimed in claim 7, wherein said variable is included in complex voltage and the electric current at the some place in plasma system.
13. methods as claimed in claim 7, wherein said amount comprises the quantity of value, and wherein said statistical value comprises mobile mould or its combination of mobile interquartile range or the interquartile range of described value or the minimum value of the maximal value of described value or described value or the standard deviation of the intermediate value of the average of described value or described value or the variance of described value or described value or the moving median of the mobile average of described value or described value or the mobile standard deviation of the mobile variance of described value or described value or the mould of described value or the described value of described value.
14. methods as claimed in claim 7, also comprise and extract received described data after generating described statistical value.
15. methods as claimed in claim 7, the described value that is wherein created on the described output of described computer-generated model comprises received data dissemination by the part of described computer-generated model.
16. 1 kinds of methods, it comprises:
Receive the data that are associated with variable from radio frequency (RF) generator, described RF generator produces the RF signal for the treatment of to be supplied to via impedance matching circuit plasma chamber, described variable is associated with RF system, and described RF system comprises described RF generator, impedance matching circuit and described plasma chamber;
Data based on received are created on the value of the output of computer-generated model;
Amount to the described value from described computer-generated model output is counted;
Judge whether described amount exceedes threshold value;
Exceed described threshold value in response to determining described amount, by generating statistical value from the described value of described computer-generated model output;
Judge that described statistical value is whether outside preset range;
In response to determining described statistical value outside described preset range and described statistical value is adjusted within described preset range; And
Thereby regulate to control described RF generator the described RF signal being produced by described RF generator by send to described RF generator through the described statistical value regulating.
17. methods as claimed in claim 16, wherein be provided for described plasma chamber through the described RF signal regulating via RF cable, described impedance matching circuit and RF transmission line, wherein said RF cable is by described RF generator and the coupling of described impedance matching circuit, and wherein said RF transmission line is by described impedance matching circuit and the coupling of described plasma chamber.
18. methods as claimed in claim 16, wherein said variable comprises phase place or telegram in reply stream or complex voltage or its combination between power or frequency or voltage value or current magnitude or complex voltage and telegram in reply stream.
19. methods as claimed in claim 16, wherein said impedance matching circuit is by the impedance matching in the impedance of load and source, wherein said source comprises described RF generator and the RF cable by described RF generator and the coupling of described impedance matching circuit, wherein said load comprises described plasma chamber and RF transmission line, and described RF transmission line is by described plasma chamber and the coupling of described impedance matching circuit.
20. methods as claimed in claim 16, wherein said plasma chamber comprises chuck and the top electrode towards described chuck.
21. methods as claimed in claim 16, wherein said variable is included in complex voltage and the electric current at the some place in plasma system.
22. 1 kinds of methods, it comprises:
Receive the data that are associated with variable from radio frequency (RF) generator, described RF generator produces the RF signal for the treatment of to be supplied to via impedance matching circuit plasma chamber, described variable is associated with RF system, and described RF system comprises described RF generator, impedance matching circuit and described plasma chamber;
Data based on received are created on the value of the output of computer-generated model;
Amount to the described value from described computer-generated model output is counted;
Judge whether described amount exceedes count threshold;
Exceed described count threshold in response to determining described amount, by generating statistical value from the described value of described computer-generated model output;
Judge that described statistical value is whether outside presumptive area;
Produce indicating fault in response to determining described statistical value outside described presumptive area; And
Described indicating fault is sent to described RF generator.
23. methods as claimed in claim 22, also comprise:
Judge that described statistical value is whether within preset range;
In response to determining described statistical value outside described preset range and described statistical value is adjusted within described preset range; And
To send to described RF generator through the described statistical value regulating to control the RF signal of described RF generator generation through regulating to offer described plasma chamber via described impedance matching circuit.
24. methods as claimed in claim 22, wherein be provided for described plasma chamber through the described RF signal regulating via RF cable, described impedance matching circuit and RF transmission line, wherein said RF cable is by described RF generator and the coupling of described impedance matching circuit, and wherein said RF transmission line is by described impedance matching circuit and the coupling of described plasma chamber.
25. methods as claimed in claim 22, wherein said variable comprise power or frequency or loaded impedance real part and or the imaginary part of described loaded impedance or voltage value or current magnitude or complex voltage and telegram in reply stream between phase place or wafer bias or ion energy or plasma potential or telegram in reply stream or complex voltage or loaded impedance or its combination.
26. methods as claimed in claim 22, wherein said variable is included in complex voltage and the electric current at the some place in described plasma system.
CN201310689280.7A 2012-12-14 2013-12-16 Computation of statistics for statistical data decimation Expired - Fee Related CN103869769B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261737623P 2012-12-14 2012-12-14
US61/737,623 2012-12-14
US14/086,883 2013-11-21
US14/086,883 US9295148B2 (en) 2012-12-14 2013-11-21 Computation of statistics for statistical data decimation

Publications (2)

Publication Number Publication Date
CN103869769A true CN103869769A (en) 2014-06-18
CN103869769B CN103869769B (en) 2017-04-12

Family

ID=50908412

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310689280.7A Expired - Fee Related CN103869769B (en) 2012-12-14 2013-12-16 Computation of statistics for statistical data decimation

Country Status (2)

Country Link
KR (1) KR102220078B1 (en)
CN (1) CN103869769B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111223233A (en) * 2019-12-23 2020-06-02 西安科技大学 Gravity sensor data smoothing algorithm based on dynamic window

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US20210225614A1 (en) * 2020-01-20 2021-07-22 COMET Technologies USA, Inc. Radio frequency match network and generator
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060000799A1 (en) * 2004-06-30 2006-01-05 Hyun-Ho Doh Methods and apparatus for determining endpoint in a plasma processing system
CN1980081A (en) * 2005-12-09 2007-06-13 马维尔国际贸易有限公司 Detection and estimation of radio frequency variations
CN101295345A (en) * 2007-04-29 2008-10-29 晨星半导体股份有限公司 Radio frequency recognition reading device
CN101551845A (en) * 2008-03-31 2009-10-07 华为技术有限公司 Identification and response method for electronic label, device and system

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000049216A (en) 1998-07-28 2000-02-18 Mitsubishi Electric Corp Plasma processing apparatus and method of adsorption by electrostatic chucking used in apparatus thereof
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US8501631B2 (en) * 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP2012138581A (en) 2012-01-10 2012-07-19 Hitachi High-Technologies Corp Plasma processing apparatus and plasma processing method

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060000799A1 (en) * 2004-06-30 2006-01-05 Hyun-Ho Doh Methods and apparatus for determining endpoint in a plasma processing system
CN101006550A (en) * 2004-06-30 2007-07-25 朗姆研究公司 Methods and apparatus for determining endpoint in a plasma processing system
CN1980081A (en) * 2005-12-09 2007-06-13 马维尔国际贸易有限公司 Detection and estimation of radio frequency variations
US20100264902A1 (en) * 2005-12-09 2010-10-21 Nallapureddy Bhaskar V Detection and estimation of radio frequency variations
CN101295345A (en) * 2007-04-29 2008-10-29 晨星半导体股份有限公司 Radio frequency recognition reading device
CN101551845A (en) * 2008-03-31 2009-10-07 华为技术有限公司 Identification and response method for electronic label, device and system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111223233A (en) * 2019-12-23 2020-06-02 西安科技大学 Gravity sensor data smoothing algorithm based on dynamic window

Also Published As

Publication number Publication date
CN103869769B (en) 2017-04-12
KR102220078B1 (en) 2021-02-25
KR20140077866A (en) 2014-06-24

Similar Documents

Publication Publication Date Title
CN103869769A (en) Computation of statistics for statistical data decimation
US10163605B2 (en) Computation of statistics for statistical data decimation
US10707056B2 (en) Using modeling to determine ion energy associated with a plasma system
US10008371B2 (en) Determining a value of a variable on an RF transmission model
CN103926850B (en) Tuning A Parameter Associated With Plasma Impedance
US9779196B2 (en) Segmenting a model within a plasma system
TWI636662B (en) Impedance matching method and impedance matching system
TWI598582B (en) Using modeling to determine wafer bias associated with a plasma system
US9043525B2 (en) Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
KR102223863B1 (en) Dual control mode
CN104051215A (en) Determining A Malfunctioning Device in A Plasma System
CN101901074A (en) The contact of inspected object or close sensor device and the display device of having carried it
CN103597711A (en) Electronic device, wireless power receiving apparatus, and display apparatus
CN108447759B (en) Method and system for determining ion energy associated with a plasma system using a model
CN106095298B (en) Hybrid detection for capacitive input devices
US20130141076A1 (en) Spread Spectrum Clock Signal Detection System and Method
TWI650563B (en) Cable power loss decision for virtual measurement
KR20170097624A (en) Apparatus and methods to provide a selectable charging voltage
Li et al. Measurement and analysis of time-domain characteristics of corona-generated radio interference from a single positive corona source
Wang et al. Low-bit quantization for deep graph neural networks with smoothness-aware message propagation
CN117008724A (en) Motion microwave detection method and system
JP2019144628A (en) Comptroller circuit of touch panel, comptroller circuit of electrostatic switch, input device using the same, electronic apparatus, and control method of touch panel

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20170412

Termination date: 20191216

CF01 Termination of patent right due to non-payment of annual fee