CN105977134A - 具有用于改变衬底温度的衬底托盘的预清洗腔室和借助所述衬底托盘进行的预清洗工艺 - Google Patents

具有用于改变衬底温度的衬底托盘的预清洗腔室和借助所述衬底托盘进行的预清洗工艺 Download PDF

Info

Publication number
CN105977134A
CN105977134A CN201610133338.3A CN201610133338A CN105977134A CN 105977134 A CN105977134 A CN 105977134A CN 201610133338 A CN201610133338 A CN 201610133338A CN 105977134 A CN105977134 A CN 105977134A
Authority
CN
China
Prior art keywords
substrate
cooling body
pallet
substrate pallet
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610133338.3A
Other languages
English (en)
Other versions
CN105977134B (zh
Inventor
J·托尔
E·R·希尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of CN105977134A publication Critical patent/CN105977134A/zh
Application granted granted Critical
Publication of CN105977134B publication Critical patent/CN105977134B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C9/00Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important
    • B05C9/08Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation
    • B05C9/14Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation the auxiliary operation involving heating or cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Abstract

一种用于从衬底的表面去除氧化物材料的系统可包括用以收纳所述衬底的衬底托盘,和用以收纳所述衬底托盘的冷却体。所述系统可包括:第一温度控制元件,其被配置用来控制所述衬底托盘的温度,和第二温度控制元件,其被配置用来控制所述冷却体的温度,其中所述第一温度控制元件和所述第二温度控制元件可被独立地控制。一种用于从衬底的表面去除氧化物材料的方法可包括:在具有加热元件的衬底托盘上提供所述衬底;通过将热从所述衬底托盘传递至冷却体来冷却所述衬底;在所述衬底在所述冷却体上时将含有卤素的材料沉积在所述冷却的衬底上;以及随后通过借助将热从所述衬底托盘传递至所述衬底而加热所述冷却的衬底来使所述含有卤素的材料升华。

Description

具有用于改变衬底温度的衬底托盘的预清洗腔室和借助所述衬底托盘进行的 预清洗工艺
技术领域
本发明涉及集成电路的制造,具体地说涉及用于预清洗衬底的方法和设备。
背景技术
集成电路的制造经常可涉及在衬底表面上形成一个或多个材料层。这些材料层可包括(例如)单晶、多晶和/或非晶材料层。材料层的形成可使用各种薄膜沉积技术来实现,薄膜沉积技术包括各种物理(例如,物理溅射)和/或化学(例如,化学气相沉积、原子层沉积和/或外延沉积)沉积技术。举例来说,衬底表面上的单晶材料形成可使用外延沉积工艺来实现,诸如用于单晶半导体材料(例如,单晶硅)的形成。
衬底表面上存在介入材料(例如,原生氧化物层,诸如硅衬底上的氧化硅材料层)可干扰期望的材料层在那个衬底表面上的形成。举例来说,介入材料可能导致在期望的材料层结构中引入的缺陷数增多,和/或可能不利地影响期望的材料层的电性能。在一些实施方案中,因为衬底在集成电路制造工艺期间暴露于氧化物(例如,在衬底在制造系统之间转移期间暴露于环境空气,和/或暴露于制造系统内的残余氧化剂),诸如原生氧化物材料等介入材料可形成在衬底表面上。
因此,持续地需要用于在衬底表面上形成高质量层的设备和工艺。
发明内容
一种用于集成电路制造的系统可包括:反应腔室,其用于处理衬底;所述反应腔室内的衬底托盘,其用以收纳所述衬底;以及冷却体,其用以收纳所述衬底托盘。所述系统可包括:第一温度控制元件,其被配置用来控制所述衬底托盘的温度,和第二温度控制元件,其被配置用来控制所述冷却体的温度,其中所述第一和第二温度控制元件被配置用来独立地控制所述衬底托盘和所述冷却体的所述温度。
一种用于集成电路制造的方法可包括从衬底的表面去除氧化物材料,其中所述表面包括硅。去除所述氧化物材料可包括:在具有加热元件的衬底托盘上提供所述衬底;通过将热从所述衬底托盘传递至冷却体来冷却所述衬底;在所述衬底在所述衬底托盘上时将含有卤素的材料沉积在所述冷却的衬底上;以及随后通过借助将热从所述衬底托盘传递至所述衬底而加热所述冷却的衬底来使所述含有卤素的材料升华。
一种用于集成电路制造的方法可包括从衬底的表面去除氧化物材料。所述表面可包括硅。去除所述氧化物材料可包括将所述衬底放置在衬底托盘上,其中所述衬底托盘在冷却体上方。所述方法可包括将含有卤素的材料沉积在所述表面上,以及通过独立于所述冷却体的温度控制所述衬底托盘的温度来使所述含有卤素的材料升华。
附图说明
参看某些实施方案的附图描述本公开的各种特征、方面和优点,附图旨在图示某些实施方案而不是限制本发明。
图1是根据一些实施方案的预清洗系统的示意图。
图2A示出根据一些实施方案的被配置用来执行预清洗工艺的反应腔室的实例的侧面截面图。
图2B示出根据一些实施方案的衬底托盘的实例的侧面截面图。
图3A、图3B和图3C示出根据一些实施方案的衬底托盘和冷却体的侧面截面图。
图4示出根据一些实施方案的用于预清洗衬底表面的工艺的实例。
具体实施方式
本文中描述涉及用于从衬底的暴露表面去除氧化物材料的预清洗设备和工艺的各种实施方案。应理解,所得预清洗的表面可提供促进稍后形成高质量的材料层(诸如硅的外延生长)的表面。
在一些实施方案中,用于集成电路制造的系统可提供两个或多个温度之间的快速循环,其可有利地应用于通过去除不需要的材料(诸如氧化物材料)来预清洗衬底表面的工艺。系统可包括用以收纳衬底的衬底托盘,和用以收纳衬底托盘的冷却体。举例来说,衬底可容纳在衬底托盘上方并与衬底托盘直接接触,且衬底托盘可容纳在冷却体上方并任选地与冷却体直接接触。系统可包括:第一温度控制元件,其被配置用来控制衬底托盘的温度,和第二温度控制元件,其被配置用来控制冷却体的温度。第一温度控制元件和第二温度控制元件可被独立地控制。举例来说,衬底托盘的温度可相对于冷却体的温度被独立地控制。在一些实施方案中,衬底托盘和冷却体可具有不同的温度设定点,其使用热电偶和加热元件和/或冷却元件的单独集合来维持,不同的集合用于衬底托盘和冷却体中的每一者。在一些实施方案中,冷却体包括冷却元件,而衬底托盘不包括冷却元件。
应了解,衬底托盘的加热元件可加热托盘和那个托盘上的衬底,而冷却体提供用于从衬底托盘传递热的出口,进而冷却衬底。优选地,冷却体的质量基本上大于衬底托盘的质量。在一些实施方案中,冷却体的质量与衬底托盘的质量的比是约20∶1或更高,包括约10∶1至约50∶1,或约10∶1至约30∶1。在一些实施方案中,冷却体的质量与衬底托盘的质量的比可以是约19∶1。衬底托盘可因为其相对低的质量而被迅速加热,而冷却体的相对高质量允许托盘通过在托盘与冷却体之间进行热接触后将热传递至冷却体而迅速冷却。因此,可实现托盘上的衬底的快速加热和冷却。温度之间的此快速循环可提供用于预清洗衬底,以及实现针对氧化物的去除的高选择度的优点,如本文中所论述。
在一些实施方案中,冷却体可相对于衬底托盘移动以加热和冷却托盘。举例来说,冷却体可移动远离衬底托盘以加热托盘(使得分离防止或减少从托盘到冷却体的热损失)。可通过使衬底托盘与冷却体彼此直接接触(使得将热从托盘传递至冷却体)来实现冷却。
在一些其它实施方案中,托盘附接至冷却体。在此布置中,可通过向那个托盘的加热元件提供或增加热力来加热托盘,而可通过停止或减少传至托盘的加热元件的热力来冷却托盘。整个过程中,冷却体可维持在比托盘低的温度并充当与托盘接触的散热器。
如本文中所论述,由衬底托盘和冷却体提供的热循环可有利地应用于预清洗衬底。在一些实施方案中,用于集成电路制造的工艺包括预清洗衬底表面以从表面去除氧化物材料。氧化物材料可包括形成在表面上的包括硅和/或锗的原生氧化物材料,包括例如硅的氧化物、锗的氧化物,和/或锗和硅的氧化物(例如,氧化硅、氧化锗、硅锗氧化物)。预清洗材料的沉积和预清洗材料的随后的挥发可有利地使氧化物材料从衬底表面去除。
在不受理论限制的情况下,相信为了形成预清洗材料,在预清洗工艺期间流入至反应腔室中的反应物质可与衬底表面上的不需要的材料以化学方式相互作用。在一些实施方案中,预清洗材料可包括从反应物质与衬底表面氧化物材料之间的化学反应和/或反应物质本身之间的化学反应产生的一种或多种成分。举例来说,预清洗工艺可使用包括卤素的反应气体,使得含有卤素的反应气体与衬底表面氧化硅发生化学反应以形成包括卤素和硅的含有卤素的预清洗材料,其随后可升华,进而去除氧化硅。在一些实施方案中,反应气体还可包括含有氢的气体(例如,氨气)。在一些实施方案中,反应气体还可包括运载气体(例如,惰性气体)。
在一些实施方案中,可应用本文中描述的一个或多个设备和工艺以在同一反应腔室中形成预清洗材料并随后去除预清洗材料。应了解,预清洗材料可在比反应腔室的环境温度高(例如,比室温高)的温度下形成。在一些实施方案中,冷却体可以处于比环境温度高的温度以促进建立用于在衬底上形成预清洗材料的适当温度。因此,可在预清洗材料的形成期间将热施加至冷却体,同时不将热或仅将少量热施加至衬底托盘。举例来说,在预清洗材料的形成期间,可接通耦合至冷却体的加热元件,同时将耦合至衬底托盘的加热元件设定为相对低的电平或切断。在一些其它实施方案中,在预清洗材料的形成期间不接通耦合至冷却体的加热元件。在一些实施方案中,在预清洗材料的形成期间接通耦合至冷却体的加热元件和冷却元件两者来调节冷却体的温度。
在一些实施方案中,通过使预清洗材料挥发(诸如通过使材料升华)来实现去除。在一些实施方案中,将热施加至衬底托盘以将衬底加热至预清洗材料的升华温度并进而促进预清洗材料的期望的升华。举例来说,在升华期间可接通或调高耦合至衬底托盘的加热元件,同时将冷却体的温度设定点维持在比衬底托盘低的值。在一些实施方案中,冷却体的温度设定点可以比衬底托盘的用于升华的温度设定点低约75℃或更多,或约100℃或更多,或约150℃或更多,或约200℃或更多。举例来说,可将衬底托盘加热至一温度,使得衬底处于高达约400℃的温度。在一些实施方案中,衬底托盘与冷却体在预清洗材料的升华期间彼此接触。在此类实施方案中,一些热可损失至冷却体。然而,尽管如此,衬底的快速加热可因为衬底托盘的低质量和加热元件与衬底的接近(因为加热元件是衬底托盘的一部分或紧邻衬底托盘)而实现。施加至衬底托盘的热可在期望的升华之后中断或减少,且衬底托盘与冷却体之间的接触可促进衬底托盘的随后的冷却。
在一些实施方案中,冷却体和衬底托盘可在预清洗材料的形成期间彼此接触,且随后在预清洗材料形成之后分离(例如,移动冷却体使得冷却体与衬底托盘隔开)。举例来说,冷却体和衬底托盘可在预清洗材料的升华之前彼此隔开,且接着在分离之后被加热至升华温度。在一些实施方案中,在期望的升华之后移动冷却体,使得在实现期望的升华之后使冷却体与衬底托盘接触。
在一些实施方案中,预清洗工艺包括一个或多个循环,其中每个循环包括沉积预清洗材料和随后去除预清洗材料。在一些实施方案中,在同一反应腔室内执行一个或多个循环而不将衬底转移至不同腔室。在单个反应腔室中执行一个或多个循环可促进生产量增大。包括一个以上循环的预清洗工艺可有利地示范极高的选择度。举例来说,与预清洗工艺的单个循环的选择度性能相比,已发现预清洗工艺的第二循环和/或其它后续循环示范从衬底表面去除氧化硅相对于从衬底表面去除另一材料(诸如氮化硅)的选择度显著更高。包括沉积和去除预清洗材料的多个循环的预清洗工艺可促进氧化物材料去除同时维持期望的低缺陷数,包括衬底表面上的一个或多个特征的不需要的阻塞和/或过度蚀刻。
在一些实施方案中,通过在第一反应腔室中完成预清洗工艺来提供用于稍后沉积目标材料的高质量表面,随后将衬底转移至第二腔室以在衬底上形成目标材料。在一些实施方案中,目标材料是导电材料。导电材料可包括而不限于含有半导体的材料(例如,含有硅的材料)、含有金属的材料,或其组合。如本文中所使用,目标材料是被沉积以直接与预清洗的衬底表面接触的材料。另外,去除预清洗材料可包括从衬底去除预清洗材料的一部分或全部。在一些实施方案中,被去除氧化物材料的衬底可被图案化(例如,在其表面上具有凹入图案,诸如沟槽)。在一些实施方案中,衬底可包括暴露的电子装置(例如,晶体管结构)。
在一些实施方案中,第二反应腔室可以是外延沉积腔室且目标材料可以是单晶硅。举例来说,可通过使预清洗材料在第一反应腔室中升华而将其从衬底表面去除以提供预清洗的衬底表面,且随后可在第二反应腔室中对预清洗的衬底表面执行硅的外延生长以在衬底上形成单晶硅层。
在与随后在清洗的衬底上形成目标材料的反应腔室不同的反应腔室中去除预清洗材料可有利地提供在没有或基本上没有污染物的反应空间中形成目标材料,污染物可由预清洗材料工艺产生。举例来说,在随后形成目标材料的同一反应腔室中去除预清洗材料可促进减少缺陷数和/或改进电性能。
现在将参看图式,其中相似数字在所有图式中指相似特征。
图1示出根据一些实施方案的用于预清洗衬底的表面的预清洗系统100的示意图。预清洗系统100可包括反应腔室102、反应气体源104、等离子体单元106和控制单元108。反应腔室102可被配置用来执行预清洗工艺且可包括用以收纳衬底的反应空间。反应气体源104可被配置用来供应用于预清洗工艺的反应气体的一种或多种成分。举例来说,反应气体源104可包括一个或多个容器,每个容器含有反应气体或反应气体的成分。等离子体单元104可包括被配置用来激活反应气体的一种或多种成分的等离子体产生器。在一些实施方案中,等离子体单元106包括远程等离子体单元。反应气体源104可与等离子体单元106流体连通,使得反应气体的一种或多种成分可在被引入至反应腔室102中之前由等离子体单元106激活。反应腔室102可与反应气体源104和等离子体单元106中的任一者或两者流体连通。反应气体的激活的和/或未激活的成分可流入至反应腔室102中。举例来说,激活的和未激活的反应气体成分可同时或按顺序流入至反应腔室102中。控制单元108可被配置用来控制预清洗工艺的一个或多个参数。在一些实施方案中,控制单元108可与反应腔室102、反应气体源104和等离子体单元106中的一个或多个电通信。举例来说,控制单元108可与等离子体单元106通信以控制等离子体单元106的操作功率,与反应气体源104通信以控制来自反应气体源104的反应气体的流量,和/或与反应腔室102通信以控制一个或多个工艺条件。
在一些实施方案中,反应腔室102可通过输送管110与远程等离子体单元106流体连通。输送管110可被配置用来经由反应腔室102的反应腔室气体入口将反应气体递送至反应腔室102中(例如,包括含有氢的气体、含有氟的气体和/或运载气体的反应气体)。在一些实施方案中,输送管110可包括输送管气体入口,其被配置用来允许将反应气体的没被远程等离子体单元激活的一种或多种成分引入至反应腔室中。输送管110气体入口可定位成靠近反应腔室气体入口,或在输送管110上的另一合适位置处。在一些实施方案中,输送管110的至少一部分可维持在期望的温度。举例来说,可将输送管110的一部分加热(例如,至约60℃至约115℃的温度,包括约80℃至约115℃),包括输送管110的邻近和/或环绕输送管气体入口与反应腔室气体入口之间的部分的部分。在一些实施方案中,输送管110的整个长度或基本上整个长度维持在期望的温度(例如,加热至约30℃至约120℃的温度)。
在一些实施方案中,可通过使用加热器夹套(例如,用加热器夹套覆盖输送管110的外表面的至少一部分)和/或材料涂层(例如,用材料涂层,诸如包括铝(包括热解氧化铝)的材料涂层涂布输送管110的外表面的至少一部分)来将输送管110的至少一部分维持在期望的温度。在一些实施方案中,可使用沿着输送管110放置在一个或多个位置处的一个或多个热电偶来监测输送管110的温度。沿着输送管110的加热部分的温度可以是或可以不是均匀的。在一些实施方案中,沿着输送管110的加热部分的温度可以维持在一个或基本上一个期望的温度。在一些实施方案中,输送管110的一个加热部分的温度可与输送管110的另一加热部分的温度显著不同。
在一些实施方案中,反应气体的一种或多种成分,诸如运载气体(例如,惰性气体,诸如氩气)和含有氟的气体(例如,三氟化氮)可通过流动通过远程等离子体单元106而被激活。在一些实施方案中,可在远程等离子体单元106下游的沿着输送管110的位置处经由输送管气体入口引入反应气体的没被远程等离子体单元106激活的一种或多种组分,包括例如含有氢的气体,诸如氨气。可加热输送管110的靠近输送管气体入口的部分和/或输送管气体入口本身,使得可在期望的温度下将反应气体的未激活的成分中的一个或多个(诸如未激活的氨气)递送至反应腔室102中。
图2A示出被配置用来执行本文中描述的预清洗工艺的至少一部分的反应器200的实例的示意图。在一些实施方案中,将预清洗材料沉积至衬底表面上并去除沉积的预清洗材料可在反应器200的反应腔室201内执行。反应腔室201可以是预清洗系统的一部分,且可对应于图1的反应腔室102。在一些实施方案中,反应腔室201可以是多腔室处理系统的一部分,使得由反应腔室201处理的衬底可转移至第二反应腔室而不会或基本上不会暴露于环境空气。举例来说,反应腔室201可以是群集工具系统的一部分。在一些其它实施方案中,反应腔室201可以是独立腔室而不是多腔室处理系统的一部分。
继续参看图2A,反应器200可包括反应腔室201内的衬底托盘206。衬底托盘206可被配置用来收纳衬底(例如,晶片,包括300mm的晶片)。衬底托盘206可位于冷却体208上方。在一些实施方案中,冷却体208是感受器。如图2A所示,莲蓬头202可位于衬底托盘206上方。冷却体208和衬底托盘206可维持在距莲蓬头202期望的距离处。莲蓬头202(例如,气体分布板)可在反应腔室201的反应气体入口的下游。举例来说,莲蓬头202可被配置用来促进改进气体物质在装载于衬底托盘206上的衬底上的分布的均匀性。
参看图2A,衬底托盘206可具有上表面216和相对的下表面218。衬底可放置在上表面216的至少一部分上方,且相对的下表面218可面向冷却体208。衬底托盘206的上表面216可被配置用来收纳衬底,例如,具有被配置用来容纳衬底的形状(例如,圆形形状)和横向尺寸。举例来说,上表面216的平面部分可被设计尺寸,使得衬底可沿着那个上表面216的至少一部分位于衬底托盘206上并与衬底托盘206直接接触。在一些实施方案中,衬底托盘206的上表面216可以是平坦的或基本上平坦的。举例来说,衬底托盘206的上表面216可形成而没有任何凸起的边缘部分。在一些实施方案中,上表面216的平面部分可具有横向尺寸,其包括超出衬底的对应横向尺寸的额外裕量,例如以提供衬底的可靠放置和从衬底托盘206的去除。举例来说,平面部分可包括横向尺寸,其包括约2mm至约5mm,包括约2mm至约3mm的裕量以提供衬底的可靠放置和从衬底托盘206的去除。在一些实施方案中,衬底可以是300毫米(mm)的晶片且上表面216的平面部分可被设计尺寸以收纳300mm的晶片。举例来说,上表面216的平面部分可具有在300mm的晶片的边缘周围包括约2.5mm的裕量的横向尺寸(例如,上表面216的平面部分具有约305mm的横向尺寸以收纳300mm的晶片)。
在一些实施方案中,衬底托盘206可具有提供一个或多个期望的特性的厚度。举例来说,可选择衬底托盘206的厚度以提供期望的对衬底的机械支撑、热保持和/或用于在衬底与冷却体208之间传递热的热传递性质。在一些实施方案中,衬底托盘206可具有约1mm至约5mm的厚度,包括约1mm至约4mm,包括约3mm。举例来说,衬底托盘206的与衬底接触的一部分的厚度可具有约3mm的厚度。
在一些实施方案中,衬底托盘206可具有包括被配置用来收纳衬底的凹处(未示出)的上表面。举例来说,衬底托盘206在被设计尺寸以容纳衬底的凹处周围可具有凸起的边缘部分。在一些实施方案中,衬底可完全或部分位于凹处内。
再次参看图2A,衬底托盘206的下表面218可在冷却体208的上表面上的凹处214之处与冷却体208接触。举例来说,衬底托盘206的整个或基本上整个下表面218可位于凹处214内并与冷却体208的在凹处214内的对应部分直接接触。
在一些实施方案中,上表面216是平坦的或平面的,或基本上平坦的或平面的,这可促进增大衬底托盘206与对应的平坦衬底之间的接触的表面区域。在一些实施方案中,衬底托盘的下表面218和冷却体的凹处214的表面可以是平坦的或基本上平坦的以促进衬底托盘206与冷却体208之间的接触。衬底托盘206与衬底之间的物理接触可促进衬底托盘206与衬底之间的期望的热传递,且衬底托盘206与冷却体208之间的物理接触可促进衬底托盘206与冷却体208之间的期望的热传递(例如,通过传导进行的热传递)。在一些实施方案中,增大可在衬底与衬底托盘206之间或衬底托盘206与冷却体208之间传递热的容易度可促进衬底温度的快速改变。
在一些实施方案中,下表面218可具有一个或多个非平面部分(未示出),其被配置用来实现衬底托盘206与冷却体208之间的期望的接触以促进衬底托盘206与冷却体208之间的期望的热传递。举例来说,下表面218可具有一个或多个凸起的或凹入的特征,其对应于冷却体208的上表面上的一个或多个凹入的或凸起的特征以促进衬底托盘206与冷却体208之间的期望的表面区域接触。
在一些实施方案中,衬底托盘206包括在用于预清洗的条件下和暴露于用于预清洗的化学物质时稳定的各种材料,如本文中所论述。举例来说,衬底托盘可包括碳化硅。在一些实施方案中,衬底包括氮化铝。在一些实施方案中,冷却体(例如,冷却体208)包括一种或多种导电材料,包括金属材料。举例来说,冷却体可包括铝。
如图2A所示,冷却体208可包括一个或多个冷却体温度控制特征。冷却体208可包括被配置用来促进冷却体208的温度期望地增大的一个或多个加热元件210,和被配置用来促进冷却体208的温度期望地减小的一个或多个冷却元件212。加热元件210和冷却元件212中的一个或两个可嵌入在冷却体208的一个或多个部分内。举例来说,多个加热元件210和/或多个冷却元件212可跨越衬底台208的横向尺寸分布,以便促进跨越冷却体208的期望的温度控制(例如,以跨越冷却体208的横向尺寸实现期望的温度分布,诸如均匀的或非均匀的温度分布)。在一些实施方案中,加热元件210和冷却元件212中的一个或两个跨越冷却体的横向尺寸分布,以便促进多区温度控制(例如,双区温度控制以促进对冷却体208的中心部分的温度和冷却体208的边缘部分的温度的控制)。如图2A所示,加热元件210和冷却元件212两者可嵌入在衬底台208的边缘部分和中心部分的各个位置处或靠近衬底台208的边缘部分和中心部分。
在一些实施方案中,冷却体加热元件210包括电阻加热器。在一些实施方案中,冷却元件210包括被配置用来向冷却体208供应冷却剂的冷却管线。
如图2A所示,被配置用来测量冷却体208的温度的一个或多个冷却体热电偶220可耦合至冷却体208。举例来说,一个或多个冷却体热电偶220可耦合至冷却体208的外表面。在图2A中。冷却体热电偶220示出为耦合至冷却体208的侧表面。在一些实施方案中,冷却体热电偶220可耦合至冷却体208的另一外表面。可选择冷却体热电偶220的放置以提供期望的温度测量。举例来说,一个以上冷却体热电偶220可耦合在冷却体208之上或之中的不同位置,使得可在冷却体208上的各个位置处进行温度测量(例如,以促进多区温度测量)。在一些实施方案中,一个或多个冷却体热电偶220可嵌入(未示出)在冷却体208内。举例来说,一个或多个冷却体热电偶220可嵌入在冷却体208内各个位置处以促进从冷却体208内进行期望的温度测量(例如,以促进多区温度测量)。
在一些实施方案中,衬底托盘206可包括被配置用来控制衬底托盘206的温度的一个或多个衬底托盘温度控制特征。如图2A所示,一个或多个衬底托盘热电偶222可耦合至衬底托盘206的表面。图2A示出耦合至衬底托盘206的侧表面的衬底托盘热电偶222。在一些实施方案中,衬底托盘热电偶222可耦合至衬底托盘206的另一外表面。举例来说,一个以上衬底托盘热电偶222可耦合在沿着衬底托盘206的一个或多个外表面的不同位置处,使得可在衬底托盘206上的各个位置处进行温度测量(例如,以促进多区温度测量)。在一些实施方案中,一个或多个衬底托盘热电偶222可嵌入(未示出)在衬底托盘206内。举例来说,一个或多个衬底托盘热电偶222可嵌入在衬底托盘206内各个位置处以促进从衬底托盘206内进行期望的温度测量(例如,以促进多区温度测量)。
图2B是衬底托盘206的实例的示意图。衬底托盘206可包括侧面外表面上的热电偶222。如图2B所示,在一些实施方案中,衬底托盘206还可包括一个或多个加热元件230。
在一些实施方案中,一个或多个衬底托盘加热元件230可附接至衬底托盘206的一个或多个外表面。举例来说,一个或多个加热元件230可层压(例如,结合,和/或物理地紧固)至衬底托盘206的与面向衬底的表面相对的外表面,诸如衬底托盘206的下表面218。一个或多个加热元件230可层压至衬底托盘的面向冷却体的表面。如图2B所示,在一些实施方案中,一个或多个加热元件230可层压至衬底托盘下表面218的各部分上以促进跨越衬底托盘206的横向尺寸的期望的温度控制。在一些实施方案中,加热元件230可跨越衬底托盘206的下表面218以一图案分布以促进跨越衬底托盘206的横向尺寸的期望的温度分布(例如,以实现多区温度控制)。举例来说,如图2B所示,多个加热元件230可附接在下表面218的边缘部分和中心部分或靠近下表面218的边缘部分和中心部分以促进衬底托盘206的边缘部分和中心部分的期望的加热。在一些实施方案中,跨越衬底托盘206的横向尺寸在不同位置层压至衬底托盘206的加热元件230可被独立地控制以促进跨越衬底托盘206的横向尺寸实现期望的温度分布(例如,施加至衬底托盘的一个部分的热与施加至衬底托盘的另一部分的热可不同)。
再次参看图2B,在一些实施方案中,一个或多个衬底托盘加热元件230可嵌入在衬底托盘206内。举例来说,一个或多个加热元件230可分布在衬底托盘206内各位置处以促进对衬底托盘206的多区温度控制。举例来说,多个加热元件230可嵌入在下表面218的边缘部分和中心部分处或靠近下表面218的边缘部分和中心部分以促进衬底托盘206的边缘部分和中心部分的期望的加热。在一些实施方案中,跨越衬底托盘206的横向尺寸嵌入在不同位置的加热元件230可被独立地控制以促进跨越衬底托盘206的横向尺寸实现期望的温度分布。在一些实施方案中,衬底托盘206可具有嵌入的加热元件230或在外表面上的加热元件230,但不是两者都有。在一些其它实施方案中,衬底托盘206可包括嵌入的和外部附接的加热元件230两者。
在一些实施方案中,衬底托盘加热元件230可以是电阻加热器。在一些实施方案中,衬底托盘加热元件230包括导电材料,其可以期望的速率加热至目标温度同时提供期望的热稳定性。在一些实施方案中,衬底托盘加热元件230包括钨。在一些实施方案中,衬底托盘加热元件230包括石墨。举例来说,包括钨和/或石墨的一个或多个加热元件230可层压至衬底托盘的下表面,诸如跨越下表面的各位置处以促进多区温度控制。在一些实施方案中,包括钨和/或石墨的加热元件230可嵌入在衬底托盘206内。
在一些实施方案中,衬底托盘206可加热至升华温度以促进预清洗材料从衬底表面的期望的升华。举例来说,衬底托盘206可加热至约100℃至约500℃的温度,包括约100℃至约150℃,约350℃至约500℃和约350℃至约400℃。举例来说,衬底托盘206可加热至约120℃或约380℃的温度。如上述温度中明显的,在一些实施方案中,衬底托盘206可被加热并在不同时间维持在不同的温度设定点,以实现预清洗材料的更彻底的升华。举例来说,托盘206可首先维持在较低温度设定点且接着被进一步加热并维持在第二较高的温度设定点。
可独立于衬底托盘206的温度控制特征控制冷却体208的温度和温度控制特征。举例来说,可接通、调高、调低或切断衬底托盘206的温度控制特征而不接通、调高、调低或切断冷却体208的温度控制特征。举例来说,可在预清洗工艺期间独立于冷却体208的加热元件210接通和/或切断衬底托盘206的一个或多个加热元件230。在一些实施方案中,可在预清洗工艺期间独立于与冷却体208相关联的任何温度控制特征(例如,冷却体208的一个或多个加热元件210和/或冷却元件212)的控制而接通衬底托盘206的一个或多个加热元件230以促进沉积在衬底表面上的预清洗材料的升华。在一些实施方案中,也可切断或调低一个或多个加热元件230,使得衬底可维持在较低温度以用于预清洗材料的形成。
如本文中所描述,衬底托盘206可与冷却体208直接接触。在一些实施方案中,衬底托盘206在预清洗工艺的至少一部分期间(例如,在预清洗材料的沉积期间)保持与冷却体208直接接触。举例来说,衬底托盘206和冷却体208在此沉积期间可保持为固定的(例如,彼此直接接触)。在一些实施方案中,衬底托盘206与冷却体208在整个预清洗工艺中(包括预清洗材料的沉积和预清洗材料的随后的升华期间)可保持为固定的并彼此接触。举例来说,耦合至衬底托盘206的一个或多个加热元件230可在预清洗工艺期间的期望的时段接通,而冷却体208的一个或多个加热元件210可能不接通或可提供较低热度,使得冷却体208保持相对较冷。在一些实施方案中,衬底托盘206的一个或多个加热元件230可在预清洗材料的升华期间被激活且随后可在期望的升华实现后切断。随后,衬底托盘206可通过与冷却体208接触,例如因为衬底托盘206与冷却体208之间的热传递而冷却。此冷却通过建立衬底或衬底托盘206的适当的沉积温度而使衬底或衬底托盘206准备好用于预清洗材料的随后的沉积。在一些实施方案中,衬底可接着经受预清洗材料的沉积和那个预清洗材料的升华的另一循环。在一些其它实施方案中,可将衬底从反应腔室去除且将另一衬底装载至反应腔室中以用于另一衬底的预清洗工艺。
应了解,维持固定的衬底托盘206和冷却体208可有利地促进生产量增大,和/或制造成本降低。举例来说,在不必移动衬底托盘206和冷却体208的情况下执行预清洗工艺可促进较短处理时间(例如,包括用于包括多个循环的预清洗工艺)、简化的反应腔室硬件组件,和/或降低的设备维护成本。
在一些实施方案中,衬底托盘206和冷却体208中的一个或两个可相对于彼此移动。在一些实施方案中,衬底托盘206保持固定,而冷却体208相对于衬底托盘206移动。举例来说,冷却体208可移动远离衬底托盘206以用于预清洗材料的升华且随后可与衬底托盘206接触以在期望的升华实现之后冷却衬底。在加热衬底托盘206的同时使冷却体208与衬底托盘206隔开可促进衬底托盘206的快速加热(例如,因为使用通过衬底托盘206的加热元件产生的热来加热衬底托盘206而不加热冷却体208),而冷却体208可维持在显著较冷的温度。衬底托盘206与显著较冷的冷却体208之间的随后的接触可通过将热从衬底托盘206传递至冷却体208而促进衬底托盘206的快速冷却。
在一些实施方案中,冷却体208可具有显著高于衬底托盘206的质量的质量。在不受任何特定理论或操作模式限制的情况下,此冷却体208可促进衬底托盘206的快速加热和冷却。举例来说,具有足够大的质量的冷却体208可促进在衬底托盘206的加热期间,包括在冷却体208与加热的衬底托盘206接触时将冷却体208的温度维持在足够冷的温度。加热的衬底托盘206与维持在期望的低温的冷却体208的直接接触可例如在衬底托盘206的一个或多个加热元件230切断之后促进衬底托盘206随后以期望的速率冷却。
在一些实施方案中,冷却体208的质量相对于衬底托盘206的质量足够大以促进将冷却体208的温度维持在用于沉积预清洗材料的温度。优选地,冷却体208的温度在衬底托盘206的加热期间,包括冷却体与加热的衬底托盘206接触时不展现显著改变。举例来说,与衬底托盘206直接接触的冷却体208的大块温度在衬底托盘206的加热期间和/或在衬底托盘206的加热停止之后可保持不变或基本上不变。在一些实施方案中,冷却体208可维持在约10℃至约50℃的温度,包括约15℃至约30℃,约25℃至约30℃。举例来说,当加热衬底托盘206时,冷却体208可维持在约10℃至约15℃的温度。
在一些实施方案中,冷却体208的质量与衬底托盘206的质量的比可以在约10∶1至约60∶1之间,包括约10∶1至约40∶1,约15∶1至约30∶1,或约15∶1至约25∶1。举例来说,衬底托盘206与冷却体208的质量的比可以是约1∶20。
图3A至图3C示出冷却体208相对于衬底托盘206的移动的实例。图3A示出包括衬底302在衬底托盘206上方且衬底托盘206在冷却体208上方的配置350。如图3A所示,衬底302可在衬底托盘206上并与衬底托盘206直接接触,且衬底托盘206可在冷却体208上并与冷却体208直接接触。根据图3A,衬底托盘206在上表面可包括被配置用来收纳衬底302的凹处310。衬底302可沿着衬底托盘206的上表面在凹处310内的一部分与衬底托盘206直接接触。如本文中所描述,在一些实施方案中,衬底托盘206在上表面可能没有用来收纳衬底的凹处。举例来说,衬底托盘206可包括平坦的上表面,衬底302可放置在平坦的上表面上。在一些实施方案中,衬底302与衬底托盘206之间的直接接触可促进其之间的热传递,并进而促进对衬底302的期望的温度控制。
如图3A所示,冷却体208在上表面可具有用来收纳衬底托盘206的凹处214。凹处214可被设计形状和/或尺寸使得衬底托盘206与冷却体208的上表面在凹处214内的一部分直接接触。衬底托盘206与冷却体208之间的直接接触可促进其之间的热传递,并进而促进对衬底托盘206的期望的温度控制。
参看图3A,多个支撑元件308可用以支撑衬底托盘206。支撑元件308可沿着衬底托盘206的下表面设置在跨越下表面的位置处(例如,耦合至衬底托盘206的下表面)以促进将衬底托盘206维持在期望的位置。举例来说,支撑元件308可将衬底托盘206维持在期望的位置,而冷却体208相对于衬底托盘206移动。在一些实施方案中,衬底托盘206可耦合至比图示的更少或更多的支撑元件308(例如,三个或四个支撑元件308)。在一些实施方案中,与一个或多个衬底托盘加热元件230的连接可延伸穿过一个或多个支撑元件308。
图3B示出包括冷却体208与衬底托盘206相距一距离使得衬底托盘206与冷却体208彼此不直接接触的配置360。举例来说,冷却体208可相对于衬底托盘206下降以将冷却体208与衬底托盘206分离。在一些实施方案中,一个或多个定位元件(未示出)可耦合至冷却体208和/或衬底托盘206且被配置用来使冷却体208和/或衬底托盘206移动。举例来说,一个或多个定位元件可耦合至冷却体208且可被配置用来响应于从控制单元108(图1)接收一个或多个控制信号而使冷却体208下降。在一些实施方案中,将冷却体208与衬底托盘206分离可促进对衬底托盘206的期望的加热。
在一些实施方案中,冷却体208可在激活衬底托盘206的一个或多个加热元件230之前(例如,紧邻其之前)、之时和/或之后(例如,紧接其之后)移动远离衬底托盘206,进而允许相对迅速地将衬底托盘206加热至较高温度。在一些实施方案中,冷却体208可在预清洗材料沉积至衬底表面上期间与衬底托盘206直接接触,且冷却体208可随后在预清洗材料的沉积完成之后下降并与衬底托盘206分离。举例来说,冷却体208可紧邻在起始用于使预清洗材料从衬底表面升华的工艺之前(例如,紧邻其之前)、在所述工艺期间和/或之后(例如,紧接其之后)下降。在一些实施方案中,冷却体208在用于使预清洗材料升华的整个或基本上整个工艺期间可在与衬底托盘206相距一距离处且不与衬底托盘206直接接触。在一些实施方案中,冷却体加热元件210在预清洗材料的升华期间不接通。举例来说,可独立于冷却体208的温度控制衬底托盘206的温度。
参看图3C,配置370示出冷却体208与衬底托盘206接触。在衬底托盘206下方一距离处的冷却体208可上升以使冷却体208与衬底托盘206接触,进而冷却衬底托盘。举例来说,在下降之后,冷却体208可上升使得冷却体208再次与衬底托盘206直接接触。在一些实施方案中,耦合至冷却体208的一个或多个定位元件可被配置用来响应于从控制单元接收一个或多个控制信号而使冷却体208上升。
如本文中所描述,冷却体208在预清洗材料的升华期间可位于远离衬底托盘206的一距离处。在一些实施方案中,冷却体208可随后在期望的升华实现之后上升。举例来说,一个或多个衬底托盘加热元件230可在期望的升华完成之后切断,且冷却体208可在一个或多个衬底托盘加热元件230切断之前(例如,紧邻其之前)、期间或之后(例如,紧接其之后)上升而与衬底托盘206接触。在一些实施方案中,冷却体208维持在比衬底托盘206的温度低的温度。在一些实施方案中,在升华工艺期间,冷却体208可维持在显著低于衬底托盘206的温度的温度。举例来说,在升华工艺期间,冷却体208可维持在约20℃至约30℃的温度,包括约20℃至约25℃。在一些实施方案中,在升华工艺期间,可将衬底托盘206加热至约100℃至约150℃,或约350℃至约400℃的温度。使相对较冷的冷却体208与衬底托盘206接触可促进衬底托盘206与冷却体208之间的热传递,从而促进衬底托盘206的期望的冷却。
在一些实施方案中,衬底托盘206和/或冷却体208的移动和/或移动时序可由控制单元(例如,如参看图1描述的控制单元108)控制。举例来说,控制单元可与反应腔室(例如,耦合至反应腔室内的冷却体的一个或多个定位元件,诸如参看图1描述的反应腔室102)通信且可被配置(例如,被编程)以起始传达至反应腔室的一个或多个控制信号,其用于控制冷却体208何时和如何移动(例如,上升还是下降)。在一些实施方案中,控制单元可起始传达至反应腔室的一个或多个控制信号,其用于控制衬底托盘206的温度控制元件中的一个或多个和/或冷却体208的温度控制元件中的一个或多个。
在一些实施方案中,可应用图2和图3的设备以执行包括多个循环的预清洗工艺,预清洗工艺的每个循环包括将预清洗材料沉积在衬底表面上和沉积的预清洗材料的升华。举例来说,包括多个循环的预清洗工艺可用以实现期望的氧化物材料去除,而不是包括单个循环的预清洗工艺用以实现期望的氧化物材料去除。举例来说,多个循环中的每个循环可被配置用来去除表面氧化物材料的仅期望的一部分。在一些实施方案中,可在同一反应腔室(例如,图2A的反应腔室201)中执行多个循环。在一些实施方案中,预清洗工艺的循环的一个或多个参数可与预清洗工艺的另一循环的参数不同。在一些实施方案中,预清洗工艺可包括多个循环,其中多个循环中的每一者包括类似的或相同的参数。在一些实施方案中,预清洗工艺可包括约2个至约20个循环,包括约2个至约5个循环。在一些其它实施方案中,预清洗工艺包括单个循环。
在一些实施方案中,多个循环中的至少一个循环可包括冷却体208的移动。举例来说,冷却体208可与衬底托盘206接触。在一些实施方案中,冷却体208在多个循环中的每个循环期间下降和上升。在一些实施方案中,冷却体208在整个预清洗工艺中是固定的。举例来说,冷却体208在多个循环中的所有每一者中是固定的且与衬底托盘206保持直接接触。在一些实施方案中,衬底托盘206和冷却体208在预清洗工艺期间都不移动。
在一些实施方案中,衬底表面可包括将通过预清洗工艺去除的氮化硅材料(例如,用于在衬底表面上形成各种电子装置的氮化硅材料,包括用于电子装置的间隔物特征)和氧化物材料(例如,氧化硅、氧化锗、硅锗氧化物)。在一些实施方案中,可选择性地将氧化物材料相对于衬底表面上的氮化硅材料以大于约7∶1的选择度去除。在一些实施方案中,用于将氧化物相对于氮化硅去除的预清洗工艺的选择度可以是约6∶1至约150∶1。举例来说,选择度可以是约6∶1至约60∶1,或约7∶1至约15∶1,包括约8∶1至约15∶1或约8∶1至约12∶1。
已发现预清洗工艺的第一循环的选择度可显著不同于预清洗工艺的随后循环的选择度(例如,将衬底表面氧化物,诸如包括硅和/或锗的表面氧化物相对于衬底表面上的另一材料,诸如氮化硅材料去除的选择度)。在一些实施方案中,预清洗工艺的随后的循环可有利地示范与预清洗工艺的第一循环相比显著较高的选择度。在一些实施方案中,预清洗工艺的第二循环可示范选择性地将衬底表面氧化物材料相对于衬底表面上的氮化硅以约30∶1至约150∶1,约60∶1至约150∶1,或约60∶1至约100∶1的选择度去除。包括较大循环数目的预清洗工艺可促进甚至更高的选择度性能。
在一些实施方案中,包括多个循环的预清洗工艺可促进表面氧化物材料的期望的去除,同时维持期望的低缺陷数。在不受任何特定理论或操作模式限制的情况下,随着特征大小继续缩小,预清洗材料在衬底表面上的形成可促成特征的阻塞(例如,沟槽特征的阻塞),进而阻碍预清洗材料的随后的升华和/或从阻塞的特征内去除氧化物材料。另外,在不受任何特定理论或操作模式限制的情况下,随着特征大小继续缩小且纵横比增大,特征上表面可在预清洗工艺期间经历过度暴露于预清洗化学品以实现特征内(例如,在沟槽底部部分)的期望的氧化物去除。通过执行包括沉积和升华预清洗材料的多个循环(例如,每个循环具有较短持续时间)的预清洗工艺而去除氧化物材料可促进氧化物材料的期望的去除,同时减少衬底表面特征的阻塞和/或减少特征上表面对预清洗化学品的过度暴露(例如,与包括单个较长循环的预清洗工艺相比)。
图4示出用于预清洗衬底表面的工艺400的实例。在一些实施方案中,可在单个反应腔室(例如,如参看图2A描述的反应器200)中执行工艺400。在方框402中,将衬底放置在位于冷却体上方的衬底托盘上。举例来说,可将衬底放置成与衬底托盘直接接触。在方框404中,可将预清洗材料(诸如含有卤素的材料)沉积至衬底表面上。在一些实施方案中,可通过将衬底表面上的氧化物暴露于预清洗工艺的反应气体来形成含有卤素的材料。举例来说,含有卤素的材料可包括从反应气体与氧化物材料之间的相互作用产生的一个或多个产物,包括氧化物材料,其包括硅和/或锗。应了解,在方框404处,将衬底的温度维持在相对低的水平。举例来说,衬底所位于的衬底托盘可与冷却体直接接触,且衬底托盘的加热元件可切断或仅在低电平下提供热,如本文中所论述。
在方框406中,可通过独立于冷却体的温度控制衬底托盘的温度来使预清洗材料升华。可加热衬底托盘以促进衬底的加热,同时将冷却体的温度维持在较低水平。举例来说,可接通耦合至衬底托盘的一个或多个加热元件而不类似地向冷却体的加热元件增大电力。在一些实施方案中,如本文中所论述,可使衬底托盘与冷却体分离以进一步促进那个托盘的加热。
继续参看图4,预清洗材料的升华可促进衬底表面上的氧化物材料的去除。可随后在预清洗的衬底表面上形成目标材料。在一些实施方案中,在第二反应腔室中在预清洗的表面上形成目标材料。在一些实施方案中,目标材料可以是外延层,诸如外延硅层。在一些实施方案中,将目标材料沉积在与用于预清洗的反应腔室不同的反应腔室中。
如图4所示,在一些实施方案中,预清洗工艺400可包括多个循环408,其中每个循环408包括方框404和406。举例来说,预清洗工艺400可包括重复方框404和406期望的次数以实现氧化物从衬底表面的期望的去除。在一些实施方案中,包括方框404随后是方框406的每个循环408仅去除氧化物材料的一部分,多个循环被配置用来去除或基本上去除氧化物材料。
可如本文中所描述执行预清洗工艺400的多个循环408中的一个或多个。举例来说,可如本文中所描述选择循环的反应气体(例如,反应气体的各种组分的浓度、反应气体的一种或多种组分的激活和/或预加热),和/或一个或多个工艺参数(例如,预清洗材料的形成和/或升华期间的衬底温度)。在一些实施方案中,预清洗工艺中的循环的反应气体和/或一个或多个工艺参数可与另一循环的反应气体和/或工艺参数相同或不同。
用于形成预清洗工艺的含有卤素的材料的反应气体的组成可包括一种或多种运载气体。合适的运载气体可包括任何数目种惰性气体。在一些实施方案中,运载气体可包括氩气(Ar)。在一些实施方案中,反应气体还可包括含有卤素的气体。举例来说,含有卤素的气体可以是含有氟的气体。合适的含有氟的气体可包括而不限于三氟化氮(NF3)、氟化氢(HF)和/或双原子氟(F2)。在一些实施方案中,反应气体还可包括含有氢的气体。合适的含有氢的气体可包括例如氨气(NH3)。
如本文中所描述,预清洗材料可包括由反应气体与衬底表面氧化物(包括氧化硅和氧化锗)的反应形成的一种或多种成分。举例来说,包括氨气的反应气体和一个或多个含有氟的化合物可与氧化硅发生化学反应以产生水蒸汽,以及包括氮气、氢气和硅的预清洗材料。在一些实施方案中,氨气和三氟化氮、氟化氢和/或氟可与氧化硅反应以提供包括六氟硅酸铵((NH4)2SiF6)的预清洗材料。在一些实施方案中,预清洗材料可包括例如氟化铵(NH4F)和/或氟化氢铵(NH4HF2)。
在不受任何特定理论或操作模式限制的情况下,相信可在来自反应气体的含有卤素的组分(例如,NF3、HF和/或F2)的氟(F)原子与氨气(NH3)反应以形成氟化氢(HF)时形成氟化铵(NH4F),氟化氢(HF)可与氨气(NH3)结合以形成氟化铵(NH4F)。在一些实施方案中,氟化铵可通过分解并与氧化硅反应以形成四氟化硅(SiF4)和水蒸汽(H2O)来去除氧化硅,且四氟化硅(SiF4)可与NH4F结合以形成六氟硅酸铵((NH4)2SiF6),六氟硅酸铵((NH4)2SiF6)在衬底表面上形成薄膜层。举例来说,氟化铵的电负性氟(F)可被吸引至氧化硅的相对更电正性的硅(Si),而铵(NH4 +)可被吸引至氧化硅的氧(O)。在一些实施方案中,可通过加热衬底来使包括六氟硅酸铵((NH4)2SiF6)的预清洗材料分解和/或挥发,例如分解以形成四氟化物(SiF4)、氨气(NH3)和/或氟化氢(HF)。在一些实施方案中,表面氧化物包括氧化锗且预清洗材料包括六氟锗酸铵((NH4)2GeF6),使得通过加热衬底来使六氟锗酸铵挥发和/或分解。
在一些实施方案中,在预清洗材料的形成期间,包括例如当衬底表面暴露于预清洗工艺的反应气体时,衬底可维持在期望的温度。在一些实施方案中,在预清洗材料的形成期间,衬底可维持在反应气体的冷凝温度以上的温度。举例来说,衬底可维持在比约15℃大,或在一些实施方案中比约20℃大的温度。在一些实施方案中,衬底可维持在约15℃至约50℃的温度,包括约15℃至约30℃,约25℃至约30℃。举例来说,衬底可维持在约22℃至约28℃的温度,这可促进将衬底表面上的氧化硅和/或氧化锗相对于氮化硅材料去除的高选择度。
可使用各种技术去除(例如,分解和/或挥发)预清洗材料。在一些实施方案中,可通过将衬底加热至预清洗材料的成分的升华温度附近、之处或以上的温度来去除预清洗材料。举例来说,可在促进预清洗材料的升华的条件下将衬底加热至约80℃至约500℃的温度,包括约80℃至约150℃,约300℃至约500℃,和约300℃至约400℃。举例来说,可将衬底加热至约120℃或约380℃的温度以导致六氟硅酸铵((NH4)2SiF6)和/或六氟锗酸铵((NH4)2GeF6)的升华。
应了解,可通过加热衬底所位于的衬底托盘,和冷却那个衬底托盘或从那个衬底托盘传递热来调节衬底的温度。还应了解,可通过用于向衬底提供能量的其它方法来加强衬底的加热。举例来说,预清洗材料可暴露于由远程等离子体源激活的气体物质。在一些实施方案中,由远程等离子体源激活的物质可与预清洗材料的一种或多种成分相互作用以形成挥发性物质,其可例如从衬底表面去除。在一些实施方案中,气体物质可以是惰性气体物质(例如,Ar、N2、He等)。
在一些实施方案中,含有卤素的预清洗材料可暴露于加热的气体(例如,加热的惰性气体)以促进预清洗材料的去除。可将气体加热至足以将预清洗材料加热至导致预清洗材料的至少一部分进入汽相的温度的温度。举例来说,温度可大于约80℃,约100℃,约120℃,或约150℃。在一些实施方案中,温度可大于约300℃,约350℃,约400℃和约450℃。
在一些实施方案中,预清洗材料可暴露于紫外线(UV)和/或红外线(IR)辐射以促进预清洗材料的去除。举例来说,UV和/或IR辐射可提供导致预清洗材料的至少一部分进入汽相的能量。
在一些实施方案中,使预清洗材料暴露于由远程等离子体源激活的气体物质、加热的反应气体和/或紫外线辐射可降低反应腔室的水分含量。有利地,降低水分含量可促进预清洗材料的升华,这是因为升华可产生H2O。
在一些实施方案中,可在将衬底从第一反应腔室(例如,形成预清洗材料的反应腔室)转移至第二不同的反应腔室(例如,执行后续处理,诸如在衬底上形成目标材料的反应腔室)期间执行预清洗材料的去除。举例来说,可在将预清洗材料从第一反应腔室转移至第二反应腔室时将其暴露于紫外线辐射和/或红外线辐射。
可通过等离子体源激活预清洗工艺反应气体的一种或多种组分。举例来说,可通过远程等离子体源(例如,远程等离子体单元,或RPU)来激活反应气体的一种或多种组分,诸如通过使一种或多种组分流动通过远程等离子体源以产生一个或多个激活的反应物质(例如,产生带电离子,和/或中性原子和/或原子团)。在一些实施方案中,反应气体的组分中的至少一者不通过等离子体源激活。
在一些实施方案中,衬底表面预清洗工艺可包括运载气体(例如,氩气)、含有卤素的气体,和/或含有氢的气体(例如,以提供包括含有氩、含有卤素和/或含有氢的带电离子、原子和/或原子团的反应物质),其中至少一者是等离子体激活的。举例来说,可通过远程等离子体源激活包括氩气(Ar)的反应气体,诸如使氩气流动通过远程等离子体单元。在一些实施方案中,可通过在将含有氟的气体引入至反应腔室中之前使其流动通过远程等离子体单元来激活含有氟的气体。在一些实施方案中,可通过使含有氢的气体流动通过远程等离子体单元来激活含有氢的气体(例如,氨气)。
在一些实施方案中,运载气体、含有卤素的气体,和含有氢的气体中的一个或多个可以不是等离子体激活的。举例来说,在将衬底表面暴露于反应腔室中的未激活的反应气体之前,未激活的反应气体可能不流动通过远程等离子体源。在一些实施方案中,运载气体可通过远程等离子体源激活,而含有卤素的气体和含有氢的气体可以不通过远程等离子体源激活。举例来说,可将含有氟的气体和含有氢的气体引入至反应腔室中而不使气体流动通过等离子体源。在一些实施方案中,通过远程等离子体源激活反应气体的所有组分,包括例如运载气体、含有卤素的气体和含有氢的气体。
在一些实施方案中,可将衬底表面同时或基本上同时暴露于激活的反应物质和未激活的反应物质,例如在递送至反应腔室中之前结合激活的反应物质和未激活的反应物质。在一些实施方案中,可将衬底表面按顺序暴露于激活的反应物质和/或未激活的反应物质。举例来说,可将衬底表面首先暴露于反应气体的一种或多种组分的激活的反应物质(例如,通过远程等离子体单元激活),随后暴露于反应气体的一种或多种组分中的另一者的第二激活或未激活的反应物质(例如,未通过远程等离子体单元激活)。在一些实施方案中,可将衬底表面首先暴露于未激活的反应物质,随后暴露于激活的反应物质。可选择暴露顺序以提供期望的预清洗工艺性能。举例来说,可将衬底表面首先暴露于通过远程等离子体单元激活的运载气体和含有氟的气体,随后暴露于未激活的含有氢的气体(例如,氨气)和含有氟的气体的组合,或首先暴露于未激活的含有氢的气体且接着暴露于含有氟的气体。
在一些实施方案中,未激活的反应气体在被引入至反应腔室中之前可与通过等离子体源激活的一种或多种反应气体结合。举例来说,未激活的反应气体在被引入至反应腔室中之前可与通过在上述远程等离子体源下游的远程等离子体源激活的反应气体结合。在一些实施方案中,可将反应气体的组分按顺序引入至反应腔室中。举例来说,可将衬底首先暴露于反应气体的一种或多种激活的组分,随后暴露于反应气体的一种或多种未激活的成分,或反过来也一样。在一些实施方案中,可将反应气体的激活的组分和/或未激活的组分本身按顺序引入至反应腔室中(例如,首先是反应气体的激活的组分,随后是反应气体的第二激活的组分)。
在一些实施方案中,在将激活的运载气体和未激活的含有卤素的气体和未激活的含有氢的气体引入至反应腔室中之前,通过远程等离子体源激活的运载气体(例如,氩气)可与在远程等离子体源下游的位置处的未激活的含有卤素的气体(例如,氟化氢、双原子氟和/或三氟化氮)和未激活的含有氢的气体(例如,氨气)结合。在一些实施方案中,在将激活的运载气体、激活的含有卤素的气体和未激活的含有氢的气体引入至反应腔室中之前,运载气体(例如,氩气)与含有卤素的气体(例如,氟化氢、双原子氟和/或三氟化氮)结合且通过远程等离子体源激活,接着与在远程等离子体源下游的位置处的未激活的含有氢的气体(例如,氨气)结合。在一些实施方案中,运载气体(例如,氩气)、含有卤素的气体(例如,氟化氢、双原子氟和/或三氟化氮)和含有氢的气体(例如,氨气)都通过远程等离子体源激活。举例来说,可在使运载气体、含有卤素的气体和含有氢的气体流动通过远程等离子体源之前使这些气体结合。
在一些实施方案中,预清洗材料的形成可包括首先将运载气体(例如,氩气)和通过远程等离子体源激活的含有卤素的气体(例如,氟化氢、双原子氟和/或三氟化氮)的组合引入至反应腔室中,随后将未激活的含有卤素的气体(例如,氟化氢)和含有氢的气体(例如,氨气)的组合引入至反应腔室中。在一些实施方案中,预清洗材料的形成可包括首先将运载气体和通过远程等离子体源激活的含有卤素的气体的组合引入至反应腔室中,接着将未激活的含有卤素的气体,随后将未激活的含有氢的气体的顺序流引入至反应腔室中。
在一些实施方案中,一种或多种工艺可用于从反应腔室去除气体(例如,可包括过量反应气体和/或气态反应副产品的现有反应腔室大气的去除)。在一些实施方案中,可在反应气体的组分流入至反应腔室之间使用一种或多种气体去除工艺。举例来说,可排空和/或清除反应腔室。可在清除工艺中使用各种惰性气体,包括例如氮气(N2)、氦气(He)和/或氩气(Ar)。在一些实施方案中,可在清除工艺中使用未激活的惰性气体(例如,未激活的N2、He和/或Ar)。
预清洗工艺中的气流顺序可包括例如首先将运载气体(例如,氩气)和通过远程等离子体源激活的含有卤素的气体(例如,氟化氢、双原子氟和/或三氟化氮)的组合引入至反应腔室中,随后是气体去除工艺,且接着随后使未激活的含有卤素的气体(例如,氟化氢)和含有氢的气体(例如,氨气)的组合流入至反应腔室中。
在一些实施方案中,可在反应气体开始流入至反应腔室中之前和/或反应气体停止流入至反应腔室中之后使用气体去除工艺。在一些实施方案中,可在反应气体开始流入至反应腔室中之前和/或反应气体停止流入至反应腔室中之后执行一个或多个清除工艺。举例来说,可在反应气体开始流入至反应腔室中之前,和在一些实施方案中在反应气体停止流入至反应腔室中之后使一种或多种惰性气体(例如氩气,包括未激活的氩气)流入至反应腔室中。气流的顺序的实例可包括例如执行第一反应腔室清除工艺,其包括使一种或多种惰性气体流入至反应腔室中,接着将反应气体的组分引入至反应腔室中。在反应气体的组分停止流动之后,可执行第二清除工艺,其中第二清除工艺包括使一种或多种惰性气体流入至反应腔室中以用于清除反应腔室中的反应气体的过量组分和/或气态反应副产品。
在一些实施方案中,清除工艺的一种或多种惰性气体可在被引入至反应腔室中之前流动通过远程等离子体单元。清除工艺的一种或多种惰性气体可以不在远程等离子体单元内被等离子体激活(例如,一种或多种惰性气体流动通过远程等离子体单元可在等离子体不在远程等离子体单元内点火时发生)。举例来说,一种或多种惰性气体可用以清除远程等离子体单元和/或用于随后对远程等离子体单元中的等离子体点火。举例来说,在不对等离子体点火时使惰性气体流动通过远程等离子体单元持续期望的持续时间之后,惰性气体流动通过远程等离子体单元可继续,使得远程等离子体单元内的等离子体可用流动通过远程等离子体单元的惰性气体点火。
在一些实施方案中,反应气体的一种或多种组分在被引入至反应腔室中时可维持在期望的温度。举例来说,可在将运载气体、含有卤素的气体和含有氢的气体中的一个或多个引入至反应腔室中以用于形成预清洗材料之前对其加热。在一些实施方案中,加热含有氢的气体。举例来说,可将含有氢的气体加热至约30℃至约120℃的温度,包括约80℃至约115℃,约70℃至约110℃,约70℃至约105℃和约70℃至约100℃。预加热可有利地促进改进的预清洗工艺性能,例如促进预清洗材料的形成。在一些实施方案中,衬底表面预清洗工艺可包括预加热的氨气,氨气被加热至约80℃至约115℃的温度。也可预加热反应气体的一种或多种其它组分。
已发现预清洗工艺中的各种反应气体的比可影响将氧化硅材料相对于氮化硅去除的选择度。在一些实施方案中,用于预清洗工艺的反应气体可具有的含有卤素的气体与含有氢的气体的摩尔比为约3∶1至约10∶1。在一些实施方案中,摩尔比可以是约4∶1至约10∶1,包括约5∶1至约10∶1。举例来说,用于预清洗工艺的反应气体可具有的氨气与三氟化氮、氟化氢和/或氟气的摩尔比为约4.5∶1。在一些实施方案中,通过远程等离子体源激活的氨气与在其输送管中预加热的未激活的三氟化氮的摩尔比可以是约3∶1。有利地,这些比可提供用于将表面氧化物相对于氮化硅去除的高选择程度。
预清洗工艺的实例顺序可包括将具有将要清洗的表面的衬底提供至第一反应腔室(例如,反应腔室201)中。衬底在第一反应腔室内可维持在约15℃至约30℃的温度。举例来说,衬底可维持在约17℃至约29℃的温度。可在反应气体开始流入至第一反应腔室中之前执行第一清除工艺。举例来说,在将衬底提供在第一反应腔室内之后,且在反应气体开始流动之前,可使未激活的氩气流入至第一反应腔室中。可在将未激活的氩气引入至第一反应腔室中之前使未激活的氩气流动通过远程等离子体单元。举例来说,可在不在远程等离子体单元中对等离子体点火时使未激活的氩气流动通过远程等离子体单元。一旦第一反应腔室的期望的清除完成,就可将未激活的含有氢的气体(例如,未激活的氨气(NH3))引入至第一反应腔室中。在将未激活的含有氢的气体引入至第一反应腔室中之前可不对其预加热(例如,可不预加热被引入至第一反应腔室中的未激活的NH3)。随后,可用流动通过远程等离子体单元且流入至第一反应腔室中的氩气来对那个单元内的等离子体点火。随后可通过使含有卤素的气体(诸如三氟化氮(NF3))流动通过远程等离子体单元并流入至反应腔室中来通过远程等离子体单元激活含有卤素的气体。在衬底表面预清洗材料期望地形成之后,可停止反应气体的流动且可切断远程等离子体单元中的等离子体。可在反应气体的流动停止之后执行第二清除工艺。举例来说,未激活的氩气可在第二清除工艺中流动至反应腔室中。可在将未激活的氩气引入至第一反应腔室中之前使未激活的氩气在第二清除工艺期间流动通过远程等离子体单元。可随后在第一反应腔室中去除衬底表面预清洗材料。如本文中所描述,衬底表面预清洗材料的去除可包括通过将衬底表面预清洗材料加热至大于约80℃的温度,包括约120℃和约380℃来进行衬底表面预清洗材料的升华。
可随后从第一反应腔室去除具有预清洗的表面的衬底并将其转移至第二不同的腔室。在一些实施方案中,可在第二反应腔室中在预清洗的衬底表面上形成目标材料,包括形成外延材料层。在一些实施方案中,具有此顺序的预清洗工艺可有利地促进实现高选择度(例如,将衬底表面氧化硅相对于衬底表面上的另一材料,诸如氮化硅材料去除的选择度),包括约14∶1的选择度。在一些实施方案中,具有此顺序的预清洗工艺可有利地促进实现如本文中所描述的改进的选择度。
尽管已在某些实施方案和实例的上下文中提供本公开,但本领域技术人员应理解,本公开延伸超出具体描述的实施方案至实施方案的其它替代实施方案和/或用途以及其明显的修改和等效物。另外,尽管已示出并详细描述本公开的实施方案的若干变化,但本领域技术人员基于本公开将易于明白在本公开的范围内的其它修改。还预期可进行实施方案的特定特征和方面的各种组合或子组合且其仍落在本公开的范围内。应理解,公开的实施方案的各种特征和方面可彼此组合或取代以便形成本公开的实施方案的变化的模式。因此,希望本公开的范围不应受上述特定实施方案限制。

Claims (31)

1.一种用于集成电路制造的系统,所述系统包括:
反应腔室,其用于处理衬底;
衬底托盘,其用以收纳所述反应腔室内的所述衬底;
冷却体,其用以收纳所述衬底托盘;以及
第一温度控制元件,其被配置用来控制所述衬底托盘的温度,和第二温度控制元件,其被配置用来控制所述冷却体的温度,其中所述第一和第二温度控制元件被配置用来独立地控制所述衬底托盘和所述冷却体的所述温度。
2.如权利要求1所述的系统,其中所述第一温度控制元件包括耦合至所述衬底托盘的外表面的至少一个加热元件。
3.如权利要求1所述的系统,其中所述第一温度控制元件包括嵌入于所述衬底托盘中的至少一个加热元件。
4.如权利要求1所述的系统,其中所述衬底托盘包括碳化硅和氮化铝中的至少一者。
5.如权利要求1所述的系统,其中所述衬底托盘附接至所述冷却体。
6.如权利要求1所述的系统,其进一步包括被配置用来使所述冷却体和所述衬底托盘中的一个或两个相对于彼此移动的定位元件。
7.如权利要求6所述的系统,其进一步包括被编程用来执行以下操作的控制单元:
提供控制信号以使所述衬底托盘和所述冷却体中的一个或两个相对于彼此移动,使得所述衬底托盘与所述冷却体直接接触;以及
提供控制信号以使所述衬底托盘和所述冷却体中的一个或两个相对于彼此移动,使得所述衬底托盘与所述冷却体不直接接触。
8.如权利要求1所述的系统,其进一步包括与所述反应腔室气体连通的含有卤素的成分和含有氢的成分的来源。
9.如权利要求8所述的系统,其进一步包括用于激活所述含有卤素的成分和所述含有氢的成分中的至少一者的远程等离子体产生器。
10.如权利要求1所述的系统,其中所述冷却体是感受器。
11.一种用于集成电路制造的方法,其包括:
从衬底的表面去除氧化物材料,其中所述表面包括硅,且其中去除所述氧化物材料包括:
在包括加热元件的衬底托盘上提供所述衬底;
通过将热从所述衬底托盘传递至冷却体来冷却所述衬底;
在所述衬底在所述衬底托盘上时将含有卤素的材料沉积在所述冷却的衬底上;以及
随后通过借助将热从所述衬底托盘传递至所述衬底而加热所述冷却的衬底来使所述含有卤素的材料升华。
12.如权利要求11所述的方法,其中在所述冷却体与所述衬底托盘直接接触时执行冷却所述衬底、沉积所述含有卤素的材料和随后使所述含有卤素的材料升华。
13.如权利要求12所述的方法,其中冷却所述衬底包括减少或停止传至所述衬底托盘的所述加热元件的热力,且其中加热所述衬底包括向所述衬底托盘的所述加热元件增加或提供热力。
14.如权利要求11所述的方法,其中所述冷却体包括:
加热元件;以及
冷却系统,
其中所述加热元件和冷却系统被配置用来在沉积所述含有卤素的材料期间和在随后使所述含有卤素的材料升华期间积极地将所述冷却体的温度维持在所述衬底托盘的温度以下。
15.如权利要求11所述的方法,其中在沉积所述含有卤素的材料期间所述衬底托盘与所述冷却体直接接触,所述方法进一步包括:
在使所述含有卤素的材料升华之前将所述衬底托盘与所述冷却体分离,
其中在使所述含有卤素的材料升华期间所述冷却体与所述衬底托盘不直接接触。
16.如权利要求15所述的方法,其进一步包括在使所述含有卤素的材料升华之后冷却所述衬底托盘,其中冷却所述衬底托盘包括在使所述含有卤素的材料升华之后使所述冷却体与所述衬底托盘彼此直接接触。
17.如权利要求11所述的方法,其中所述衬底表面进一步包括锗。
18.如权利要求11所述的方法,其中所述衬底表面进一步包括氮化硅,且其中去除所述氧化物材料包括选择性地将所述氧化物材料相对于所述氮化硅以7∶1至20∶1的选择度去除。
19.如权利要求11所述的方法,其中在同一反应腔室中执行沉积所述含有卤素的材料和随后使所述含有卤素的材料升华。
20.如权利要求11所述的方法,其中使所述含有卤素的材料升华包括加热所述衬底托盘以实现在80℃与150℃之间的衬底温度。
21.如权利要求11所述的方法,其中沉积所述含有卤素的材料包括将衬底温度维持在22℃与28℃之间。
22.如权利要求11所述的方法,其中沉积所述含有卤素的材料包括将所述衬底的所述表面暴露于含有氟的气体和含有氢的气体。
23.如权利要求22所述的方法,其中所述含有卤素的材料包括六氟硅酸铵。
24.如权利要求11所述的方法,其进一步包括在去除所述氧化物材料之后在所述衬底上沉积外延层。
25.一种用于集成电路制造的方法,其包括:
将衬底放置在衬底托盘上,其中所述衬底托盘在冷却体上方;以及
通过在同一反应腔室中执行多个氧化物去除循环来从所述衬底的表面去除氧化物材料,所述氧化物去除循环中的每一者包括:
在所述衬底表面上沉积含有卤素的材料;以及
通过独立于所述冷却体的温度控制所述衬底托盘的温度来使所述含有卤素的材料升华。
26.如权利要求25所述的方法,其中所述表面包括硅和锗中的至少一者。
27.如权利要求26所述的方法,其中所述表面进一步包括氮化硅,且其中去除第二氧化物材料包括选择性地将所述第二氧化物材料相对于所述氮化硅以40∶1至100∶1的选择度去除。
28.如权利要求25所述的方法,其中控制所述衬底托盘的温度包括加热所述衬底托盘。
29.如权利要求28所述的方法,其中加热所述衬底托盘包括在所述衬底托盘与所述冷却体直接接触时加热所述衬底托盘,其中所述冷却体具有比所述衬底托盘的温度设定点低的温度设定点。
30.如权利要求25所述的方法,其进一步包括:
通过使所述衬底托盘与所述冷却体接触来冷却所述衬底托盘,
其中在所述衬底托盘与所述冷却体接触时执行沉积所述含有卤素的材料。
31.如权利要求30所述的方法,其进一步包括:
将所述冷却体与所述衬底托盘间隔开;以及
在所述冷却体与所述衬底托盘间隔开时加热所述衬底托盘,
其中在所述冷却体与所述衬底托盘被间隔开时执行使所述含有卤素的材料升华。
CN201610133338.3A 2015-03-11 2016-03-09 具有用于改变衬底温度的衬底托盘的预清洗腔室和借助所述衬底托盘进行的预清洗工艺 Active CN105977134B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/645,158 US10373850B2 (en) 2015-03-11 2015-03-11 Pre-clean chamber and process with substrate tray for changing substrate temperature
US14/645,158 2015-03-11

Publications (2)

Publication Number Publication Date
CN105977134A true CN105977134A (zh) 2016-09-28
CN105977134B CN105977134B (zh) 2021-11-23

Family

ID=56887498

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610133338.3A Active CN105977134B (zh) 2015-03-11 2016-03-09 具有用于改变衬底温度的衬底托盘的预清洗腔室和借助所述衬底托盘进行的预清洗工艺

Country Status (4)

Country Link
US (2) US10373850B2 (zh)
KR (1) KR102519095B1 (zh)
CN (1) CN105977134B (zh)
TW (1) TWI687541B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110050328A (zh) * 2016-12-15 2019-07-23 Asm Ip控股有限公司 半导体处理设备

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
JP6449074B2 (ja) * 2015-03-25 2019-01-09 住友化学株式会社 基板処理装置及び基板処理方法
KR101874822B1 (ko) * 2016-04-01 2018-07-06 주식회사 테스 실리콘산화막의 선택적 식각 방법
CN107195580B (zh) * 2017-05-23 2023-05-05 商洛学院 一种可在不同衬底块同步生长的两用mocvd衬底架托盘结构
US20190051540A1 (en) * 2017-08-08 2019-02-14 Lam Research Corporation Systems and methods for plasma-less de-halogenation
US11328929B2 (en) 2018-05-01 2022-05-10 Applied Materials, Inc. Methods, apparatuses and systems for substrate processing for lowering contact resistance
KR20210005515A (ko) * 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20230119105A (ko) * 2022-02-01 2023-08-16 주식회사 히타치하이테크 에칭 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080236493A1 (en) * 2007-03-27 2008-10-02 Tokyo Electron Limited Plasma processing apparatus
CN101473419A (zh) * 2006-06-22 2009-07-01 东京毅力科创株式会社 干式非等离子体处理系统和使用方法
US20090179003A1 (en) * 2008-01-11 2009-07-16 Tokyo Electron Limited Substrate processing method, substrate processing apparatus and recording medium
US20110140246A1 (en) * 2009-12-10 2011-06-16 California Institute Of Technology Delta-doping at wafer level for high throughput, high yield fabrication of silicon imaging arrays
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4361461A (en) 1981-03-13 1982-11-30 Bell Telephone Laboratories, Incorporated Hydrogen etching of semiconductors and oxides
US4615905A (en) 1982-09-24 1986-10-07 Sovonics Solar Systems, Inc. Method of depositing semiconductor films by free radical generation
US4517223A (en) 1982-09-24 1985-05-14 Sovonics Solar Systems Method of making amorphous semiconductor alloys and devices using microwave energy
US4699689A (en) 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US4632057A (en) 1985-08-05 1986-12-30 Spectrum Cvd, Inc. CVD plasma reactor
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US5138973A (en) 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US5248636A (en) 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
JP2626913B2 (ja) 1988-07-29 1997-07-02 三菱電機株式会社 シリコン表面の処理方法
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US5030319A (en) 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
FR2675309A1 (fr) 1991-03-22 1992-10-16 Siemens Ag Procede pour eliminer localement des couches isolantes transparentes aux ultraviolets, situees sur un substrat semiconducteur.
US5228206A (en) 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP2605589B2 (ja) * 1993-07-27 1997-04-30 日本電気株式会社 減圧室の半導体基板加熱装置
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3328416B2 (ja) 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
TW345705B (en) 1994-07-28 1998-11-21 Handotai Energy Kenkyusho Kk Laser processing method
DE19503718A1 (de) 1995-02-04 1996-08-08 Leybold Ag UV-Strahler
US5686748A (en) 1995-02-27 1997-11-11 Micron Technology, Inc. Dielectric material and process to create same
JPH09157846A (ja) * 1995-12-01 1997-06-17 Teisan Kk 温度調節装置
US5730803A (en) * 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6347636B1 (en) 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US5992429A (en) 1997-03-13 1999-11-30 Itt Manufacturing Enterprises Method for cleaning semiconductor wafers with an external heat source
JPH10321610A (ja) 1997-03-19 1998-12-04 Fujitsu Ltd 半導体装置の製造方法
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6079426A (en) 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
JP3292101B2 (ja) 1997-07-18 2002-06-17 信越半導体株式会社 珪素単結晶基板表面の平滑化方法
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6395192B1 (en) 1998-05-26 2002-05-28 Steag C.V.D. Systems Ltd. Method and apparatus for removing native oxide layers from silicon wafers
US6077353A (en) 1998-06-02 2000-06-20 Applied Materials, Inc. Pedestal insulator for a pre-clean chamber
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6339028B2 (en) 1999-04-27 2002-01-15 Stmicroelectronics, Inc. Vacuum loadlock ultra violet bake for plasma etch
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6071823A (en) 1999-09-21 2000-06-06 Promos Technology, Inc Deep trench bottle-shaped etch in centura mark II NG
US6457478B1 (en) 1999-11-12 2002-10-01 Michael J. Danese Method for treating an object using ultra-violet light
KR100316721B1 (ko) 2000-01-29 2001-12-12 윤종용 실리사이드막을 구비한 반도체소자의 제조방법
KR100360399B1 (ko) 2000-03-07 2002-11-13 삼성전자 주식회사 반구형입자(hsg)막을 구비한 반도체소자의 제조방법
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6498107B1 (en) 2000-05-01 2002-12-24 Epion Corporation Interface control for film deposition by gas-cluster ion-beam processing
US6319861B1 (en) 2000-05-02 2001-11-20 United Microelectronics Corp. Method of improving deposition
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6514886B1 (en) * 2000-09-22 2003-02-04 Newport Fab, Llc Method for elimination of contaminants prior to epitaxy
US6534412B1 (en) 2000-10-11 2003-03-18 United Microelectronics Corp. Method for removing native oxide
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US20030019858A1 (en) * 2001-07-27 2003-01-30 Applied Materials, Inc. Ceramic heater with thermal pipe for improving temperature uniformity, efficiency and robustness and manufacturing method
KR100431657B1 (ko) 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
CN101457338B (zh) 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7153772B2 (en) * 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
JP2005158761A (ja) 2003-11-20 2005-06-16 Ulvac Japan Ltd 薄膜製造方法、半導体装置の製造方法、及び半導体装置
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7132372B2 (en) 2004-07-29 2006-11-07 Freescale Semiconductor, Inc. Method for preparing a semiconductor substrate surface for semiconductor device fabrication
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20060196527A1 (en) 2005-02-23 2006-09-07 Tokyo Electron Limited Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
CN100422394C (zh) 2006-03-20 2008-10-01 中国科学院物理研究所 一种在Si(111)衬底上制备高质量ZnO单晶薄膜的方法
US7651948B2 (en) 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP2008088529A (ja) 2006-10-04 2008-04-17 Ulvac Japan Ltd 膜形成方法および膜形成装置
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US8551880B2 (en) 2007-11-01 2013-10-08 Applied Materials, Inc. Ammonia-based plasma treatment for metal fill in narrow features
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
CN101459071B (zh) 2007-12-13 2010-12-22 中芯国际集成电路制造(上海)有限公司 去除硅衬底表面氧化硅层及形成接触孔的方法
JP2009194216A (ja) 2008-02-15 2009-08-27 Hitachi Ltd 半導体装置の製造方法
JP4968861B2 (ja) 2009-03-19 2012-07-04 東京エレクトロン株式会社 基板のエッチング方法及びシステム
KR101266778B1 (ko) * 2009-06-24 2013-05-22 캐논 아네르바 가부시키가이샤 진공 가열/냉각 장치 및 자기저항 요소의 제조 방법
WO2011043063A1 (ja) * 2009-10-05 2011-04-14 キヤノンアネルバ株式会社 基板冷却装置、スパッタリング装置および電子デバイスの製造方法
TW201210028A (en) 2010-05-28 2012-03-01 Corning Inc Light scattering inorganic substrates by soot deposition
KR20120034341A (ko) 2010-10-01 2012-04-12 주식회사 원익아이피에스 기판처리장치의 세정방법
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
CN102427027A (zh) 2011-07-22 2012-04-25 上海华力微电子有限公司 一种改善半导体自动对准镍硅化物热稳定性的工艺方法
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8632691B2 (en) 2012-05-18 2014-01-21 Peking University Interface treatment method for germanium-based device
US8455352B1 (en) 2012-05-24 2013-06-04 Applied Materials, Inc. Method for removing native oxide and associated residue from a substrate
US20140011339A1 (en) 2012-07-06 2014-01-09 Applied Materials, Inc. Method for removing native oxide and residue from a germanium or iii-v group containing surface
US8901677B2 (en) 2013-03-08 2014-12-02 Intermolecular, Inc. Nucleation interface for high-k layer on germanium
US20140273525A1 (en) 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
US9668373B2 (en) * 2013-03-15 2017-05-30 Applied Materials, Inc. Substrate support chuck cooling for deposition chamber
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150079799A1 (en) * 2013-09-17 2015-03-19 Applied Materials, Inc. Method for stabilizing an interface post etch to minimize queue time issues before next processing step
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
CN103943494A (zh) 2014-03-24 2014-07-23 上海华力微电子有限公司 选择性外延生长工艺的前处理方法及半导体器件制造方法
US9474163B2 (en) * 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101473419A (zh) * 2006-06-22 2009-07-01 东京毅力科创株式会社 干式非等离子体处理系统和使用方法
US20080236493A1 (en) * 2007-03-27 2008-10-02 Tokyo Electron Limited Plasma processing apparatus
US20090179003A1 (en) * 2008-01-11 2009-07-16 Tokyo Electron Limited Substrate processing method, substrate processing apparatus and recording medium
US20110140246A1 (en) * 2009-12-10 2011-06-16 California Institute Of Technology Delta-doping at wafer level for high throughput, high yield fabrication of silicon imaging arrays
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110050328A (zh) * 2016-12-15 2019-07-23 Asm Ip控股有限公司 半导体处理设备

Also Published As

Publication number Publication date
TW201700781A (zh) 2017-01-01
US11264255B2 (en) 2022-03-01
US20190333793A1 (en) 2019-10-31
CN105977134B (zh) 2021-11-23
KR20160110218A (ko) 2016-09-21
US10373850B2 (en) 2019-08-06
KR102519095B1 (ko) 2023-04-06
TWI687541B (zh) 2020-03-11
US20160265112A1 (en) 2016-09-15

Similar Documents

Publication Publication Date Title
CN105977134A (zh) 具有用于改变衬底温度的衬底托盘的预清洗腔室和借助所述衬底托盘进行的预清洗工艺
JP7046162B2 (ja) 高選択性酸化物除去および高温汚染物質除去と統合されたエピタキシシステム
TWI641046B (zh) 積體電路的製造方法
CN104025264B (zh) 用原子氢清洁基板表面的方法和设备
CN105742157B (zh) 氧化锗预清洁模块和方法
KR102619574B1 (ko) 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
JP4556329B2 (ja) 薄膜形成装置
JP2020532114A (ja) 一体型エピタキシシステム高温汚染物質除去
JP7348975B2 (ja) 一体化されたエピタキシと予洗浄システム
CN104040706B (zh) 在基板上沉积iii‑v族层的方法
CN109844904A (zh) 通过等离子体处理的氟化铝减少
TWI674625B (zh) 原位羥化裝置
US8110435B2 (en) Method and apparatus for manufacturing semiconductor device
TWI719768B (zh) 成長摻雜iv族材料的方法
US20220093418A1 (en) Methods and systems for cleaning high aspect ratio structures
JP2008218877A (ja) 基板処理装置および半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant