CN107408494A - 缺陷平面化 - Google Patents

缺陷平面化 Download PDF

Info

Publication number
CN107408494A
CN107408494A CN201680017309.9A CN201680017309A CN107408494A CN 107408494 A CN107408494 A CN 107408494A CN 201680017309 A CN201680017309 A CN 201680017309A CN 107408494 A CN107408494 A CN 107408494A
Authority
CN
China
Prior art keywords
substrate
carbon
patterning
substrate processing
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680017309.9A
Other languages
English (en)
Other versions
CN107408494B (zh
Inventor
P·J·赖利
D·A·贝思克
K·李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN107408494A publication Critical patent/CN107408494A/zh
Application granted granted Critical
Publication of CN107408494B publication Critical patent/CN107408494B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Abstract

本公开内容的多个方面涉及在图案化的基板上形成平面非晶碳层的方法。根据本文所概述的实施例形成的层可通过以下方式来提高制造良率:无论下层拓扑或化学计量变化如何都使非晶碳层的顶表面更平面。在实施例中,非晶碳层可包含碳和氢,可由碳和氢组成,或者可包含碳、氢和氮或由碳、氢和氮组成。本文中所述的方法可以包括:以相对于烃的相对高的比率将含氢前体引入基板处理区域中,并且同时将局部等离子体功率电容性地施加至基板处理区域以形成平面层。替代地,氢:碳的原子流比可在开始时为低,并且在形成非晶碳层期间离散地或平滑地增加。

Description

缺陷平面化
相关申请的交叉引用
本申请主张由Reilly等人于2015年3月23日由提交的、题为“DEFECTPLANARIZATION”(“缺陷平面化”)的美国临时专利申请第62/137,062号的权益,所述专利申请全文出于全部目的以引用方式并入本文中。
技术领域
本文中的主题涉及在图案化的基板上形成平面非晶碳层。
背景技术
已开发了替代性硬掩模材料来辅助将图案从光刻胶转移至下层。典型地,硬掩模可以是金属、氧化硅或氮化硅。然而,一些器件结构已经包括待图案化的金属、氧化硅和/或氮化硅层。由于在硬掩模与待蚀刻的材料之间可能存在很少的蚀刻选择性或无蚀刻选择性,因此可能不期望使用金属、氧化硅或氮化硅硬掩模来图案化此类器件结构。移除硬掩模的工艺也会移除或破坏下层。成功的蚀刻掩模材料应当具有相对于金属层、氧化硅层和氮化硅层的蚀刻选择性。氢化非晶碳是已用作用于金属、氧化硅或氮化硅的硬掩模的一种此类材料。也被称为非晶碳并表示为-C:H的氢化非晶碳基本上是不具有长程晶序的碳材料。期望使用气相沉积技术来产生非晶碳层。已经使用作为传统技术的替代的等离子体增强型化学气相沉积(PECVD)来形成非晶碳层。在典型的PECVD工艺中,烃源,诸如,气相烃或液相烃的蒸气,已与载气一起流入PECVD腔室中。随后,在腔室中激发等离子体以在基板上形成非晶碳层。非晶碳会在图案化的基板上形成缺陷,所述缺陷涉及缺乏平面沉积后表面。
需要形成平面非晶碳层以帮助避免与不平缺陷相关的良率损失的技术。
发明内容
本公开内容的多个方面涉及在图案化的基板上形成平面非晶碳层的方法。根据本文所概述的实施例形成的层可通过以下方式来改善制造良率:无论下层形貌或化学计量变化如何,都使非晶碳层的顶表面更加平面。在实施例中,非晶碳层可包括碳和氢,可由碳和氢组成,或者可包括碳、氢和氮,或由碳、氢和氮组成。本文中所述的方法可以包括:以相对于烃的相对高的比率将含氢前体引入基板处理区域中,并且同时将局部等离子体功率电容性地施加至基板处理区域以形成平面层。替代地,氢:碳的原子流率比开始可以为低,并且在形成非晶碳层期间离散地或平滑地增加。
本文所公开的实施例包括在图案化的基板上形成碳层的方法。所述方法包括:将图案化的基板放入基板处理腔室的基板处理区域中。所述方法进一步包括:使含氢前体流入基板处理区域中。所述方法进一步包括:使烃前体流入基板处理区域中。所述方法进一步包括:将等离子体功率施加至基板处理区域。所述方法进一步包括:在基板处理区域中,由含氢前体与烃前体的组合形成等离子体。含氢前体包括氢。所述方法进一步包括:将第一原子流率比(H:C)维持在零与二之间。所述方法进一步包括:形成碳层的具有第一顶部界面的第一部分。所述方法进一步包括:使原子流率比从第一原子流率增加比至大于二的第二原子流率比(H:C)。所述方法进一步包括:维持第二原子流率比。所述方法进一步包括:形成碳层的具有第二顶部界面的第二部分。
第二原子流率比(H:C)可大于三。第二顶部界面可以比第一顶部界面更平面。形成等离子体可包括:电容性地施加RF功率。含氢前体可进一步包括氮。形成等离子体可包括:以大于100kHz的频率施加RF功率。
本文所公开的实施例包括在图案化的基板上形成平面碳层的方法。所述方法包括:将图案化的基板放入基板处理腔室的基板处理区域中。所述方法进一步包括:使含氢前体流入基板处理区域中。所述方法进一步包括:使烃前体流入基板处理区域中。烃前体包括碳和氢。所述方法进一步包括:在基板处理区域中,形成含氢前体与烃前体的前体组合。所述方法进一步包括:在基板处理区域中将等离子体功率施加至前体组合。所述方法进一步包括:形成具有第一顶部界面的第一碳层。所述方法进一步包括:使原子流率比从低于二的第一原子流率比平滑地增加至高于三的第二原子流率比(H:C)。所述方法进一步包括:形成具有第二顶部界面的第二碳层。平面碳层包括第一碳层和第二碳层。
可使含氢前体和烃前体以在三与七之间的原子流率比(H:C)流入基板处理区域中。含氢前体可包括H2、NH3、N2H4和N2H2中的一个或多个。含氢前体可由氢组成。含氢前体可由氢和氮组成。烃前体可仅包括碳和氢。
本文所公开的实施例包括在图案化的基板上形成平面碳层的方法。所述方法包括:将图案化的基板放入基板处理腔室的基板处理区域中。所述方法进一步包括:使含氢前体流入基板处理区域中,并且将含氢前体与烃前体组合。所述方法进一步包括:通过将等离子体功率施加至基板处理区域,由含氢前体与烃前体的组合形成等离子体。所述方法进一步包括:形成平面碳层。平面碳层包括碳和氢。
可使含氢前体和烃前体以大于三的原子流率比(H:C)流入基板处理区域中。平面碳层可以是非晶的。平面碳层可仅包括氢和碳。形成平面碳层可进一步包括:在形成平面碳层期间,比低点更快地同时蚀刻高点。
附加的实施例和特征在下文描述中部分加以阐述,并且在部分程度上,在查阅本说明书后将对本领域的技术人员显而易见,或者可通过实践所公开的实施例了解到。所公开的实施例的特征和优点可借助于本说明书中描述的手段、组合和方法来实现和达成。
附图说明
对实施例的性质和优点的进一步理解可通过参考本说明书的剩余部分和附图来实现。
图1是根据实施例的平面层形成工艺的流程图。
图2A是根据实施例的在形成平面层之前的器件的横截面示意图。
图2B是根据实施例的在形成平面层之后的器件的横截面示意图。
图2C是根据实施例的不具有形成平面层的益处的装置的横截面示意图。
图3是根据实施例的平面层形成工艺的流程图。
图4图示了根据本发明的实施例的基板处理系统。
图5图示了根据本发明的实施例的基板处理腔室。
在附图中,相似的部件和/或特征可以具有相同参考标记。另外,相同类型的各个部件可通过参考标记后加划线以及区别相似部件的第二标记来区别。如果在本说明书中仅使用了第一参考标记,则描述可适用于具有相同第一参考标记的相似部件中的任何一个,不管第二参考标记如何。
具体实施方式
本公开内容的多个方面涉及在图案化的基板上形成平面非晶碳层的方法。根据本文所概述的实施例形成的层可通过以下方式来改善制造良率:无论下层拓扑或化学计量变化如何,都使非晶碳层的顶表面更平面。在实施例中,非晶碳层可包括碳和氢,可由碳和氢组成,或者可包括碳、氢和氮,或由碳、氢和氮组成。本文中所述的方法可以包括以相对于烃的相对高的比率将含氢前体引入基板处理区域中,同时将局部等离子体功率电容性地施加至基板处理区域以形成平面层。替代地,氢:碳的原子流率比可以在开始时为低,并且在形成非晶碳层期间离散地或平滑地增加。
本文中所述的非晶层在图案化的基板上形成,所述图案化的基板可在将成为集成电路的重复单元的两个部分之间具有高度差。替代高度差或除了高度差之外,根据实施例,图案化的基板可具有凹口或凸起,或与平面度的任何其他偏差。替代地或组合地,两个部分可由不同元素或材料构成和/或可具有不同化学计量的相同元素。无论不一致性的类型如何,非晶碳的PECVD形成会呈现出生长异常,所述生长异常在根据现有技术实践生长的非晶碳层中形成裂隙。本文中所述的方法可涉及根据现有技术实践生长非晶碳层的一部分,并且随后使用较高:碳原子流率比在顶部上生长另一部分至甚至沉积出来,所述较高氢:碳原子流比可涉及较高氢:烃流比。在这种情况下,“缺陷”形成,但随后通过进一步沉积而被抵消,因此在实施例中,良率可以不受影响。增加的氢含量被认为引入蚀刻分量,在非晶碳层形成继续时,所述蚀刻分量相对于低点优先地蚀刻高点。在替代实施例中,在整个形成非晶碳层期间,以高氢:烃流比来沉积非晶碳层。
为了便于描述图案化的基板上的特征,“顶部”和“向上”在本文中将用于描述竖直远离基板平面并且在垂直方向上进一步远离基板质心的部分/方向。“竖直的”将用于描述在朝向“顶部”的“向上”方向中对准的物品。“深度”将用于描述在竖直方向上的长度,并且“宽度”将用于描述平行于基板平面的长度。本文中所述的方法可避免沉积较多材料的问题,所以免出现材料类型突变和/或在下层图案化的基板上的高度差。由此,本文中所述的实施例的益处涉及形成(例如,硬掩模)材料的平面层并且随之而来的制造良率的提高。本文中所述的实施例的另一益处涉及成本降低,而不引入用于形成平面层的更复杂的硬件和材料。进一步的益处是避免使用化学机械抛光,化学机械抛光也将增加成本并限制平面化材料的选择。
为了更好地理解并认识本文中所述的实施例,参考图1,图1是根据实施例的平面层形成工艺101的流程图。将同时参考图2A、图2B和图2C,图2A、图2B和图2C是在平面层形成工艺101期间器件的横截面示意图。方法开始于:在操作110中,形成图案化的基板201,所述图案化的基板210在图案化的基板201的至少两部分之间具有高度差。在实施例中,图案化的基板201可以具有多于一种的暴露的材料,因此在至少两种暴露的材料之间存在过渡,所述过渡可被称为暴露的材料的类型的“突变”。根据实施例,高度差和多种暴露的材料可存在于相同基板上。在操作120中,将图案化的基板放入基板处理腔室的基板处理区域中。
在操作130中,含氢前体(例如,H2)和烃前体(例如,C2H2)流入基板处理区域中。在基板处理区域中使用平行板形成等离子体(操作140),并且所述等离子体被称为电容耦合的等离子体。根据实施例,可使用电感耦合的等离子体。当非晶碳层开始在图案化的基板201上形成时,含氢前体与烃前体的流率比维持在第一流率比。在施加等离子体功率期间,(多种)前体可不中断地流入基板处理区域中。图2A示出当在操作150中形成非晶碳层210的第一部分之后的图案化的基板201。
在操作160中,含氢前体与烃前体的流率比从第一流率比增加至第二流率比。第二流率比大于第一流率比。在操作170中继续形成非晶碳,进而形成非晶碳层220的第二部分。图2B中示出图案化的基板201、非晶碳层的第一部分210和非晶碳层的第二部分220。在操作180中,将图案化的基板201从基板处理区域移除。
形成非晶碳层的第二部分220的操作(操作170)不仅沉积额外的非晶碳材料,而且还可同时并优先地移除在图案化的基板201上方位于高点处的非晶碳材料。图2C示出当在操作160中H:C流率比未改变时的图案化的基板201。如果在平面层形成工艺101期间含氢前体与烃前体的流率比未改变,则非晶碳层的第二部分230会加重已存在于非晶碳层的第一部分210上的平面度的缺乏。制造良率将不利地受影响,并且本文中所述的方法的优点包括改善集成电路器件的制造良率。
根据实施例,含氢前体可以是H2、NH3、N2H4或N2H2中的一种或多种。在实施例中,含氢前体可由氢组成。根据实施例,含氢前体可包括氢和氮,或可由氢和氮组成。在实施例中,烃前体可以是C2H2、C2H4、C3H6或C3H4中的一种或多种(若干个同分异构体中的任一个)。根据实施例,烃前体包括氢和碳,并且可由氢和碳组成。在实施例中,烃前体可包括在一个与四个之间的碳原子。对前体的这些选择和本文中所述的所有其他工艺参数适用于本文中所述的所有实施例,并且为了简洁起见,不会每一次都重复。
根据实施例,含氢前体与烃前体的第一流率比可在零与三之间、在零与二之间或在零与一之间。在实施例中,第二流率比(使用体积单位,例如,sccm)可大于二、大于三、大于四、大于六、大于八、在二与八之间、在三与七之间或在四与六之间。流率比也能以原子流率比来表达以补偿在烃前体中的变化量的碳原子以及在含氢前体中的可变量的氢原子。根据实施例,第一原子流率比(在操作150期间,H:C)可在零与三之间、在零与二之间或在零与一之间。在实施例中,第二原子流率比(在操作170期间,H:C)可大于二、大于三、大于四、大于六、大于八、在二与八之间、在三与七之间或在四与六之间。为了简洁起见,本文所提供的所有比率都可用于体积比(诸如,sccm)或原子流率比。相对于烃前体过高地提升含氢前体会导致工艺的蚀刻部分压制工艺的沉积部分。
含氢前体与烃前体的比率(或当以原子计数时为H:C)可离散地增加,这将导致图2B所表示的急剧的界面。所述比率也可在膜生长工艺的一部分期间从第一原子流率平滑地增加至第二原子流率比。上文所给出的用于原子流比的数字可应用于平滑地增加的原子流率比方法,并且第一原子流率比可以是起始点,而第二原子流率比可以是结束点。在实施例中,起始点和结束点可在膜形成的起始点和结束点处。在这种情况下,在实施例中,在非晶碳层的第一部分210与非晶碳层的第二部分220之间将不存在急剧的界面。根据实施例,H:C的比率可从低于二的第一原子流率比增加至高于二的第二原子流率比。在实施例中,H:C的比率可从低于二的第一原子流率比增加至高于四的第二原子流率比。最后,根据实施例,H:C的比率可从低于二的第一原子流率比增加至高于六的第二原子流率比。先前所述的原子流率比实施例可应用至平滑地和离散地变化的实施例。
现参考图3,图3是根据实施例的平面层形成工艺301的流程图。方法开始于:在操作310中,形成图案化的基板,所述图案化的基板在图案化的基板的至少两部分之间具有高度差。在实施例中,图案化的基板以具有多于一种的暴露的材料,因此如上文那样在至少两种暴露的材料之间存在过渡。在操作320中,图案化的基板放入基板处理腔室的基板处理区域中。在操作330中,含氢前体(例如,H2)和烃前体(例如,C2H2)以大于4:1的H:C原子流比流入基板处理区域中。如上文那样在基板处理区域中形成等离子体(操作340)。在图案化的基板上形成非晶碳层(操作350)。根据实施例,含氢前体与烃前体的流率比(或以原子计数的H:C)可大于2:1、大于3:1、大于4:1、大于6:1或大于8:1。在实施例中,原子流率比(H:C)也可在二与八之间、在三与七之间或在四与六之间。由于在整个平面层形成工艺301期间蚀刻分量会提升,因此非晶碳层的沉积速率在某种程度上可小于在平面层形成工艺101中的沉积速率。在操作360中,将图案化的基板201从基板处理区域移除。在平面层形成工艺301中形成的非晶碳层可再次呈现相比现有技术更平面的顶部界面,并且提供提高的集成电路器件的制造良率的益处。
在形成本文中所述的平面层(例如,操作150-170或操作350)期间,根据实施例,图案化的基板的温度可在100℃与约800℃之间、在200℃与700℃之间或在300℃与550℃之间。在形成期间,在基板处理腔室的基板处理区域中的压力可在100mTorr与100Torr之间、在1Torr与20Torr之间或在2Torr与12Torr之间。等离子体可通过将RF功率施加至腔室的喷头电极和基板支撑电极来产生。在实施例中,RF功率能以大于100kHz、大于1MHz、或大于10MHz的频率来提供。根据实施例,RF功率能以在100kHz与1MHz之间、在200kHz与750kHz之间、在300kHz与400kHz之间、或在13与14MHz之间的频率来提供。RF功率能以混合频率来提供,所述混合频率包括在100kHz与1MHz之间、在300kHz与400kHz之间的第一频率以及在1MHz与60MHz或在13与14MHz之间的第二频率。在实施例中,等离子体功率可在50瓦与1600瓦之间。
任一种或两种前体(含氢前体或烃前体)可伴有惰性气体或与惰性气体结合以改善点燃等离子体的容易性或其他等离子体质量。惰性气体可包括He、Ar和/或N2。在实施例中,烃前体能以在25sccm(标准立方厘米/分钟)与5slm(标准升/分钟)之间、在50sccm与300sccm之间或在100sccm与200sccm之间的速率流动。根据实施例,含氢前体能以在100sccm与8.5slm之间、在200sccm与5slm之间或在500sccm与1.5slm之间的速率流动。氦能以在1slm与10.0slm之间流动,并且N2能以在500sccm与5slm之间的速率流动。先前提供了流率比。
本文中所述的方法的实施例可使用如图4的示例中所示的基板处理系统并使用如图5的示例中所示的基板处理腔室来执行。基板处理腔室可结合至基板处理系统中以用于生产集成电路芯片。图4示出根据所公开的实施例的沉积、烘焙和固化腔室的一个此类基板处理系统1000。一对FOUP(前开式联合晶片盒)1002供应衬底基板(例如,300mm直径晶片),所述基板可由机器人臂1004接收并且在被放入基板处理腔室1008a-f中的一个中之前被放入低压力固持区域1006中。第二机器人臂1010可用于将基板从固持区域1006运输至处理腔室1008a-f,并且往回运输。
基板处理腔室1008a-f可包括用于在基板上沉积、退火、固化和/或蚀刻平面非晶碳膜的一个或多个系统部件。在一个配置中,两对处理腔室(例如,1008c-d和1008e-f)可以用于使用如本文所述的局部等离子体在基板上沉积非晶碳材料,并且第三对处理腔室(例如,1008a-b)可以用于处理已沉积的材料。在另一配置中,相同的两对基板处理腔室(例如,1008c-d和1008e-f)可以被配置以在基板上既沉积又处理材料,而第三对腔室(例如,1008a-b)可以用于材料的UV或电子束固化。在又一配置中,全部三对腔室(例如,1008a-f)可以被配置以在基板上沉积和处理材料。所述工艺中的任一个或更多个可在与根据实施例所示的制造系统分离的腔室上执行。
现在参考图5,示出基板处理腔室1100的竖直横截面图并且包括腔室主体1100a和腔室盖1100b。基板处理腔室1100包含气体供应系统1105,所述气体供应系统可将若干种前体通过腔室盖1100b提供至上部腔室区域1115中。前体在上部腔室区域1115内分散,并且可通过挡板组件1123均匀地引入到基板处理腔室1120中。在基板处理期间,基板处理区域1120容纳已被转移至基板支撑底座1130上的基板1125。支撑底座1130可在处理期间将热提供至基板1125以促进沉积反应。
根据实施例,挡板组件1123的底表面可由导电材料形成以用作用于形成电容性等离子体的电极并且可用于形成脉冲等离子体。在处理期间,基板(例如,半导体晶片)定位在底座1130的平坦的(或者也许是略微弯曲的)表面上。基板支撑底座1130可在下部装载/卸载位置(在图5中描绘)与上部处理位置(由虚线1133执行)之间受控地移动。在虚线与挡板组件1123的底表面之间的分离是在处理期间帮助控制等离子体功率密度的参数。当由机器人托板(未示出)通过在腔室主体1100a的侧面中的插入/移除开口1150将晶片转移进基板处理区域1120中和转移出所述基板处理区域时,升降机构和电机升高和降低支撑底座1130和其晶片升降销1145。电机在处理位置1133与所示的下部晶片装载位置之间升高和降低支撑底座1130。
在进入上部腔室区域1115之前,沉积气体和载气从气体供应系统1105通过组合的或分离的递送管线流动。用于每一种工艺气体的供应管线可以包括:(i)安全断流阀1106,所述安全断流阀106可用于自动或手动地使工艺气体至腔室中的流动断流,以及(ii)质量流控制器(未示出),所述质量流控制器可测量并控制通过供应管线的气体流动。一些气体可在进入上部腔室区域1115之前流过远程等离子体系统(RPS)1110。
在流入上部腔室区域1115中并通过所述上部腔室区域之后,通过穿孔圆形气体分配面板1124中的通孔将沉积气体和载气引入基板处理区域1120中,所述气体分配面板1124形成挡板组件1123的下部。挡板组件1123也可包括穿孔挡板以提高前体至基板处理区域1120中的分配的均匀性。
在基板处理腔室1100中执行的沉积工艺可以是等离子体增强型工艺,并且可由此被称为等离子体增强型化学气相沉积(PECVD)。在等离子体增强型工艺中,RF电源1140可在气体分配面板1124与支撑底座1130之间施加电功率以激发工艺气体混合物,从而在气体分配面板1124与由支撑底座1130支撑的基板1125之间的圆柱形区域内形成等离子体。气体分配面板1124可具有导电表面和/或可具有金属插件。在图5中,气体分配面板1124的金属部分经由电介质插件与基板处理腔室1100的剩余部分电气隔离。此电气隔离使面板1124的电压相对于尤其是支撑底座1130而变化,以便施加等离子体功率。
结合在面板1124与支撑底座1130之间施加脉冲RF功率而使前体流入上部腔室区域1115中并且随后流入基板处理区域1120中在面板1124与基板1125之间产生等离子体。等离子体产生等离子体流出物,所述等离子体流出物进行反应以在支撑在底座1130上的半导体晶片的表面上沉积期望的膜。RF电源1140可以是混合频率RF电源,所述混合频率RF电源典型地以13.56MHz的高RF频率(RFl)和360kHz的低RF频率(RF2)供应功率以增强引入基板处理区域1120中的反应物质的分解。期望的膜随后沉积在支撑在支撑底座1130上的半导体晶片的表面上。支撑底座1130能以电阻式加热以将热能提供至基板1125以辅助反应。
在等离子体增强型沉积工艺期间,等离子体可以加热工艺腔室1100,包括加热围绕用于从基板处理腔室1100排气的排气通路(未示出)的腔室主体1100a的壁。当使等离子体断流时,热流体可循环通过基板处理腔室1100的壁以将腔室维持在升高的温度。将基板处理腔室1100的壁预热至近似在等离子体处理期间的温度可允许膜在其接近稳定状态沉积速率、密度、孔隙度和其他膜性质时开始。通道(未示出)可在基板处理腔室1100的腔室壁内提供以用于热流体的流动。在实施例中,用于加热腔室主体1100a和可能地腔室盖1100b的流体可包括水基乙二醇或油基热传递流体。腔室加热可减少反应产物冷凝,否则反应副产物会往回迁移到处理腔室中并不利地影响工艺中的沉积或后续沉积。由真空泵通过腔室主体1100a中的孔口(未图示)从基板处理腔室1100抽空未在层中沉积的气体混合物的剩余物(包括反应副产物)。
在实施例中,支撑底座1130可由铝、阳极化铝、陶瓷或组合制成。支撑底座1130的晶片支撑盘可使用嵌入的单循环嵌入式加热器元件电阻式地加热,所述单循环嵌入式加热器元件被配置以形成呈平行的同心圆形式的多个匝。加热器元件外部可邻近于支撑盘的周边延伸,而内部可沿具有较小半径的同心圆的路径而延伸。至加热器元件的接线可穿过支撑底座1100的主干。腔室衬里、气体入口歧管面板和各种其他反应器硬件中的一些、任何一个或全部由诸如铝、阳极化铝或陶瓷之类的材料制成。
远程等离子体系统1100可被安装在基板处理腔室1100的腔室盖1100b上。远程等离子体系统1110可被安装在腔室盖1100b上。等离子体可以解离工艺气体(包括含氟气体(诸如,NF3))和载气(诸如,氩)以生成自由氟,所述自由氟用于在预防性维护过程期间清洁在基板处理腔室1100中(诸如,在内部表面上)的膜沉积。
基板处理系统由系统控制器控制。在示例性实施例中,系统控制器包括存储介质和处理器(例如,通用微处理器或专用IC)。处理器可以是存在于单片集成电路上、分离但仍位于单板计算机(SBC)上或位于分离的印刷电路卡上的处理器核,所述分离的印刷电路卡可以位于关于基板处理系统的不同位置处。处理器彼此通信,并且利用标准通信协议与模拟和数字输入/输出板、接口板和步进电机控制器板通信。系统控制器控制CVD机器的全部活动。系统控制器执行系统控制软件,所述软件是存储在计算机可读介质中的计算机程序。计算机程序包括规定特定工艺的时序、气体混合、腔室压力、腔室和基板温度、RF功率水平、支撑底座位置和其他参数的指令集。
在先前描述中,出于解释目的,已阐述许多细节来提供对本文中所述的主题的实施例的理解。然而,对本领域的技术人员显而易见的是,某些实施例无需这些细节中的一些就可实践,或利用额外细节来实践。
如本文所使用的“基板”可以是其上形成或不形成层的支撑基板。图案化的基板可以是各种掺杂浓度和轮廓的绝缘体或半导体,并且可例如是用于制造集成电路的类型的半导体基板。图案化的基板的“硅”或“多晶硅”主要是Si,但是也可包括少量浓度的其他元素成分,诸如氮、氧、氢和碳。“硅”或“多晶硅”可由硅组成,或基本上由硅组成。图案化的基板的暴露的“氮化硅”主要是硅和氮,但是也可包括少量浓度的其他元素,诸如氧、氢和碳。“氮化硅”可基本上由硅和氮组成,或由硅和氮组成。图案化的基板的“氧化硅”主要是SiO2,但是也可包括少量浓度的其他元素成分,诸如氮、氢和碳。在实施例中,氧化硅膜基本上由硅和氧组成,或由硅和氧组成。应当理解,类似定义用于其他元素或化合物,包括金属,诸如钨。
在实施例中,非晶碳层可包括约79%的碳、20%的氢和1%的氮,或可含有75-83%的碳、18-22%的氢和0.3-2%的氮。根据实施例,非晶碳层可包括约75%的碳、18%的氢和7%的氮,或可含有71-79%的碳、16-20%的氢和2-12%的氮。
术语“前体(precursor)”用于指参与反应以从表面移除材料或将材料沉积至基板上的任何工艺气体。短语“惰性气体(inert gas)”是指当蚀刻或结合于膜中时不形成化学键的任何气体。示例性的惰性气体包括稀有气体,但是也可包括其他气体,只要当(典型地)膜中留下痕量时没有化学键形成。
已公开了若干实施例,本领域的技术人员将意识到,可以使用各种修改、替代结构和等效形式而不背离所公开实施例的精神。另外,未描述许多熟知的工艺和元件以避免不必要地混淆本文中所述的实施例。因此,上文描述不应视为对权利要求书的范围的限制。
在提供数值范围的地方,应当理解,除非上下文中另外明确地规定,否则该范围的上限和下限之间的每一个中间值(到下限单位的十分之一)也被特定地公开。在表述范围内的任一规定值或中间值与该规定范围内的任何其他表述值或中间值之间的每个更小范围也被涵盖在内。这些更小范围的上限和下限可独立地包括在范围内或排除在范围外,并且每个范围(其中在更小范围内包括任一限值、不包括限值或包括两个限值)也涵盖在所述实施例内,其受限于在表述范围内特定排除任何限值。当表述范围包括限值中的一个或两个时,也包括了排除那些所包括的限值中的任意一个或两个的范围。
如本文中并且在所附权利要求中使用,除非本文另外明确地指明,否则单数形式“一”(“a”、“an”)、和“所述”(“the”)包括复数指称。因此,例如,提及“一工艺”时,包括多个此类工艺,并且提及“所述电介质材料”时,包括指称本领域的技术人员所已知的一种或多种电介质材料和其等效物等。
另外,当词语“包括”、“包含”、“含有”、“具有”和“带有”用于本说明书和所附权利要求中时,旨在指定所述特征、整数、部件或步骤的存在,但是它们不排除存在或添加一个或多个其他特征、整数、部件、步骤、动作或组。

Claims (15)

1.一种在图案化的基板上形成碳层的方法,所述方法包括:
将所述图案化的基板放入基板处理腔室的基板处理区域中;
使含氢前体流入所述基板处理区域中;
使烃前体流入所述基板处理区域中;
将等离子体功率施加至所述基板处理区域;
在所述基板处理区域中由所述含氢前驱物与所述烃前驱物的组合形成等离子体,其中所述含氢前驱物包括氢;
将第一原子流率比(H:C)维持在零与二之间;
形成碳层的具有第一顶部界面的第一部分;
使原子流率比从所述第一原子流率比增加至大于二的第二原子流率比(H:C);
维持所述第二原子流率比;以及
形成所述碳层的具有第二顶部界面的第二部分。
2.如权利要求1所述的在图案化的基板上形成平面层的方法,其中所述第二原子流率比(H:C)大于三。
3.如权利要求1所述的在图案化的基板上形成平面层的方法,其中所述第二顶部界面比所述第一顶部界面更平面。
4.如权利要求1所述的在图案化的基板上形成平面层的方法,其中形成所述等离子体包括:电容性地施加RF功率。
5.如权利要求1所述的在图案化的基板上形成平面层的方法,其中所述含氢前体进一步包括氮。
6.如权利要求1所述的在图案化的基板上形成平面层的方法,其中形成所述等离子体包括:以大于100kHz的频率施加RF功率。
7.一种在图案化的基板上形成平面碳层的方法,所述方法包括:
将所述图案化的基板放入基板处理腔室的基板处理区域中;
使含氢前体流入所述基板处理区域中;
使烃前体流入所述基板处理区域中,其中所述烃前体包括碳和氢;
在所述基板处理区域中形成所述含氢前体与所述烃前体的前体组合;
在所述基板处理区域中将等离子体功率施加至所述前体组合;
形成具有第一顶部界面的第一碳层;
使原子流率比从低于二的第一原子流率比平滑地增加至高于三的第二原子流率比(H:C);以及
形成具有第二顶部界面的第二碳层,
其中所述平面碳层包括所述第一碳层和所述第二碳层。
8.如权利要求7所述的在图案化的基板上形成平面碳层的方法,其中使所述含氢前体和所述烃前体以在三与七之间的原子流率比(H:C)流入所述基板处理区域中。
9.如权利要求7所述的在图案化的基板上形成平面碳层的方法,其中所述含氢前体包括由H2、NH3、N2H4和N2H2组成的组中的至少一者。
10.如权利要求7所述的在图案化的基板上形成平面碳层的方法,其中所述烃前体由碳和氢组成。
11.一种在图案化的基板上形成平面碳层的方法,所述方法包括:
将所述图案化的基板放入基板处理腔室的基板处理区域中;
使含氢前体流入所述基板处理区域中并且将所述含氢前体与烃前体组合;
通过将等离子体功率施加至所述基板处理区域,由所述含氢前体与所述烃前体的组合形成等离子体;以及
形成所述平面碳层,其中所述平面碳层包括碳和氢。
12.如权利要求11所述的在图案化的基板上形成平面碳层的方法,其中使所述含氢前体和所述烃前体以大于三的原子流率比(H:C)流入所述基板处理区域中。
13.如权利要求11所述的在图案化的基板上形成平面碳层的方法,其中所述平面碳层是非晶的。
14.如权利要求11所述的在图案化的基板上形成平面碳层的方法,其中所述平面碳层由氢和碳组成。
15.如权利要求11所述的在图案化的基板上形成平面碳层的方法,其中形成所述平面碳层进一步包括:在形成所述平面碳层期间,比蚀刻低点更快地同时蚀刻高点。
CN201680017309.9A 2015-03-23 2016-03-23 缺陷平面化 Active CN107408494B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562137062P 2015-03-23 2015-03-23
US62/137,062 2015-03-23
US15/077,545 2016-03-22
US15/077,545 US9646818B2 (en) 2015-03-23 2016-03-22 Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
PCT/US2016/023772 WO2016154305A1 (en) 2015-03-23 2016-03-23 Defect planarization

Publications (2)

Publication Number Publication Date
CN107408494A true CN107408494A (zh) 2017-11-28
CN107408494B CN107408494B (zh) 2021-09-14

Family

ID=56974318

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680017309.9A Active CN107408494B (zh) 2015-03-23 2016-03-23 缺陷平面化

Country Status (4)

Country Link
US (1) US9646818B2 (zh)
KR (1) KR102599830B1 (zh)
CN (1) CN107408494B (zh)
WO (1) WO2016154305A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11469097B2 (en) 2018-04-09 2022-10-11 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
US20220293416A1 (en) * 2021-03-12 2022-09-15 Applied Materials, Inc. Systems and methods for improved carbon adhesion

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1930320A (zh) * 2004-03-05 2007-03-14 应用材料公司 用于无定型碳膜的化学气相沉积的液体前驱体
CN102187432A (zh) * 2008-10-14 2011-09-14 应用材料股份有限公司 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法
US20110287633A1 (en) * 2010-05-20 2011-11-24 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
CN103210480A (zh) * 2010-10-05 2013-07-17 应用材料公司 超高选择性的掺杂非晶碳可剥除硬掩模的开发与集成

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4877641A (en) 1988-05-31 1989-10-31 Olin Corporation Process for plasma depositing silicon nitride and silicon dioxide films onto a substrate
US5567661A (en) 1993-08-26 1996-10-22 Fujitsu Limited Formation of planarized insulating film by plasma-enhanced CVD of organic silicon compound
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6900002B1 (en) 2002-11-19 2005-05-31 Advanced Micro Devices, Inc. Antireflective bi-layer hardmask including a densified amorphous carbon layer
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7079740B2 (en) 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US20050199585A1 (en) 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US20050233092A1 (en) 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US20060019502A1 (en) 2004-07-23 2006-01-26 Park Beom S Method of controlling the film properties of a CVD-deposited silicon nitride film
US20060005771A1 (en) 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20090255759A1 (en) 2008-04-10 2009-10-15 Barnes Gregory K Ladder stabilizing and standoff system
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
JP2007224383A (ja) 2006-02-24 2007-09-06 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
US7501355B2 (en) 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US7790635B2 (en) 2006-12-14 2010-09-07 Applied Materials, Inc. Method to increase the compressive stress of PECVD dielectric films
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
KR20080102928A (ko) 2007-08-14 2008-11-26 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
TWI489547B (zh) 2007-09-18 2015-06-21 Air Liquide 形成含矽膜的方法
US8435608B1 (en) * 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) * 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US7972980B2 (en) * 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7842622B1 (en) 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
KR20120121340A (ko) 2011-04-26 2012-11-05 삼성전자주식회사 유도결합 플라즈마를 이용한 탄소계 하드 마스크막 제조 방법 및 이를 이용한 패턴 형성 방법
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
KR101330516B1 (ko) * 2012-04-24 2013-11-18 주식회사 테스 비정질 탄소막의 형성방법
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
SG195494A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9589799B2 (en) * 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
KR102311036B1 (ko) 2014-01-08 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
US9928994B2 (en) * 2015-02-03 2018-03-27 Lam Research Corporation Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1930320A (zh) * 2004-03-05 2007-03-14 应用材料公司 用于无定型碳膜的化学气相沉积的液体前驱体
CN102187432A (zh) * 2008-10-14 2011-09-14 应用材料股份有限公司 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法
US20110287633A1 (en) * 2010-05-20 2011-11-24 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
CN103210480A (zh) * 2010-10-05 2013-07-17 应用材料公司 超高选择性的掺杂非晶碳可剥除硬掩模的开发与集成

Also Published As

Publication number Publication date
US20160284538A1 (en) 2016-09-29
WO2016154305A1 (en) 2016-09-29
KR20170129234A (ko) 2017-11-24
CN107408494B (zh) 2021-09-14
US9646818B2 (en) 2017-05-09
KR102599830B1 (ko) 2023-11-09

Similar Documents

Publication Publication Date Title
CN111247269B (zh) 介电膜的几何选择性沉积
CN104517892B (zh) 使用复合peald 和pecvd 方法的可变深宽比特征的间隙填充
CN107680903B (zh) 用于半导体图案化应用的掺杂ald膜
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
CN105762073B (zh) 用于各向异性钨蚀刻的方法和装置
US9406523B2 (en) Highly selective doped oxide removal method
JP6752555B2 (ja) 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置
JP6218836B2 (ja) ラジカル構成要素の酸化物エッチング
KR102207992B1 (ko) 서브-포화된 원자층 증착 및 등각막 증착
CN107408493B (zh) 脉冲氮化物封装
CN106024596A (zh) 减少无定形碳硬掩模膜的碳-氢含量的系统及方法
TW201629253A (zh) 含矽膜之原子層沉積中的選擇性抑制
TW201413044A (zh) 高氣壓、高電力電漿活化保形膜沉積
JP2015144268A (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
US20220238325A1 (en) In-situ control of film properties during atomic layer deposition
JP2021512504A (ja) マルチプルパターンニング処理での原子層堆積を使用するスペーサプロファイル制御
CN111886689A (zh) 无倒角通孔集成方案
CN114127890A (zh) 调整的原子层沉积
CN107408494A (zh) 缺陷平面化
CN108878258A (zh) 用于在沟槽的侧壁或平坦表面上选择性地形成氮化硅膜的方法
US20230416909A1 (en) Method for formation of conformal ald sio2 films
KR20220030249A (ko) 선택적 탄소 증착

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant