CN1098554A - 半导体、半导体器件及其制造方法 - Google Patents

半导体、半导体器件及其制造方法 Download PDF

Info

Publication number
CN1098554A
CN1098554A CN94103241A CN94103241A CN1098554A CN 1098554 A CN1098554 A CN 1098554A CN 94103241 A CN94103241 A CN 94103241A CN 94103241 A CN94103241 A CN 94103241A CN 1098554 A CN1098554 A CN 1098554A
Authority
CN
China
Prior art keywords
nickel
silicon fiml
annealing
film
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN94103241A
Other languages
English (en)
Other versions
CN1052110C (zh
Inventor
山崎舜平
竹村保彦
张宏勇
高山彻
鱼地秀贵
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP5048531A external-priority patent/JPH06244103A/ja
Priority claimed from JP5048535A external-priority patent/JP3041497B2/ja
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN1098554A publication Critical patent/CN1098554A/zh
Application granted granted Critical
Publication of CN1052110C publication Critical patent/CN1052110C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02672Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using crystallisation enhancing elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • H01L27/1274Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor
    • H01L27/1277Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor using a crystallisation promoting species, e.g. local introduction of Ni catalyst
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Abstract

制造半导体装置例如薄膜晶体管的方法,它是在 即低于非晶态硅的常规结晶温度,也低于衬底的玻璃 转变点温度的一个温度中,对一个实质上非晶态硅膜 进行退火以便使该硅膜结晶。镍、铁、钴、铂、硅化物、 醋酸盐或硝酸盐的岛状,条状,线状或点状物,包含有 各种盐的膜,包含了镍、铁、钴、和钯中至少一种的粒 子或束状物可被用作为用于结晶的起动材料。在非 晶态硅膜的上面或下面形成这些材料。

Description

本发明涉及一种获得用于薄膜器件例如薄膜绝缘栅型场效应晶体管(薄膜晶体管,或TFTs)中的晶态半导体的方法。
通常,在薄膜器件如薄膜绝缘栅型场效应晶体管(TFTs)中使用中的晶态硅半导体薄膜是在一个绝缘表面如由等离子体CVD法或热CVD法制成的绝缘衬底上形成一个非晶态硅膜,并然后将该膜放在一个电炉或类似装置中在超过600℃的温度下经过两小时的长周期或更长的时间使其晶体化而被制造出来的。为了获得特别好的性能(高场效应迁移率及高可靠性)需要进行甚至更长时间的热处理。
但是,出现了与这种传统的方法相关的一些问题。一个问题是生产率低并因此成本高,例如,如果该结晶过程需要24小时,及如果每个衬底的处理时间为2分钟,在相同的时间内必须处理720个衬底,然后,例如在通常使用的管形炉中能被一次处理的最多衬底数目为50,及当仅使用一个装置(反应管)时,每个衬底所用的时间为30分钟,换句话说,为了使每个衬底的处理时间变成2分钟,则必须使用多达15个反应管。这意味着所需资本投资的规模大并且投资的折旧消耗值大及产品的成本高。
另一个问题是热处理的温度。通常使用在TFTs制造中的衬底通常分为由纯氧化硅如石英玻璃组成的类型,和无碱硼酸盐玻璃类型、如Corning公司的7059号产品(以下称为Corning  7059)。在这两组中,在前者的情况下,由于它们具有极佳的耐热性能故能以普通半导体集成电路块工艺中处理衬底相同的方式处理,对于加热是没有问题的。但是它们价格高,其成本随表面积增加指数地迅速增加。因此在目前它们仅被使用在相对小面积的TFT集成电路中。
另一方面,无碱玻璃与石英相比较能满足低成体;但是它的耐热性是一个问题,并且因为它的变形点一般约为550°~650℃,而在特别容易获得的材料情况下其变形点低于600℃,使用在600℃时的热处理引起了不可逆的收缩及卷曲问题。这些问题对于对角线超过10英吋的大衬底尤为显著。因为这样的原因,将与硅半导体膜的结晶相关地加予考虑,即低于550℃及小于4小时的热处理条件对于降低成本是不可缺需的。本发明的目的是提供一种制造半导体的方法,该方法脱离以上这些条件,及一种其中使用这种半导体的半导体器件的制造方法。
本发明的特征在于:利用形成一个膜,即在可描述为非晶态状态或实质上为非晶态状态(例如其中具有良好结晶度的部分与非晶态部分共同存在的状态)的一种无规则状态中的硅膜上或其下面形成含有镍,铁,钴,铂或钯的岛状膜,点,线,颗粒,束状物或类似物,并将它在低于常规非晶态硅结晶温度下,并最好在低于该常规温度20至150℃的温度下,或在低于衬底的玻璃转变点的温度、如低于580℃的温度下进行退火。
就传统的硅膜结晶来说,在其中制作岛状晶态膜并将它用作晶核及形成固态处延生长的晶种的方法已经被提出了(例如日本公开专利公报H1-214110)。但是利用这种方法,在温度低于600℃时几乎不发生晶体生长过程。将硅衬底从非晶态状态变为结晶状态通常包括这样的过程,在其中将非晶态状态中的分子链切断,并使这些切断的分子不再与另外的分子相结合,将这些分子导入到具有某些晶体特性的分子中并重构成晶体的组成部分,这样地形成衬底的状态。但是,在这个过程中需要用大量的能量来切断初始的分子链并要保持其中这些切断的分子不与其它分子结合的状态,这是结晶反应中的一个障碍。为了提供这个能量,必须在约1000℃的温度下几分钟或是在约600℃的温度下几十个小时,并因为所需的时间相对温度(=能量)呈指数地变化,在低于600℃时,例如在550℃,几乎不可能观察到任何结晶反应的进展。因而该传统固态外延结晶的思想不能对此问题提供回答。
本发明人想到可借助于某种晶体的作用来减少上述过程的热垒能量,但这是与传统的固相结晶思想完全分离的思想。本发明人注意到镍、铁、钴、铂及钯容易与硅相结合,例如在镍的情况下则形成硅化镍(化学式为NiSix,0.4≤X≤2.5),并且硅化镍的晶格常数接近于硅晶体的晶格常数。因而,利用类化晶态-硅化镍-非晶态硅三个系统的能量等,将发现非晶态硅易于在交界面上与硅化镍起反应,并且产生以下的反应(1):
非晶态硅(硅A)+硅化镍(硅B)--→
硅化镍(硅A)+晶态硅(硅B)
(A与B指示硅的位置)
这个反应的势垒低得足以使人满意并且反应的温度也低。
该反应式表示镍在反应进行过程中将非晶态硅重组成晶态硅。在实践中发现其反应是在低于580℃时开始并甚至在450℃能被观察到。典型地,它表明能在比常规非晶态硅结晶温度低20至150℃的温度下进行结晶。当然温度愈高,该反应进行得愈快。
在本发明中,镍、铁、钴、铂或钯、或镍、铁、钴、铂及钯的硅化物、醋酸盐、或硝酸盐的岛状、条状、线状或点状物,或包含了镍、铁、钴、铂及钯中至少一种的膜、粒子或束状物可用作起动材料。随上述反应的进行,镍、铁、钴、铂及钯围着起动材料扩张,因而扩大了晶态硅的区域。含有镍、铁、钴、铂或钯的氧化物不是所需的材料,因为氧化物是稳定的成分,并因为它们不能起动上述的反应。
以此方式,从一定的区域扩张的晶态硅不同于传统的固相外延并具有高度连续性的结晶度。其结构接近于一种单晶结构。这对于使用半导体器件如TFTs是有利的。这些器件中含镍、铁、钴、铂或钯的材料均匀地扩散在一衬底上,即存在着无数个结晶起动点。因此很难得到一个高结晶度的优质膜。
在非晶态硅膜中用作该结晶中脱离材料的氢的浓度愈低,则可能获得的结果(结晶速度)愈好。但是,因为氢随着结晶的进行而被排出,在所获得的硅膜中的氢浓度与作脱离材料的非晶态硅膜的氢浓度之间没有明显的相关性。根据本发明在所获得的晶态硅中氢浓度典型为从1×1015原子·cm-3到5原子%。此外,为了获得良好的结晶度,在非晶态硅膜中的碳,氮及氧的浓度应尽可能低,最好应低于1×1019cm-3。因而,在选择用于实施本发明的含镍、铁、钴、铂或钯的材料时应该考虑到这一点。
本发明的一个特征是晶体生长循环地进行。这是因为上述反应镍各向同性地进行,这与沿晶格表面线性地生长的传统结晶是不同的。
尤其是,通过选定含镍、铁、钴、铂或钯的材料,可以控制晶体生长的方向。因为这不同于传统的固相外延生长法产生的晶态硅,利用该种技术获得的晶态硅具有一种结构,该结构中在长距离上结晶度的连续性是优良的并且它接近于单晶体,它特别适用于譬如TFTs的半导体器件中。
本发明中使用了镍、铁、钴、铂或钯。这些材料对于用作半导体材料的硅不是所期望的。如果这样一种材料在硅膜中含量过高,则必须除去该材料。对于镍来说,当硅化镍的生长晶体达到其最终点,也即完成了结晶,作为上述反应的结晶,该硅化镍易溶于氢氟酸或盐酸中。在衬底中含的镍可以用这些酸对镍的处理来减少。
在催化元素如镍、铁、钴、铂或钯利用对于结晶的退火几乎均匀地被扩散到整个硅膜上的情况中,其过程中必须去除镍。为了施行镍的去除,已证实在400至550℃及在含以氯或氯化物形式的氯原子气氛中的退火是有效的。退火时间为0.1至6小时是合适的。退火的时间愈长,在硅膜中镍的浓度就愈低,但是退火时间要根据制造成本和产品所需特性之间的平衡来决定。氯化物的例子包括氯化氢,各种氯代甲烷(CH3Cl,CH2Cl2,CHCl3),各种氯代乙烷(C2H5Cl,C2H4Cl2,C2H3Cl3,C2H2Cl4,C2HCl5),及各种氯代乙烯(C2H3Cl,C2H2Cl2,C2HCl3)。尤其是能被最方便使用的材料是三氯乙烯(C2HCl3)。我们利用SIMS发现根据本发明的硅膜(例如用于如TFT半导体器件的一种硅膜)中的镍、铁、钴、铂或钯的优选浓度为1×1015cm-3至1原子%,最好为1×1015至1×1019cm-3。在浓度较低时,结晶不能足够地进行。在浓度较高时,特性及可靠度下降。
含镍、铁、钴、铂或钯的膜状体可利用各种物理及化学方法形成。例如需要真空装置的如真空蒸发沉积,溅射及CVD方法,和大气压方法,例如离心喷涂及浸渍等(涂层法),刮片法,丝网印刷及喷射热分解。
尤其是,因无需大设备,离心喷涂及浸渍是能提供优良膜厚均匀性及可精细调节浓度的技术。作为用于这些技术中的溶液,镍、铁、钴、铂及钯的醋酸盐及硝酸盐,或各种类型的溶解或扩散在水或某种醇(低含量或高含量)中的羧酸氯化物或其它有机酸氯化物,或石油(饱和的碳氢化合物或不饱和的碳氢化合物),等等,可供使用。
然而这种情况会涉及到,即这些盐中所含的氧和氢会扩散到硅膜中及引起其半导体性能下降。但是通过使用热平衡及微分热分析进行的试验,确认适合的材料在低于450℃的温度时分解成氧化物或单一的物质,而后几乎没有扩散入硅膜。尤其是,当较低有序的物质如醋酸盐及硝酸盐在一还原气氛例如氮气中被加热时,它们会在低于400℃时被分解并变为单金属。类似地,当它们在氧气氛中被加热时观察到首先形成氧化物,然后在较高温度时氧化物分解并留下单金属。
根据本发明制作一种晶态硅膜,并且该膜被用于半导体器件的TFT中。由以上的说明可以看到,大的晶界存在于生长晶体的端部,在这里起始于多个点的生长材料的前端相会合。同样,镍、铁、钴、铂或钯的浓度高。为此,用它作半导体器件是不理想的。尤其是TFT的沟道不能被设置在具有这种大晶界的区域中。
结晶起始的区域,也即在其中提供含镍、铁、钴、铂或钯的物质的区域具有镍、铁、钴、铂或钯的大浓度。为此,注意力应放在半导体器件的构成上。此外,与不含镍、铁、钴、铂或钯的硅膜相比较,这个区域容易被含氢氟酸组的溶液蚀刻。为此,这个区域成为形成不良接触的原因。因而在使用本发明制造半导体器件的场合,形成结晶起动点的含镍、铁、钴、铂或钯的涂层图形及半导体器件的图形必须被优化。
此外,本发明提供了一种方法,它的特征在于它包括:在一个非晶态硅膜或具有被视为非晶态的无序晶态膜(例如在一混合状态中包括晶态部分及非晶态部分)上形成一个包含镍、铁、钴、铂或钯(以下称为催化材料)中至少一种材料的膜、颗粒或束;使该催化材料首先与非晶态硅起反应,再除去非起反应的剩余催化材料;及将生成的结构在低于非晶态硅的常规结晶温度20至150℃或在不高于传统用作衬底的玻璃材料的玻璃转变温度中,例如580℃或更低温度中,进行退火。
甚至在从晶态硅中除去镍、铁、钴、铂或钯原子以后,仍可使用由反应(1)形成的剩余晶态硅作为晶核起动结晶,如上所述,因此由该反应构成的硅晶体具有优良的结晶度。于是,证实了非晶态硅的结晶能通过使用这些催化剂作为晶核进行加速。这表明了,典型地,结晶可在低于常规非晶态硅结晶温度20至150℃的温度下被完成。此外,证实了晶体生长所需时间被缩短了。当然,结晶过程随着温度增加更加地迅速。在使用铁、钴、铂或钯时,被证实发生与使用镍时类似的反应,尽管活性较小。
图1(A)至1(D)表示根据本发明一个实施例(例1)的方法获得的概要描绘步骤顺序的横截面结构图;
图2(A)至2(E)表示根据本发明另一实施例(例2)另一方法获得的概要描绘步骤顺序的横截面结构图;
图3表示例1中获得的晶态硅膜的Raman散射光谱结果;
图4表示在一个例中获得的晶态硅膜的X射线绕射图形;
图5表示硅(例2)的结晶速率;
图6(A)至6(E)表示根据本发明又一实施例(例3)的制造半导体的方法获得的概要描绘步骤顺序的横截面结构图;
图7(A)至7(C)表示使用溶液导入催化元素(例4)的步骤;
图8(A)至8(C)是说明根据本发明制造TFTs的结晶步骤及它们布置的顶视图;
图9(A-1),9(A-2),9(B),9(C)是说明根据本发明使膜选择地结晶的步骤的TFTs横截面图;
图10(A)至10(C)是说明本发明例5步骤的TFTs的横截面图;
图11(A)至11(C)是说明本发明例5步骤的另外TFTs的横截面图;
图12(A)至12(C)是说明本发明例6步骤的TFTs的横截面图;
图13(A)至13(C)是说明本发明例7步骤的TFTs的横截面图;
图14(A)至14(D)是说明本发明例8步骤的TFTs的横截面图;
图15(A)至15(D)是说明本发明例9步骤的TFTs的横截面图;
图16是表示在本发明例9的晶态硅膜中的镍浓度的曲线图;
图17(A)至17(C)是根据本发明例10经历制造过程的衬底的横截面图;
图18(A)至18(B)是根据本发明例11经历制造过程的衬底的横截面图;
图19(A)至19(E)是根据本发明例12经历制造过程的衬底的横截面图;
图20(A)至20(E)是根据本发明例13经历制造过程的衬底的横截面图;
图21(A)至21(D)是根据本发明例14经历制造过程的衬底的横截面图;
图22(A)至22(D)是根据本发明例15经历制造过程的衬底的横截面图;
图23(A)至23(C)是根据本发明例16经历制造过程的衬底的横截面图;
图24(A)至24(C)是根据本发明例17经历制造过程的衬底的横截面图;
图25是表示一晶态硅膜中镍浓度的曲线图;
图26(A)至26(F)是根据本发明例18经历制造过程的衬底的横截面图。
本发明将参照以下非限制性的例子更详细地说明,但是不能认为本发明被限制在它们的内容上。
例1
参照图1,以下将描述利用在一个Corning#7059衬底上形成一镍膜并且使用该镍膜使一个非晶态硅膜结晶而制造晶态硅膜的方法。利用等离子体CVD法,将作为基膜的厚度为2000
Figure 941032418_IMG2
的氧化硅膜12沉积在衬底11上,然后在其上再沉积一个厚度从500至3000 ,例如厚度为1500
Figure 941032418_IMG4
的一个非晶态硅膜13。利用将该膜保持在430℃的温度中从0.1至2小时,例如0.5小时,的一段时间从该膜中除去氢后,利用以厚度从100至1000
Figure 941032418_IMG5
,例如为500
Figure 941032418_IMG6
的溅射在其上沉积一镍膜14。利用将衬底置于从100到500℃的温度范围,优选为从180到250℃的温度范围内加热可以获得合适的镍膜,因为所获得的镍膜相对作为基膜的硅膜具有改进的粘着强度。可使用硅化镍膜来取代镍膜。
然后将生成的结构在从450到580℃的温度范围中加热从1至10分钟的时间以使得镍膜14与非晶态硅膜13起反应,由此获得一个薄晶态硅膜15,该晶态硅膜的厚度取决于反应的温度及时间,当反应在550℃进行10分钟的时间时能够获得厚度约为300
Figure 941032418_IMG7
的膜。所产生的结构表示在图1(B)上。
通过该反应由镍膜获得的镍膜及硅化镍膜再利用浓度从5%到30%的盐酸进行蚀刻。通过该处理,在非晶态硅及镍(硅化镍)之间反应所形成的晶态硅上未产生任何影响。于是获得到图1(C)上的结构。
将生成的结构置于一个退火炉中的氮气氛中退火,该炉的温度被保持在从450到580℃的范围中,例如为550℃的温度中,8个小时的一段时间。在该步骤中,利用将非晶态硅膜结晶获得一晶态硅膜16。所生成的晶态硅膜的Raman散射光谱图及X射线绕射图分别表示在图3及图4中。在图3中由C-Si表示的曲线对应于标准例也即单晶硅的Raman光谱。由(a)及(b)所示的曲线各表示根据本发明获得的硅膜的Raman光谱,及利用上述条件退火传统非晶态硅获得的膜的光谱。从该结果中可以清楚地看到,利用根据本发明的方法可提供合适的硅晶体。
例2
参照图2,以下描述用于制造一晶态硅膜的方法。将2000
Figure 941032418_IMG8
厚的氧化硅膜22作为基膜沉积在Corning#7059玻璃衬底21上,然后,一厚度为从500到3000
Figure 941032418_IMG9
,例如500 和1500
Figure 941032418_IMG11
的非晶态硅膜23被沉积在其上面,在利用将该膜在430℃的温度中保持从0.1到2小时、例如0.5小时从该膜中除去氢后,将一厚度为从100到1000
Figure 941032418_IMG12
、例如500
Figure 941032418_IMG13
的镍膜用溅射沉积在其上面,也可使用硅化镍膜来代替该镍膜。这样获得的镍膜被蚀刻成图形24a,24b及24c,如图2(A)所示。
然后,该结构在从450°到580℃的温度范围中被加热1至10分钟的时间,以使镍膜24a至24c与非晶态膜23进行反应以形成薄晶态硅区域25a,25b及25c,如图2(B)所示。
由镍膜通过反应而这样获得的镍膜及硅化镍膜使用5%到30%浓度的盐酸进行浸蚀。被证明在非晶态硅及镍(硅化镍)之间的反应所形成的晶态硅区域25a至25c不受该处理的影响。于是获得如图2(C)的结构。
该生成的结构被在氮气氛中置于一退火炉中退火,该炉的温度保持在450到580℃的温度范围中,例如为550℃,退火时间为4小时。图2(D)给出了该退火过程中的一个中间状态,其中可以从预先形成的晶态硅区域25a到25b上观察到结晶的进行,以此方式,可以观察到晶态硅区域26a,26b,及26c延伸进入到非晶态硅区域23内。
通过整个非晶态硅膜的结晶最后获得了一晶态硅膜27。与例1的情况形成对照,在例1中晶体的生长是从表面到衬底面垂直地进行的,而在本例中晶体是从构型镍横向生长的。例如,如图2(D)所示的晶态硅区域26a至26c的结晶结构类似于一单晶硅的结构。因而该结构可适用于作半导体器件如TFTs,因为在这些晶态硅区域中沿横向方向的势垒的形成是相当少的。但是,在例如晶态硅区域26a及26b彼此碰撞的部分上晶体被严重地破坏,因而不适于被应用。
图5表示结晶速率与结晶温度之间的关系。它证实了随着硅膜厚度的增加结晶进展加快。
例3
本例涉及在用加热一旦结晶后利用激光束照射硅膜制造具有改进结晶度硅膜的方法。此外,本例提供利用这种结晶硅膜制造TFT的一种方法。
图6表示在本方法中获得的按步骤顺序的结构横截面图。参照图6,将一个2000
Figure 941032418_IMG14
厚度的氧化硅膜602作为基膜沉积在一个Corning#7059玻璃衬底601上,然后再在其上沉积一个厚度从100到1500
Figure 941032418_IMG15
,例如在本例中厚度为800
Figure 941032418_IMG16
的本征(Ⅰ型)非晶态硅膜。利用与例2中所述方法(参见图2(A))类似的方法在其上面选择性地沉积一层镍膜,即用于加速非晶态硅结晶的催化材料。然后将生成的结构在从450到580℃的温度范围中加热1至10分钟的时间,使得镍膜与非晶态硅膜反应,由此获得一种薄晶态硅膜。所生成的结构表示在图2(B)中。
由镍膜通过该反应获得的镍膜及硅化镍膜结构利用浓度为5%至30%的盐酸进行浸蚀。被证实在非晶态硅及镍(硅化镍)之间的反应所形成的晶态硅未受到该处理的影响。因此获得了如图2(C)的一种结构。
进一步在550℃、在氮气氛及大气压下退火12小时,得到了覆盖在该结构整个表面上的一个晶态硅膜603。
然后操作一种KrF激态基态复合物激光机将波长为248nm脉冲宽度为20nsec的激光束照射在生成的晶态硅膜的表面,进一步使其上的结晶加速。激光束以输出能量密度为200至400mJ/cm2,例如250mJ/cm2,照射2次。在激光束照射期间利用加热使衬底维持温度为300℃用以充分增强激光束照射的效果。通常,衬底最好加热在从200到450℃的温度范围中。本步骤表示在图6(A)中。
除上述KrF激态基态复合物激光外可使用的激光包括由操作在308nm波长的XeCl激态基态复合物激光机及由操作在193nm波长的ArF激态基态复合物激光机发射的激光。此外可用强光照射来代替激光。尤其是RTA(快速热退火)的应用,它包括一种红外光,该应用是很有效的,因为它能在很短的时间间隔中选择地加热硅膜。
利用上述方法中任一种,于是可以获得具有有利结晶度的一个硅膜。作为热退火结晶获得的结晶硅膜603被证实变成具有进一步改进结晶度的一种硅膜。此外,利用电子显微镜的观察揭示了定向微晶的较大晶粒构成了激光照射的膜。
在结晶完成时这样获得的硅膜被制成边长为10至1000μm的方形,以获得作为TFT的激活层的岛状硅膜603′,如图6(B)中所示。
构成一个作为栅极绝缘膜的氧化硅膜604。这里,硅膜被暴露在温度范围为从500至750℃,最好温度范围是从550到650℃的氧化气氛中以构成氧化硅膜604,它的作用是在硅区域表面作为栅极绝缘膜。在这个热处理步骤中,利用在该气氛中结合水蒸气,一氧化二氮,及类似物可使氧化反应更加增强。当然也可使用任何公知的气相晶体生长的方法例如等离子体CVD法及溅射法来形成氧化硅膜。这个步骤表示在图6(C)上。
接着利用降低压力的CVD积沉包括0.01%至0.2%磷的多晶硅膜,它达到的厚度为3000至8000
Figure 941032418_IMG17
,特定为6000
Figure 941032418_IMG18
。其后利用使硅膜成形构成一个栅接接触部分605。然后,一种使激活层区域(构成沟道的源/漏)成为N型导电的杂质(在本例中为磷)利用离子掺杂(等离子体掺杂)以使用上面硅膜作掩膜的自对准方式被加入。在本例中,使用磷化氢(PH3)作为掺杂气体以便导入剂量为1×1015至8×1015cm-2,尤其是例如为5×1015cm-2的磷,并且在60至90KV的加速电压下进行掺杂。这样便获得了用于源/漏区域的N型导电杂质区域606及607。
然后用激光照射来退火。虽然操作于波长为248nm及脉宽为20nsec的KrF激发物激光被本例采用,但也可使用其它的激光。该激光用200到400mJ/cm2,例如为250mJ/cm2的能量密度照射2至10次,例如每个位置照射2次。激光退火的效果可利用在照射激光时在温度为200到450℃中加热衬底而进一步加强。该步骤被示于图6(D)中。
此外,该步骤可利用所谓RTA(快速热退火)方法来进行,如使用近红外光的灯退火。因为晶态硅的无定形硅更容易吸收近红外光,故可以起到很好的有效退火的效果,其效果可以与在不低于1000℃的温度中的热退火相比较。更有利的是,近红外光很少被玻璃衬底吸收。事实是远红外光是容易被吸收的,而对近红外范围的一种可见光,也即在波长范围0.5到4μm中的光是很难被玻璃衬底吸收的。因而,可以在较短的时间间隔中完成退火,而不致使衬底加热。可以看到,在玻璃衬底的收缩不宜的步骤中采用该方法是最适用的。
利用等离子体CVD法沉积一厚度为6000
Figure 941032418_IMG19
的氧化硅膜608作为中间绝缘层。聚酰亚胺膜可用于取代氧化硅。在其中打出接触孔,用于形成与金属材料如氮化钛及铝的多层膜作的接线609及610相接触的触点。最后,在350℃及一个大气压下进行退火30分钟,便获得如图6(E)所示的一个完成的TFT结构。
如本例中所述的,利用导入镍作为催化元素用于结晶可以比简化加热的情况更加有利于非晶态硅膜的结晶,而且这样结晶的硅膜可利用照射激光进一步被改善。用此方式可以获得具有特别高结晶度的晶态硅。使用这种生成的好结晶度的晶态硅膜可制造出高性能的TFT。
尤其是,通过与本例相同的处理步骤但不使用例2中所述的结晶步骤所获得的N沟道产生出从50到90cm2/Vs的场效应迁移率及从3到8V的阈值电压。这与根据本例制造的N沟道TFT所获得的从150到200cm2/Vs的迁移率及从0.5到1.5V的阈值电压形成鲜明对照。迁移率显著地得以增加并且阈值电压的波动大大地降低。
以前,这样高水准的上述TFT的特性只能利用激光结晶非晶态硅膜来获得。然而,由现有技术激光结晶获得的硅膜会产生特性上的差异。此外,该结晶过程需要激光以350mJ/cm2或更高的能量在400℃或更高的温度中进行照射,因而这不能用于大批量生产。与传统的工艺相对比,根据本例制造TFT的方法能在比传统方法所需值低的衬底温度中及以比较低的能量密度来实现。因而,根据本发明的方法适于大规模生产。此外,利用本方法得到的器件质量与使用热退火的传统固相生长结晶法所获得器件具有同样的均匀性。因而,可稳定地获得均匀质量的TFTs。
在以上的例1及2中,发现当镍浓度低时结晶未足够地发生。但是,根据本例的方法使用激光照射补偿了结晶的不足。因而,即使当镍浓度低时也能获得令人满意的高质量的TFTs。这表明包含较低浓度的镍的器件能得到补偿,并且仍然能获得具有优良电稳定性及可靠性的这种器件。
例4
本例涉及利用将包含加速非晶态硅膜结晶的催化元素的溶液涂于非晶态硅膜的上表面使催化元素导入非晶态膜的方法。
本发明还提供了利用选择地将催化元素导入非晶态硅膜,然后使晶体从该区域生长到未被引入催化元素的区域来制造包含低浓度催化元素的晶态硅膜的方法。
图7表示根据本发明按步骤顺序制造过程的示意图。将厚度为1000
Figure 941032418_IMG20
的非晶态硅膜705利用等离子CVD法沉积在10×10cm2的Corning#7059玻璃衬底701上,再在其上沉积一层作为掩膜的1200
Figure 941032418_IMG21
厚度的氧化硅膜704。厚度薄到500
Figure 941032418_IMG22
的氧化硅膜704可不成问题地被使用,使用密度更大的膜时该膜的厚度甚至可以作得更薄。
利用普通的光刻成型使生成的氧化硅膜704按需要成型。然后在氧气氛中利用照射紫外光(UV)沉积上一层薄氧化硅膜703。尤其是,该氧化硅膜703是利用照射UV光线5分钟被制成的。该氧化硅膜703被认为具有20至50
Figure 941032418_IMG23
的厚度。于是获得了如图7(A)所示的结构。
以上氧化硅膜的构成是用以改善在后来施加溶液时该构型的吸湿性能。有利的吸湿性能有时是通过氧化硅掩膜的亲水性能来保证的,但这是很稀少的情况,因为仅当该构型的尺寸与溶液相匹配时才会发生。因而较保险的是使用氧化硅膜703来保证良好的吸湿性。
然后,将含有100ppm重量的镍的醋酸盐溶液的5ml份额滴在一个10×10cm2衬底的表面上。以50rpm的速率操作一个旋转器707转10秒钟用以在衬底的整个表面上形成一个均匀的水状膜。在将衬底放置5分钟后再操作该旋转器707以2000rpm的速率再转60秒用以进行甩干。该衬底可在一旋转器上以0至150rpm的速率进行旋转。这个步骤被示于图7(B)中。
所生成的结构在450至580℃的温度范围中被加热1至10分钟以便在非晶态硅膜705的表面形成一个极薄的硅化镍膜。在该步骤中可以证实在非晶态硅膜705及硅化镍膜之间的相界处及其附近710形成结晶硅。利用5%的盐酸浸蚀非晶态硅膜705的表面用以除去硅化镍膜。
接着,该生成的结构经受在550℃及氮气氛中的热处理4小时的时间。以此方式,使得结晶从将镍导入到区域710中的区域709开始沿横向进入到未被导入镍的区域,如箭头708所表明的。当然,结晶也发生在直接被导入镍的区域709中。
在图7(C)中,在直接导入了镍的区域709中发生结晶,并且它横向地进行到区域711上。
利用改变所施加溶液的镍浓度可以控制镍的浓度。在本发明中,溶液中的镍浓度被调整到100ppm。但是,证实了甚至当该浓度降低到10ppm时也会发生结晶。在使用含镍浓度为10ppm的溶液时也以同样方式发生结晶。在此情况中,在图7的区域711中的镍浓度可再低一数量级。然而,使用浓度过低的含镍溶液会缩短晶体沿箭头708所示的横向生长的距离。因此是不希望的。
这样获得的晶态硅膜可被利用在一个TFT的激活层中。尤其是利用区域711构成激活层是有利的,因为该区域包含低浓度的催化元素。
也可利用与上例3相同的方式照射激光或与它等效的高强度光实现晶态硅膜结晶的进一步改善。
在本例中使用了一种醋酸盐作为含催化元素的溶液。但是,另外可使用的溶液包括从很多品种中选择的水溶液及含有有机溶剂的溶液。所包含的催化元素不必要非要成为一种化合物,它可以简单分散在溶液里。
用于催化元素的溶剂可从由极性溶剂组成的组中选出,即从水,醇,酸及胺水中选出。
当镍被用作催化元素时,镍以一种镍化合物的形式被结合在一种极性溶剂中。镍化合物典型地从以下化合物组成的组中选择:溴化镍,醋酸镍,草酸镍,碳酸镍,氯化镍,碘化镍,硝酸镍,硫酸镍,甲酸镍,乙酰丙酮化镍,四环乙基丁酸镍,氧化镍及氢氧化镍。
溶剂也可从苯,甲苯,二甲苯,四氯化碳,氯仿及乙醚组成的组中选出非极性溶剂。
在该例中,镍是以镍化合物的形式包含在溶液中的,它可从乙酰丙酮化镍及二乙基己烷化镍组成的组中选出。
在含有催化元素的该溶液中加入一种表面活性剂也是有效的。表面活性剂增加了溶剂对氧化硅膜表面的粘着度,并控制吸收率。该表面活性剂可预先施加在待涂的表面上。如果用单质镍作为催化元素,它必须预先溶解在一种酸中以获得它的一种溶液。
也可不使用含有完全溶解于溶液的镍之溶液,而用乳状液来代替,也即用包含被均匀地在其中扩散金属镍粉末或镍化合物粉末的扩散介质的材料来代替。
使用不同于镍的一种材料作催化剂可在另外的情况下同样地应用。
包含一种非极性溶剂的溶液,即二乙基己烷化镍的甲苯溶液,可直接地施加在非晶态硅膜的表面。在该例中,使用一种通常作为构成抗蚀剂的材料如粘胶是有效的。但是使用过多量的粘胶会相反地干扰催化元素向非晶态硅中的传播。
结合到溶液中催化元素的量虽然依赖于溶液的类型,但大致为如重量为1至200ppm,最好为1至50ppm重量的镍,该加入的量值是考虑到结晶膜的镍浓度及对氢氟酸的抗蚀性来确定的。
例5
在本例中,将镍膜在由Corning  7059作的玻璃衬底上构型成岛状。使用该膜作为起动材料,使一个非晶态硅膜结晶。并利用所获得的晶态硅膜制作TFTs。这个过程描述如下。可以用两种方法使镍膜构成岛状。在一种方法中,镍膜在非晶态硅膜下方构成,如图9(A-1)中所示。如图9(A-2)所示。在后一方法中,当镍被沉积到非晶态硅膜的整个表面上后,镍膜被选择地蚀刻。镍轻微地与非晶态硅反应,于是产生硅化镍。如果这种硅化物被留下来,则本发明所希望提供的高结晶度硅膜将不会被得到。因而,必须用盐酸或氢氟酸完全地除去硅化镍。结果,该非晶态硅的厚度与开始得到的厚度相比下降了。
在前一方法中不会出现这一问题。但是必须完全地腐蚀掉镍膜,岛区除外。为了抑制残余镍的作用,除岛区外,衬底用氧等离子体、臭氧或类似物使镍氧化。
在这两种方法中,利用等离子CVD法使作为基层的一个2000
Figure 941032418_IMG24
厚的氧化硅膜101B构成在由Corning 7059作的衬底101A上。由标号101表示的非晶态硅膜具有200至3000
Figure 941032418_IMG25
的厚度,最好为500至1500
Figure 941032418_IMG26
,并通过等离子体CVD法或低压力CVD法制成。该非晶态硅膜在350至450℃中被退火0.1至2小时,用以释放氢原子。当该膜的氢浓度低于5原子%时,容易结晶进行。
在图9(A-1)所示方法中,在形成非晶态硅膜101前,将镍溅射到50至1000
Figure 941032418_IMG27
的厚度,最好为100至500
Figure 941032418_IMG28
。该镍膜被光刻成型以形成镍岛区102。
在图9(A-2)所示方法中,在形成非晶态硅膜101后,将镍溅射到50至1000
Figure 941032418_IMG29
厚,最好为100至500
Figure 941032418_IMG30
。然后该镍层被光刻成型,形成镍岛区102。图8(A)是这些岛区102的顶视图。
每个镍岛是边长为2μm的正方形,在相近的岛之间的间隔为5至50μm,例如20μm。类似的优点可使用硅化镍取代镍来获得。当镍膜形成时,利用在100至500℃,最好为180至500℃,最好为180至250℃中加热衬底可获得良好的结果,因为镍膜对下方的氧化硅膜的粘性被改善了,也因为氧化硅与镍产生反应形成了硅化镍。类似的优点通过使用氮化硅,碳化硅或硅取代氧化硅来获得。
然后,将该叠层在一种氮环境中于450到650℃下,例如550℃,退火8小时。图9(B)表示一种中间状态。在图9(A)中位于两端的镍膜岛随着硅化镍103A向中部生长。那些被镍通过的部分103形成了晶态硅。最后,如图9(C)中所示,这两个生长的镍晶体部分相遇,将硅化镍103A留在中心。该结晶过程告结束。
图8(B)是在该状态下叠层的顶视图。在图9(C)所示的碳化镍103A形成了晶界104。如果退火继续时,镍原子会沿着晶界104移动并在位于镍岛中间的区域105上相聚集。在该步骤中,岛的原始形状已不复保留。
利用至此所描述的步骤例可获得晶态硅。不希望从生成的硅化镍103A让镍原子扩散到半导体膜中。因而,要求用氯氟酸或盐酸来浸蚀镍膜。无论是氢氟酸还是盐酸均不会影响硅膜。具有被浸蚀镍膜的叠层表示在图9(D)中。晶界形成了沟槽104A。在每个沟槽的两侧上不希望形成TETs的半导体区域或活性层。一个TFT的布置例表示在图8(C)中,其中半导体区域106布置得不和晶界104相交叉。在另一方面,栅极的连线107可能与晶界104相交。
使用由至今描述的步骤获得的晶态硅制作TFTs的方法例子被表示在图10(A)-(C)及图11(A)-(C)中。在图10(A)中,中心部分X表示槽104存在的位置。TFTs的半导体区域布置成不和该中心部分X相交。尤其是,由图9(A-1)至D的步骤获得的晶态硅膜103被构型成岛状半导体区域111a及111b。然后,作为栅极绝缘膜的氧化硅膜112利用RF等离子体CVD法,ECR等离子体CVD法,溅射或其它方法构成。
然后,利用LPCVD法形成具有厚度为3000至6000
Figure 941032418_IMG31
并用浓度为1×1020至5×1020cm-3的磷原子掺杂的多晶膜。该膜被用光刻成型形成栅极电极113a及113(b)(图10(A))。
接着,利用等离子体掺杂植入一种杂质。在N型半导体的情况下,磷化氢(PH3)被用来作为掺杂剂气体。在P型半导体的情况下,乙硼烷(B2H6)被用来作为掺杂剂气体。在图示的例中,表示了N型TFTs。磷化氢离子在80KV下被加速,而乙硼烷在65KV下被催化。该叠层在550℃中退火4小时以激活掺杂剂,于是形成了掺杂区域114a至114d。对于激活,可使用光能量方法例如激光退火或闪光灯退火(图10(B))。
最后,用与通常制造TFTs相同的方法沉积一层厚度为5000
Figure 941032418_IMG32
的氧化硅以形成中间绝缘层115。在该绝缘层115上作出接触孔。再构成导电互接线及电极116a至116d(10(C))。
利用至今描述的步骤制得了TFTs。在图示的例中,该TFTs是N沟道型。所获得的TFTs的场效应迁移率对于N沟道型为40至60cm2/Vs,对于P沟道型为30至50cm2/Vs。
图11(A)-(C)表示具有铝栅极的TFTs的制造。在图11(A)中,中心部分X表示存在槽104A(图9(D))的位置。TFTs的半导体区域不与该中心部分X相交叉。尤其是,利用图9(A-1)-(D)的步骤得到的晶态膜103被构型形成岛状半导体区域121a及121b。然后作为栅极绝缘膜的氧化硅膜122利用RF等离子体CVD法,ECR等离子体CVD法,溅射或其它方法被构成。在使用等离子体CVD法的情况时,如果使用TEOS(四乙氧硅烷)及氧作为原材料气体时,可获得良好的结果。溅射含硅1%的铝形成具有厚度为5000
Figure 941032418_IMG33
的铝膜。该铝膜用光刻成型形成栅极互接线及电极123a及123b。
然后,将该叠层浸在3%酒石酸的乙二醇溶体中。在各由铂及铝连线组成的阴极和阳极之间流过电流以实现阳极化处理。起初电流以2V/min的速率增加。当电流在达到220V时,电压维持恒定。当电流下降到10μA/m2以下时,使电流截止。其结果是形成了具有厚度为2000 的阳极氧化物124a及124b(图11(A))。
然后利用等离子体掺杂将一种杂质植入。在N型半导体的情况下,利用磷化氢(PH3)作为掺杂剂气体。在P型半导体的情况下,利用乙硼烷(B2H6)作为掺杂剂气体。在图示的例中,表示了N型TFTs。磷化氢离子在80KV下被催化而乙硼烷在65KV下被催化。杂质利用激光退火激活,以构成掺杂区域125a至125d。为此目的,使用了发射波长为248nm的KrF激光。将能量密度为250至300Jm/cm2的激光束照射5次(图11(B))。
最后,用与通常制造TFTs相同的方法沉积一层厚度为5000
Figure 941032418_IMG35
的氧化硅以形成中间绝缘层126。在该绝缘层126上作出接触孔。再将导电互接线及电极127a至127d构成在源极区域及漏极区域中(图11(C))。
该获得的TFTs的场效应迁移率对于N沟道型来说为60至120cm2/Vs,而对于P沟道型来说为50至90cm2/Vs。使用这些TFTs构成了一个移位寄存器。我们已证实该移位寄存器当漏极电压17V时可工作在6MHz下并当漏极电压为20V时可工作在11MHz下。
例6
图12(A)-(C)表示用与图11(A)-(C)表示的方案相同的方式制造具有铝栅极的情况。在该例中,由非晶态硅制成一个激活层。如图12(A)中所示,氧化硅被沉积在衬底131上作为一个基膜132。具有厚度为2000至3000 的非晶态膜133被沉积在膜132上。再将合适量值的P型或N型杂质加入到非晶态硅膜中。接着,如以上所述地产生镍或硅镍的岛134A及134B。将该叠层在550℃中退火4小时以使非晶态硅膜结晶。
用此法获得的晶态硅膜被光刻成型如图12(B)中所示。在位于镍或硅化镍的岛134A及134B中间的中心区域中该硅膜富有镍。因此,光刻成型步骤是在该中心区域之外进行。因此,构成了岛状硅区域135A及135B。在这些岛状硅区域135A及135B上沉积了一层实质上本征的非晶态硅膜136。
然后,如图12(C)所示,由氮化硅,氧化硅,或类似物制成一个栅极绝缘膜137。栅电极138是由铝制成的。用与图11(A)-(C)所示方法相同的方法实现阳极化。利用离子植入扩散杂质原子以形成掺杂区域139A及139B。接着,沉积一个中间绝缘层140。再制出接触孔。在源及漏极上形成金属电极141A及141B,于是制成TFTs。这些TFTs的特征在于:源及漏极半导体区域的厚度可与激活层的厚度相比较,并且在于电阻率小。结果是,源及漏区域的电阻小,并且这些TFTs的特性被改善了。此外它便于形成接触。
例7
图13(A)-(C)示出了CMOS TFTs的制造。如在图13(A)中所示。氧化硅在一个衬底151上沉积作为一层基膜152。一层具有厚度为1000至1500
Figure 941032418_IMG37
的非晶态硅膜153被沉积。如上所述形成了镍或硅化镍的岛。在550℃中对该叠层进行退火。在这一步骤期间,一个硅化镍区155生长,并进行结晶。退火要进行4个小时,如在图13(B)中所示。非晶态硅膜转化为晶态硅。当结晶过程进行时,硅化镍区域159A和159B向两个相反端推进。
用这种方法获得的晶态硅膜以光刻的方法被构型如在图13(B)中所示,以便构成一个硅岛区156。值得注意的是该岛区在两端中富有镍。在硅岛区形成以后,一个栅极绝缘膜157、和栅极158A、158B被形成。
随后正如在图12(C)中所示,通过离子注入使杂质离子扩散以便形成一个N-型掺杂区160A和一个P-型掺杂区160B。例如,利用磷作为N-型杂质。利用磷化氢(PH3)作为掺杂气体。以一个60到110KV的加速电压将杂质离子注入到整个表面。然后给N-沟道TFTs涂上一种光致抗蚀剂。以一个40到80KV加速电压注入P-型杂质,如硼,可利用乙硼烷作为一种掺杂气体。
在离子注入以后,利用与在图11(A)-(C)所说明的步骤中相同的方法来激光照射以便激活源电极和漏电极。随后,沉积一中间绝缘层161。作出接触孔。在源电极和漏电极上形成金属电极162A,162B和162C,这样就完成了TFTs。
例8
本实施例除了在结晶步骤以后进行一个激光照射以便进一步改进晶态硅膜的结晶度之外其它与实施例7的步骤是类似的,在本实施例的结晶步骤中在550℃中使叠层被加热4个小时。
在该实施例中,CMOS TFTs以在图14(A)-(C)中所示的方式被制造。首先如在图14(A)中所示,在衬底151上溅射一层厚度为2000 的氧化硅以便形成基膜152。通过等离子CVD法形成一层具有厚度为1000至1500
Figure 941032418_IMG39
的非晶态硅膜153。然后制成镍或硅化镍岛154。
在一个氮环境内以550℃使叠层退火4小时。在这个步骤期间,使硅化镍区155生长,即结晶过程被进行。用这种方法获得的晶态硅膜以光刻的方法如在图14(B)中所示被构型以便形成岛形的硅区156。
用具有波长为248nm和脉冲宽度为20nsec(毫微秒)的KrF(氟化氪)受激准分子激光器辐照171来照射。利用激光器辐照照射两次。每次照射的能量是250mJ/cm2。考虑到各种各样的情况,例如膜的厚度和衬底的温度,照射能量可以设置到200到400mJ/cm2。一种发射波长为308nm的XeCl(氯化氙)激光器或发射波长为193nm的ArF(氟化氩)激光器也能够被用作为该激光器。
此外,也能够利用其它的能产生与激光器照射一样效果的强的光源。尤其是,利用红外线照射的快速热处理(RTA)技术允许硅有选择地吸收红外线的照射。因此能够有效地进行退火。在构型步骤之前可以完成激光照射。
在上述热退火之后,在硅膜153上形成了一个结晶区。然而一个非结晶区可以保留在硅膜153中(在图中没有示出)。
通过随后的激光退火或RTA能进一步改进结晶区的结晶度。因此,这个区适合作为薄膜晶体管的一个激活区。另一方面,当非单晶结晶区也被转换成一个多晶结构时,在这个区上用Raman光谱测量的结果证明与以前的结晶区相比该结晶度是相对差的。同样地在激光退火或RTA之后通过电子显微镜观察在非晶区中有无数的晶粒,而在以前的结晶区内观察到被一致取向的相对大的晶体。这意味着甚至在激光退火之后非结晶区包括许多晶界,因此它不适合作为薄膜晶体管的一个激活区。
因此最好除掉非结晶区以便在激光退火或RTA之前或之后形成适合TFTs的硅岛。
在这种方法中,能够获得具有良好结晶度的一个硅膜。通过至今所描述的步骤,由热退火所结晶的硅膜在结晶度方法得到了改进。激光器照射使非结晶区(没有示出)变为一种多晶膜。虽然可以看出一种改进的膜,但是,Raman谱测量已证明该结晶度是差的。利用一个透光电子显微镜的观测已显示在一膜中形成了无数的晶粒,该膜在激光器照射之前没有结晶。根据本发明所结晶的膜153是用激光器光来照射的。在膜153中能够观测到相对大的晶体,该晶体在方向上是均匀的。
随后,形成了主要由硅组成的栅电极158A和158B。正如在图14(C)中所示,通过离子注入使杂质原子扩散以便分别地形成N-型掺杂区和P-型掺杂区160A和160B。例如,利用磷作为一种N-型杂质。利用磷化氢(PH3)作为掺杂气体。以一个以60到110KV的加速电压使杂质离子注入到整个表面。然后在N-沟道TFT区涂上一种光致抗蚀剂。以一个以40到80KV的加速电压注入P-型杂质离子,如硼离子,并用乙硼烷(B2H6)作为一种掺杂气体。
在离子注入以后,利用激光器照射激活源电极和漏电极。沉积一中间绝缘层161,并且制出接触孔。在源电极和漏电极上形成金属电极162A、162B和162C,这样就完成了TFTs。
在本例子中,引入了一种催化元素来促进结晶。在这种方法中,是将低温、短结晶步骤与激光器照射的退火步骤结合起来进行。在550℃的温度中结晶步骤进行大约4小时。用这种方法能够获得一层具有良好结晶度的硅膜。利用这样一种晶态硅膜能够获得高性能的TFTs。
更确切地说,在例5中获得的N-沟道TFTs对于硅栅型(图10(A)-(C))来说具有40到60cm2/Vs的场效应迁移度而对铝栅型(图11(A)-(C))来说具有60到120cm2/Vs的一个场效应迁移率。阈值电压是3到8V。在本例中得到的N-沟道TFTs的迁移率是150到200cm2/Vs,而阈值电压是0.5到1.5V。值得注意的是它大大改进了迁移率并且减小了阈值电压中的变化。
到现在为止仅利用一种非晶态硅膜的激光结晶可得到这些特征。在现有技术的激光结晶中,所得到的硅膜在特征方面是非常不同的。同时对于结晶需要高于400℃的温度。此外,需要超过350mJ/cm2的一个高激光器能量的照射。因此大批量生产会存在许多问题。在本例中,较低的衬底温度和较小的能量密度就能够满足。因此能够没有困难地进行大批量生产。此外,变化可以与常规热退火的固相结晶生长方法被使用时发生的变化相比较,因此,所得到的TFTs在特征上是一致的。
在本发明中,如果镍的浓度是低的,那么硅膜不能充分地被结晶,并且TFTs的特性是不好的。在本例中,然而即使硅膜的结晶度不是充分地高,也能够通过随后的激光器照射来补偿。所以如果镍的浓度是低的,TFT的特性不会被破坏。因此在装置的激活层区中镍的浓度能够进一步地被降低。这就进一步增加了该装置的电稳定性和可靠性。
例9
在本例中,将用于促进非晶态硅膜结晶的催化元素加到一种溶液中,该溶液被涂到非晶态硅膜。用这种方法把催化元素引入到该非晶态硅膜中。
同样地在本例中可选择地引入催化元素。晶体从引入催化元素的区中生长到没有引入催化元素的区中。这样获得了一层少量掺杂有催化元素的晶态硅膜。
图15(A)-(D)图示地说明了用于制造本例的各步骤。值得注意的是在图9和图15中相同的参考数字代表相同的元件。
首先,在由Corning 7059制成的一玻璃衬底上溅射一层厚度2000
Figure 941032418_IMG40
的氧化硅以形成一基膜101B。衬底是10cm2。然后通过等离子体CVD法形成具有厚度为1000
Figure 941032418_IMG41
的非晶态硅膜101。
此后形成一层具有厚度为2000 的氧化硅膜180。我们的试验已证明如果氧化硅膜180的厚度设置为500
Figure 941032418_IMG43
,不会发生任何问题。我们认为如果该膜是致密的,那么就能够进一步减小膜厚度。
利用普通的光刻技术把氧化硅膜180刻成一种所要求的图型。在氧环境中利用紫外线照射5分钟以便在非晶态硅膜101的外露表面上形成一层薄的氧化硅膜182。我们认为氧化硅膜182的厚度大约为20到50
Figure 941032418_IMG44
(图15(A))。
该氧化硅膜的目的在于改进应用在下面步骤中的溶液的吸湿度。在这种情况下,可将5ml的乙酸盐溶液滴到一个10cm2的衬底上。通过把100ppm镍的重量加到乙酸盐溶液中来配制乙酸盐溶液。在这时,利用一个离心涂胶机184以50rpm(50转/分)的速度使叠层旋转,并且在衬底的整个表面上形成一层均匀的水膜183。维持这种状态5分钟。然后利用离心涂胶机184以2000rpm的转度使叠层旋转60秒以使叠层甩干。通过使叠层以0到150rpm的转速旋转也可以使水膜保持在离心涂胶机上(图15(A))。
通过上面所描述的步骤将镍引入到区185中。在300到500℃的温度中对叠层进行热退火以便在区185的表面上形成硅化镍。然后,除去起掩膜作用的氧化硅膜180,在一个氮环境中使叠层在550℃的温度中加热4小时。这样非晶态硅膜180被结晶。在这时该晶体从掺杂有镍的区185横向地,即平行于衬底地,向没有引入镍的区生长。当然,结晶发生在镍直接地被引入的区中。
进行热处理以便在区185的表面上形成一层硅化镍膜,随后,是除去氧化硅膜180。在一种变例中,该叠层在550℃的温度中被加热4小时而不除去氧化硅膜180,并且进行结晶。在这种情况下不需要用来制成硅化镍膜的步骤。氧化硅膜180可以在结晶步骤之后除去。
图15(B)示出了一种结晶正在进行中的状态。尤其是引入到边缘部分中的镍以硅化镍103A的形式向中心进展。由镍穿过的部分103是晶态的硅。如果结晶进一步进行,那么从引入镍的部分185开始的两部分将经过位于它们之间的硅化镍103A后相会合,正如在图15(C)中所示。这样结晶过程结束。
利用上述的步骤能够得到一种晶态的硅。不希望镍从产生的硅化镍103A扩散到半导体膜中。因此用氢氟酸或氢氯酸把区103A腐蚀掉。在图15(D)中示出了这种情况。晶界存在的部分形成了凹槽104A。
在图15(C)中,结晶从区185穿过区186横向地进行。在图16中示出了在区186中镍的浓度,它给出了在晶态硅膜区186的厚度方向上镍的分布,该晶态硅膜已经经历了结晶步骤。通过SIMS来测量该分布,已证明在镍直接地被引入的区185中镍的浓度高于在图16中所示分布的浓度至少一个数量级。利用这样得到的晶态硅膜,借助于在例5中使用的方法来制造TFTs。
利用与在例8中一样的方法借助于激光或其它等效的强光来照射用这种方法得到晶态硅膜以便进一步有效地改进结晶度。在例8中,在硅膜中镍的浓度相当高从而激光照射使镍从硅膜沉淀出来。在硅膜中形成了具有大小为0.1到10μm数量级的镍粒子。其结晶使膜的结构被损坏。然而,在本例中,能使镍的浓度在比例5和6中得到的镍的浓度低得多。因此,硅化镍不会沉积。同样通过激光器照射也不会使该膜变粗糙。
通过控制溶液中镍的浓度就能够控制图16中镍的浓度。在本例中,溶液中镍的浓度设置为100ppm。我们已证明如果浓度设置为10ppm,结晶是可能的。在这种情况下,在图15(A)-(D)中所示区186中镍的浓度(图16)能够进一步降低一个数量级。然而,如果溶液的镍浓度被降低,那么横向晶体生长的距离就会缩短。
正如到目前为止所述的被结晶的硅膜能够直接地被用作为每个TFT的一个激活层。特别是使用区186的每个TFT的激活层的形成在催化元素的浓度低的情况中是相当有用的。
在本例中,乙酸盐溶液被用作一种包含一催化元素的溶液。这种溶液能够从各种水溶液和有机溶剂和溶液中选择。催化元素的形式不限于化合物。该催化元素可以很容易地在一种溶液中分散。镍被用作为一种催化剂并且被包含在一种极性的溶剂中例如水、酒精、酸、或氨的场合,该镍作为一种镍化合物被引入。镍化合物典型例子包括溴化镍、乙酸镍、草酸镍、碳酸镍、氯化镍、碘化镍、硝酸镍、硫酸镍、甲酸镍、乙酰丙酮镍、4-环己基丁酸镍、氧化镍和氢化镍。
该溶剂能够从非极性溶剂中选择,即苯、甲苯、二甲苯、四氯化碳、氯仿、和乙醚。
在这种情况下,镍是以一种镍化合物的形式被引入。镍化物的典型例子是乙酰丙酮镍、和2-乙基己基镍。
同样有利的是把一种表面活化剂加到含有一种催化元素的溶液中。它改善了所施加表面的粘着力并且控制该吸附度。这种表面活化剂可以预先施加在表面上。单纯的镍被用作为催化元素的场合必须使它在酸中溶解,这样就产生了一种溶液。
在上面描述的例子中,利用了一种镍或一种催化元素在溶液中完全溶解的溶液。但不是总需要镍被完全地溶解。既然是这样可以利用象乳液一样的材料,该材料包括一种介质,而在该介质中均匀分散在单一的镍粉或一种镍化合物粉。同样地可以利用一种用来形成一层氧化膜的溶液。由TOKYO  OHKA  KOGYO  CO,LTD制造的OCD(Ohka  扩散源)能够被用作为该溶液。在使用这种OCD溶液的时候,可将其施加到待涂覆表面,然后在大约200℃的温度中使其被烘干。此外可利用任何杂质,因为能够任意地加入一种杂质。
这些原理应用在除了镍之外的材料被用作为催化元素的场合。在使用一种非极性溶剂例如2-乙基己基镍的甲苯溶液的场合,能够直接地将其施加到一种非晶态硅膜的表面上。在这种情况下便于预先施加一种材料,例如一种被用于保护层作用的内部接触剂。然而,如果施加的量太大,那么在非晶态硅中催化元素引入将会受到阻止。
包含在溶液中的催化元素的量取决于溶液的种类。一般说来镍的重量与溶液的重量比是200∶1ppm,最好是50∶1ppm。可考虑在结晶完成之后在膜中镍的浓度和耐氢氟酸能力来确定这个范围。
在本例中,含有一种催化元素的溶液被施加到一个非晶态硅膜的上表面。在非晶态硅膜形成之前,可将含有催化元素的一种溶液施加到基膜上。
例10
现将参考图17来描述一种通过在Corning 7059玻璃,衬底上形成一层镍膜并用这种镍膜作为一种催化剂使非晶硅膜结晶从而获得一种晶态硅膜的方法。在一个衬底201上,利用等离子体CVD法形成厚度为2000
Figure 941032418_IMG45
的氧化硅基膜202。接下来通过溅射沉积一层厚度小于1000
Figure 941032418_IMG46
,例如50
Figure 941032418_IMG47
的镍膜203。厚度小于100
Figure 941032418_IMG48
的镍膜被描述为粒子,或是许多粒子相互连接起来的粒子群的一种形式会比描述为膜更精确。对于镍膜的形成,当该衬底被加热到100至500℃的温度,最好是180至250℃时,能够获得更好的结果。这是因为改进了在氧化硅基膜和镍膜之间的粘着力。能够利用硅化镍来代替镍。(图17(A))。
在这之后,通过等离子体CVD法来沉积厚度500到3000
Figure 941032418_IMG49
,例如1500 ,的一种非晶态硅膜204,并且在氮环境中在430℃的温度下进行除氢0.1到2小时,例如0.5个小时(图17(B))。
然后在一个退火炉中在一个氮环境中且在450°到580℃的温度下,例如550℃的温度下,进行退火8小时。图17(C)示出了在该退火期间的状态,在退火期间随着镍从预先形成的镍膜(粒子、群)中扩散,结晶进行并且晶态硅区205生长和在整个非晶区204A上扩散。
在结晶完成之后,维持400到600℃的温度,例如550℃,利用氢或氧使三氯乙烯(C2HCl3)被稀释到1至10%,例如10%,并且将其引入到退火炉中,使退火进行0.1到2小时,例如1小时。当利用副离子材料光谱测定法(SIMS)来分析样品例如被氯化处理的样品时,在硅膜中镍的浓度是0.01原子%。在没有进行氯化处理的一个样品中镍的浓度为5原子%。
例11
在图18中示出了第11种优选的实施例。利用等离子体CVD法在一个Corning 7059玻璃衬底201上形成厚度为2000
Figure 941032418_IMG51
的氧化硅基膜202。然后利用等离子体CVD法,沉积厚度为500到3000
Figure 941032418_IMG52
,例如1500
Figure 941032418_IMG53
,的非晶硅膜204,并且在430℃的温度中的氮环境中进行除氢0.1到2小时,例如为0.5小时。
在这以后,利用溅射来沉积厚度小于1000
Figure 941032418_IMG54
,例如80
Figure 941032418_IMG55
,的一层镍膜203。厚度小于100
Figure 941032418_IMG56
的镍膜是这样一种结构,即它比被描述为一种膜更准确地被描述为粒子,或许多粒子相互连接在一起的粒群。为了形成镍膜,当衬底被加热到100至500℃的温度,并且最好是180至250℃的温度时,能够获得好的结果。这是因为改进了在氯化硅基膜和镍膜之间的粘着力。能够利用硅化镍来代替镍(图18(A))。
随后在一个退火炉中的氮环境中在450到580℃的温度下,例如为550℃的温度下,使退火进行4小时。图18(B)示出了在该退火期间的状态,在退火期间随着镍从预先形成的镍膜(粒子、群)中扩散使结晶进行,并且晶态硅区205生长和在整个非晶态区204A扩散。
在结晶完成之后,维持400到600℃的一个温度,例如580℃,利用氢或氯使三氯乙烯(C2HCl3)被稀释到1至10%,例如为10%,并且将其引入到退火炉中,使退火进行0.1至2小时,例如为0.5小时。
例12
在图19中示出了第12个优选的实施例。首先利用等离子体CVD法,在一个Corning 7059玻璃衬底231上形成厚度为2000
Figure 941032418_IMG57
的氧化硅基膜232。随后,利用溅射来沉积厚度小于1000
Figure 941032418_IMG58
,例如为80
Figure 941032418_IMG59
的镍膜233(图19(A))。
接下来在整个表面涂上一种光致抗蚀材料,并且利用一种公知的光刻法形成一保护层图形234(图19(B))。
然后将它浸入一种适合的蚀刻剂中,例如为5至30%的盐酸溶液,并且除去镍膜的外露部分。利用与使用硅化镍的场合相同的方法能够除去该膜(图19(C))。
随后通过一种普通公知的方法除去该光致抗蚀材料,并形成一种镍膜图形235(图19(D))。
在这之后,利用等离子CVD法,沉积一层厚度为500至3000
Figure 941032418_IMG60
,例如为1500
Figure 941032418_IMG61
,的非晶态硅膜,并且在430℃的氮环境中使清除氢进行0.1至2小时,例如为0.5小时。然后在一个退火炉中的氮环境中,使它在450°到580℃的温度下,例如为550℃,进行退火4小时。图19(E)示出了它在退火期间的状态,在退火期间随着镍从预先形成的镍膜结构中扩散使结晶进行,并且晶态硅区236生长和在整个非晶态区237扩散。
在结晶完成之后,保持400至600℃的温度,例如为580℃的温度,利用氢或氧使氯化氢(HCl)被稀释到1至10%,例如为10%,并且使氯化氢被引入到退火炉中,使退火进行0.1至2小时,例如为0.5小时。当利用副离子材料光谱测量法(SIMS)来分析被氯化处理的样品时,在该硅膜中镍的浓度是5至10PPM。在没有进行氯化处理的样品中镍的浓度是为1原子%。
例13
在图20中示出了第13种优选的实施例。利用等离子体CVD法在一种Corning 7059玻璃衬底241上形成一层厚度为2000
Figure 941032418_IMG62
的氧化硅基膜242。然后,利用等离子体CVD法沉积一层厚度为500至3000 ,例如为1500
Figure 941032418_IMG64
,的非晶态硅膜243,接着利用溅射来沉积一层厚度小于1000
Figure 941032418_IMG65
,例如为80
Figure 941032418_IMG66
的镍膜244(图20(A))。
用一种光致抗蚀材料涂在它的整个表面上,并利用一般公知的光刻方法形成一保护层图形245。(图20(B))。
然后将它浸入到一种适用的蚀刻剂中,例如为5至30%的氢氯酸溶液,并且由此除掉镍膜的外露部分(图20(C))。
随后利用一般公知方法除掉光致抗蚀材料,并形成一种镍膜图形246(图20(D))。
在这以后,在430℃的氮环境中进行清除氢0.1至2小时,例如为0.5小时。在一个退火炉的氮环境中,使它在450至580℃的温度,例如为550℃下,进行退火4小时。图20(E)示出了它在退火期间的状态,在它退火期间随着镍从预先形成的镍膜结构中扩散,使结晶进行,并且晶态硅区247生长和在整个非晶态区248上扩散。
在结晶完成以后,保持400至600℃的一个温度,例如为580℃,利用氢或氧使三氯乙烯(C2HCl3)被稀释到1至10%,例如为5%,并将其引入到退火炉中,使退火进行0.1至2小时,例如为0.5小时。当利用副离子材料光谱测定法来分析这种被氯化处理的样品时,在该硅膜中镍的浓度是5至10PPM。在没有进行氯化处理的样品中镍的浓度为0.1至1原子%。
例14
在图21中示出了第14种优选的实施例。利用等离子体CVD法在一个Corning 7059玻璃衬底251上形成一个厚度为2000
Figure 941032418_IMG67
的氧化硅基膜252。然后在整个表面上涂上一种光致抗蚀材料并且利用一般公知的光刻方法形成一种保护层图形253。(图21(A))。
然后利用一般公知的方法除掉光致抗蚀材料并且在同时也除掉粘着在保护层上部的镍膜,从而产生一种镍膜图形255(图21(C))。
在这之后,利用等离子体CVD法来沉积一层厚度为1000
Figure 941032418_IMG68
的非晶硅膜。在此不进行清除氢步骤。随后在一个退火炉中的氮环境中使它在450至580℃的温度下,例如为550℃的温度下,进行退火4小时。图21(E)示出了它在退火期间的状态,在它退火期间,随着镍从预先形成的镍膜图形中扩散而使结晶进行,并且晶态硅区256生长和在整个非晶态区257上扩散。
在结晶完成之后,保持550℃的温度,利用氢或氧使三氯乙烯被稀释到1至10%,例如为5%,并将其引入到退火炉中,使退火进行0.5小时。
例15
在图22中示出了第15种优选的实施例。通过等离子体CVD法在一个Corning玻璃衬底261上形成一个厚度为2000 的氧化硅基膜262。在这之后利用等离子体CVD法沉积一个厚度为500
Figure 941032418_IMG70
的非晶态硅膜263。在此不进行清除氢。然后用一种光致抗蚀材料涂在整个表面上,并且利用一般公知的光刻方法形成保护层图形264(图22(A))。
接下来利用电子束蒸发沉积一个厚度大约为100
Figure 941032418_IMG71
的镍膜图形265(图22(B))。
然后利用一般公知的方法除掉该光致抗蚀材料和在同时也除掉粘着在保护层上部上的镍膜,从而产生一种镍膜图形266(图22(C))。
随后在一个退火炉中的氮环境中使它在550℃的温度下进行退火4小时。图22(E)示出了它在退火期间的状态,在退火期间随着镍从预先形成的镍膜图形开始扩散而使结晶进行,并且晶态硅膜区267生长和在整个非晶态区268中扩散。
在结晶完成之后,保持温度为500℃。利用氢或氧使氯化氢(HCl)被稀释到1至10%,例如为1%,并将其引入到退火炉中和进行退火0.5小时。
例16
第16个优选实施例的特征在于;具有良好结晶特性的晶态硅膜通过这样一个方法来获得,即把一种促进非晶态硅膜结晶的催化元素引入到非晶态硅膜中,并通过加热产生结晶,在该第一过程以后,利用激光照射进一步提高结晶度。
下面将参考附图23来描述第17种优选实施例的制造方法。首先,通过等离子体CVD法在一个衬底201上形成一个厚度为2000
Figure 941032418_IMG72
的氧化硅基膜202。然后通过溅射来沉积一个厚度小于1000
Figure 941032418_IMG73
,例如为50
Figure 941032418_IMG74
,的镍膜203。厚度小于100
Figure 941032418_IMG75
的镍膜是这样一种形式,即它比被描述为一种膜更准确地描述为粒子,或许多粒子相互连在一起的粒群。为了形成镍膜,当衬底被加热到100至500℃,最好是180至250℃的温度时,能够获得好的效果,这是因为改进了在氧化硅基膜和镍膜之间的粘着力。利用硅化镍代替了镍(图23(A))。
在这之后,在430℃的氮环境中进行清除氢0.1至2小时,例如为0.5小时(图23(B))。
随后,在一个退火炉中的氮环境中使其在450至580℃的温度下,例如为550℃的温度下,进行退火8小时。图23(C)示出了它在被退火期间的状态,在其退火期间,随着镍以预先形成的镍膜(粒子,群)开始扩散来进行结晶,并且晶态硅区205生长和在非晶态区204A内扩散。
在完成热退火之后,通过用激光271的照射来进行退火。对于激光可以利用一种KrF激态基态复合物激光器(波长248nm,脉宽20nsec)。激光照射以250mJ/cm2的能量密度进行2次。用该激光照射使衬底被加热到300℃。这就增加了激光照射的效果。
对于激光来说可以替换使用一种XeCl激态基态复合物激光器(波长308nm)或ArF激态基态复合物激光器(波长193nm)或类似的激光器。除此以外,可以利用基于不用激光而用强光来照射的一种方法。尤其是基于用红外线的光短时间照射的RTA(快速热退火)具有在一个短的时间周期内能够有选择地加热硅膜的优点。
在通过用激光照射完成退火之后,保持400至600℃的温度,例如为550℃,用氢或氧使三氯乙被稀释到1至10%,例如为10%,并将其引入到退火炉中,进行退火0.1至2小时,例如为1小时,这样就能够得到一个晶态硅膜。当利用副离子材料光谱测定法(SIMS)来分析被氯化处理的样品时,在该硅膜中镍的浓度是1×1018cm-3,在没有进行氯化处理的样品中镍的浓度为1×1019cm-3
用上述的方法能够获得一种具有好的结晶特性的硅膜。进行这种处理的结果是:通过热退火而结晶的区205变成一具有良好质量的结晶硅膜。另一方面,虽然由激光照射的结果在没有结晶的区204A中也获得一种多晶膜并且能够观测到该膜质量上的一个变化,但是通过Raman光谱测定法已发现在这个区内的结晶质量是不好的。同样地用一种电子显微镜的实验已揭示:在还没有结晶的时候,通过利用激光器照射,在结晶的区204A中形成无数的小晶体,而在结晶之后被激光照射的区205中可观测到相对大的晶体,这些晶体沿着相同的方向对齐。
当这样得到的一个硅膜205,被制成一个岛型,并且制造一个TFT时,可观察到在性能上的明显改时。也就是说,通过利用根据上述第一种实施例结晶的一个硅膜来制造N沟道型TFT,使场效应迁移率是40至60cm2/Vs和阀值电压为3至10V,而在通过相同方法但利用根据本实施例获得的硅膜来制造一个N-沟道型TFT使迁移率为150至200cm2/Vs和阀值电压为0.5,至1.5V;因此大大地改进了迁移率,并且在阀值电压中的变化也被减小了。
虽然在过去单独利用激光结晶能够获得这种非晶态硅膜结晶的这种性能,但是用普通的激光器结晶在所得到的硅膜的特性中具有宽的变化,并且存在不利于大批量生产的问题,因为为了结晶需要超过400℃的温度和超过350mJ/cm2的高激光能量的照射。根据这一点,利用本优选实施例没有关于大量生产的问题,因为衬底的温度和能量是完全能满足的,它们低于上述的值。此外,因为在特性中的变化大约与利用普通热退火遇到固相生长结晶的变化是一样的,所以得到的TFTs也具有均匀的特性。
值得注意的是:利用本发明当镍的浓度太低时,硅膜的结晶不是令人满意的,并且所得到的TFTs的特性是不好的。然而在本优选的实施例中,因为即使硅膜的结晶不令人满意,也能够利用后来的激光照射使其结晶,甚至当镍的浓度低时,在TFTs的特性方面也没有损坏。其结果是能够进一步减小在该装置的激活层区中的镍浓度,并且能够采用一种对该装置的电稳定性和可靠性非常有利的结构。
例17
本发明的第17种优选的实施例涉及一种方法,在该方法中镍作为催化元素被引入到液相中。第8个优选实施例的制造方法将参照附图24来说明。首先,利用等离子体CVD法在一个10cm2的Corning 7059玻璃衬底281上形成一个2000
Figure 941032418_IMG76
厚的氧化硅基膜286。此后,利用等离子体CVD法沉积一个厚度为500 的非晶态硅膜238。然后在整个表面上形成一层厚度为1500
Figure 941032418_IMG78
的氧化硅膜并且利用一般公知的光刻方法形成一个掩膜图形284,氧化硅膜的掩膜图形284用于有选择地引入镍,对于该掩膜图形,可以利用保护层代替氧化硅。
接下来,通过在一个氧气氛中的紫外线照射来形成一薄的氧化硅膜282。通过利用紫外线光在一个氧气氛中照射5分钟来制造该氧化硅膜282。该氧化硅膜282的厚度考虑为大约是20至50
Figure 941032418_IMG79
。形成该氧化硅膜的目的是为了改进在后面方法中提供的一种溶液的渗透特性。在这种状态中,通过把100ppm(重量换祘)的催化元素加入到乙酸盐溶液中制造的5ml的乙酸盐溶液被滴到样品上。在一个旋转机280上以50rpm的转速进行10秒钟的旋转涂覆,因此在衬底的整个表面上形成了一层均匀的水膜285。然后,在该状态下保持5分钟之后,利用旋转机280以2000rpm的速度进行60秒的甩干。当旋转机以0至150rpm的速度旋转时,能够将其保持在旋转机上(图24(A))。
因而获得在图24(B)所示的状态。这种状态是催化元素镍穿过非常薄的氧化硅膜282与非晶态硅膜283相结合的状态。
随后将产生的结构置于一个退火炉中在氮气环境中以550℃的温度进行退火4小时。在这时,镍穿过氧化硅膜282扩散到非晶态硅膜中,并且进行结晶。
图24(C)示出了该结构在退火期间的状态。在其退火期间,随着镍从氧化硅膜282部分开始扩散而进行结晶,使非晶态硅区287生长,并且在整个非晶态区288中扩散。
在结晶完成之后,保持500℃的温度,利用氢或氧使氯化氢(HCl)被稀释到1至10%,例如为1%,并且将其引入到退火炉中进行退火0.5小时。
这样就能够获得一种晶态硅膜。图25示出了在结晶过程完成之后的一个晶态硅膜中镍浓度SIMS检测的结果。镍的浓度被检测的区是通过氧化硅膜284作为一种掩膜来保护的区,并且也是镍没有被直接地引入的区。
同样地已经证明在镍直接地被引入的区中镍的浓度,也就是,镍穿过氧化膜282扩散到的区中镍的浓度大于图25中所示的浓度分布一个数量级。根据上述第二种优选实施例,通过利用激光或一种类似的强光的照射能够有效地进一步改进这样得到的硅膜的结晶度。
通过控制在溶液中镍的浓度能够控制在图25中所示的镍浓度。虽然在本优选实施例中在溶液中镍的浓度被设置为100ppm,但是已经发现当该浓度设置为10ppm时仍然能够结晶。当这样做的时候在图25中所示镍的浓度能够被进一步减小一个数量级。然而,当将溶液中镍的浓度弄得很低时,将使结晶生长距离变短。
用上述方法结晶的晶态硅膜能够被用作为TFTs的激活层。利用从镍沿着平行于衬底的方向被引入的区开始来产生结晶生产的区形成TFTs的激活层是非常有利的,因为它们的催化元素的浓度是低的。
在本优选的实施例中,由于溶液包含有催化元素,所以使用乙酸盐溶液;然而可以使用更多的溶液,并且能够使用水溶液或有机溶剂的溶液或类似的溶液。因此催化元素的不必非包含在一种化合物中,并且它可以通过简单的扩散而包含在溶液中。
由于制成的溶剂包括催化元素,所以能够交替地使用任何极性溶剂如水、酒精、酸或氨。
假如当镍被用作为催化剂并且该镍是包含在一种极性溶剂中时,该镍是以镍的化合物的形式被引入的。作为镍化合物,能够从例如溴化镍、乙酸镍、草酸镍、碳酸镍、氯化镍、碘化镍、硝酸镍、硫酸镍、甲酸镍、乙酰丙酮镍、4-环己基丁酸镍、氧化镍和氢化镍中选择镍化物来使用。
同样地作为溶剂能够使用下列中任一种非极性溶剂,即苯、甲苯、二甲苯、四氯化碳、氯仿和乙醚。
在这种情况下,镍作为一种镍的化合物被引入。作为这种镍化物,例如能够从乙酰丙酮镍和2-乙基己酸镍中选择镍化物来使用。
在含有催化元素的溶液中加一种清洗剂是有利的。是因为它能够提高与被覆盖的表面的粘着力并能够控制吸附性。这种清洗剂可以预先涂在要被覆盖的表面上。当单纯的镍被用作为催化元素时,需要把镍首先溶解在酸中制成一种溶液。
上述所讨论的是一个通过把催化元素镍完全地溶解来制成溶液的例子;然而,一种乳液类的物质可以被用来代替完成溶解的镍,在该物质中由单纯的镍或一种镍的化合物组成的粉剂在一种分散介质中均匀地扩散。另一方面能够利用一种用于形成氧化膜的溶液。象这类溶液能够利用由Tokyo  Ohka  Chemical  Indutries  Co.,Ltd制造的OCD(Ohka扩散源)。通过把OCD涂在一种非晶态硅膜上,并在大约200℃的温度下烘干它,一种氧化硅膜能够简单地被形成。随后能够使一种催化元素从该氧化硅膜扩散到非晶态硅膜中。
值得注意的是这些特点也适用于除了镍以外的一种材料被用作为催化元素的场合。
同样地通过使用一种非极性溶剂例如一种2-乙基己酸镍的甲苯溶液作为溶液,能够把该溶液直接地涂在非晶态硅的表面上。在这种情况下预先提供一种被用作保护层的类似粘合剂的材料是有利的。然而,由于当供给的量太大时它能够具有阻碍催化元素加到非晶态硅膜中的反作用,所以需要小心。
包含在溶液中的催化元素的量取决于溶液的类型。但是作为一段规律希望镍相对于溶液的量是1ppm至200ppm,并且最好为1ppm至50ppm(重量比)。这是在结晶完成之后考虑到镍的浓度和膜的抗氟酸性所确定的一个值。
例18
在本例18中描述了一种在根据本发明形成的TFT中结晶区、TFT的激活层(沟道区)、接触孔和加入催化元素的区之间的位置关系的例子。下面描述一种有源矩阵的一个象素部分。
图26(A)至26(F)示出了用于制造一种本例的TFT的步骤。首先,如在图26(A)中所示,利用溅射在一个衬底91上沉积一个厚度为2000
Figure 941032418_IMG80
的氧化硅基膜92。然后利用等离子体CVD法沉积一个厚度为300至1500
Figure 941032418_IMG81
,例如为800 ,的非晶态硅膜93。厚度为200至2000
Figure 941032418_IMG83
,例如为300
Figure 941032418_IMG84
,的氧化硅膜94被形成,并且被穿孔以便形成孔96a和96b。因而把氧化硅膜94制图成一个掩膜。然后利用溅射或如在例9中使用的旋转涂覆在整个表面上形成一层非常薄的镍膜或镍化合物膜95。
接下来在550℃的氮环境中进行退火4小时,通过该步骤,正好位于孔96a和96b下面的硅膜93的部分97a和97b变成了一种硅化物并且硅区98a和98b从该部分97a和97b开始结晶。端部部分具有高的镍浓度。(图26(B))。
在充分的结晶之后,从孔96a和96b进行的结晶在两孔的中间彼此相遇并且在两孔的中间停止结晶。一个具有高镍浓度的区99a保留在中间。在这种状态下利用一个激态基态化合物激光器和类似用在例8中的激光器可进一步进行光退火(图26(C))。
随后,由此获得的晶态硅膜被制图以便形成一个岛硅区400,如图26(D)中所示。一部分高镍浓度区97a和99c仍然是在硅区400中。接着利用等离子体CVD法形成一个厚度为700至2000
Figure 941032418_IMG85
,例如为1200
Figure 941032418_IMG86
,的氧化硅绝缘栅膜401(图26(D))。
此后利用与在例5中使用的相同方法形成一个铝栅电极402。围绕着栅电极402形成一个厚度1000至5000
Figure 941032418_IMG87
的阳极氧化层403。随后利用离子掺杂法扩散一种杂质来形成N-沟道杂质区404和405。栅电极应该被确定以致于高镍浓度区97a和99c是在正好位于栅电极下面的部分(沟道区)以外的区域上,如图26(E)中所示。
在掺杂之后,利用激光照射激活源极和漏极。接着沉积一夹层绝缘体406,并利用溅射形成一个具有厚度为500至1500 ,例如为800
Figure 941032418_IMG89
,的透明导电膜并且利用蚀刻使其被制图以便形成一个象素电极407。接着在夹层绝缘体406中形成接触孔,并且为源极和漏极形成金属电极408和409以便制成TFT。
希望形成离开高镍浓度区97a和99c的接触孔。通过设计接触孔能够实现这一愿望,以致于为了添加镍该接触孔不重叠孔96a和96b。另外,通过在接触孔形成期间硅膜的过腐蚀很容易地形成一种有缺陷的接触,其原因是与不包含镍的硅膜相比通过含有氟化氢族的一种溶液使高镍浓度区很容易地被腐蚀。在附图中在左边上的接触孔部分地叠在高镍浓度区97a上。希望至少一部分电极与一个没有加入镍的区相接触。
如上所述,本发明在这种意义上是一场革命,即它提供了一种在非晶态硅的结晶中利用低温度和短时间周期的领先的方法,此外,因为被用于实现本发明的设备、装置和技术是非常普通的和非常适合于大批量生产,所以本发明潜在的效益是巨大的。
例如,在普通的固相生长方法中因为需要使退火被保持至少24小时,所以如果对于一片衬底的衬底处理时间是2分钟,则需要15个退火炉,而使用本发明,由于退火所需时间被减小到小于4小时,所以退火炉的个数能够被降低到小于以前所需退火炉数的1/6。由本发明所产生的生产率的改进和在设备上投资的减小导致了在衬底处理费用上的减小,并且,因此减小了TFT的价格,以及导致了随后产生的对TFTs新的需要的激励作用。本发明具有如此的工业价值并且它值得得到一个专利。

Claims (35)

1、一种用于制造半导体的方法,包括:
在一个衬底上形成一个实质上为非晶态的硅膜;
在所述硅膜上形成一个催化元素膜,该催化元素包括至少从由镍、铁、钴、铂和钯组成的组中选择出的一种元素;
让实质上为非晶态的所述硅膜的一个上表面与所述催化元素起反应;
在所述反应之后除掉所述催化材料;和
利用加热使所述硅膜退火。
2、根据权利要求1的方法,其中在所述反应之后利用一种激光束或等效于一种激光束的光照射所述硅膜。
3、根据权利要求1的方法,其中所述退火步骤是在低于非晶态硅的常规结晶温度20至150℃的温度下进行。
4、根据权利要求1的方法,其中所述除掉步骤是利用氢氯酸或氢氟酸进行的。
5、根据权利要求1的方法,其中所述催化元素形成步骤是通过用一种溶剂涂在实质上非晶态硅膜上来进行的,所述溶剂包含从由镍、铁、钴、铂和钯组成的族中选择至少一种材料的盐。
6、根据权利要求1的方法,其中衬底包括一种玻璃,并且所述退火步骤是在不高于衬底的玻璃转变温度的一个温度下进行。
7、根据权利要求1的方法,其中所述的退火步骤是在450°至650℃的温度下进行。
8、一种用于制造半导体装置的方法,它包括下列步骤:
在一个衬底上有选择地形成一种物质层,该物质包括镍、铁、钴、铂和钯之中的至少一种物质;
在所述衬底形成步骤之后在所述衬底上形成非晶态硅膜;
在所述非晶态硅膜形成步骤之后对所述非晶态硅膜进行退火;和用光刻对所述硅膜制图来形成一个岛。
9、根据权利要求8的方法,其中在所述退火步骤之后用包含有氢氟或氢氯酸的一种酸对所述衬底进行处理。
10、根据权利要求9的方法,其中在所述退火步骤之后用激光或等效于的一种光速照射所述衬底。
11、一种用于制造半导体装置的方法,它包括下列步骤:
在一个衬底上形成一个实质上非晶态硅膜;
在所述非晶态硅膜形成步骤之后有选择地形成一种物质层,该物质包括镍、铁、钴、铂和钯之中的至少一种物质;
在所述物质形成步骤之后对实质上非晶态硅膜进行退火;和用光刻对所述硅膜进行制图来形成一个岛。
12、根据权利要求11的方法,其中在所述退火步骤之后利用包含有氢氟酸或氢氯酸的一种酸对所述衬底进行处理。
13、根据权利要求11的方法,其中在所述退火步骤之后利用激光或一种等效于激光的光束照射所述衬底。
14、一种用于制造半导体的方法,它包括:
在一个衬底上形成一种物质层,该物质包括镍、铁、钴、铂和钯之中的至少一种物质;
在该物质层上形成一个实质上非晶态硅膜;
在所述硅膜形成步骤之后,通过加热对该硅膜进行第一次退火;
在所述第一次退火步骤之后,在包含有氯或一种氯化物的环境中,以400至650℃的温度对该硅膜进行第二次退火。
15、根据权利要求14的方法,其中在所述第一次退火步骤之后,进一步包括利用激光或一种等效于激光的光束照射该硅膜的一个步骤。
16、根据权利要求14的方法,其中所述第一次退火步骤的退火温度低于非晶态硅的常规结晶温度20至150℃。
17、根据权利要求14的方法,其中衬底包括一种玻璃,并且所述第一次退火步骤的退火温度是低于该玻璃转变点温度。
18、根据权利要求14的方法,其中第一次退火步骤是在450°至650°的温度下进行。
19、一种用于制造半导体的方法,它包括:
在一个衬底上形成一种实质上为非晶态的硅膜;
在该硅膜上形成一个物质层,该物质包括镍、铁、钴、铂和钯之中的至少一种物质;
在所述物质层形成步骤之后对该硅膜进行第一次退火;
在所述第一次退火步骤之后,在包含有氯或一种氯化物的环境中以400至650℃的温度对该硅膜进行第二次退火。
20、根据权利要求19的方法,在所述第一次退火步骤之后进一步包括用激光或等效于激光的一种光束照射该硅膜的步骤。
21、根据权利要求19的方法,其中所述第一次退火步骤的退火温度低于非晶态硅的常规结晶温度20至150℃。
22、根据权利要求19的方法,其中衬底包括一种玻璃,并且所述第一次退火步骤的退火温度低于该玻璃的转变点的温度。
23、根据权利要求19的方法,其中所述第一次退火的步骤是450至650℃的温度下进行。
24、一种用于制造半导体的方法,它包括:
在一个衬底上形成一种物质层,该物质包括镍、铁、钴、铂和钯之中的至少一种物质;
在该物质层上形成一个实质上为非晶态的硅膜;
在所述硅膜形成步骤之后通过加热对该硅膜进行退火;和
在所述退火步骤之后用一种光束来照射该硅膜。
25、根据权利要求24的方法,其中所述光是一种激光或等效于激光的一种光。
26、根据权利要求24的方法,其中所述退火步骤是在450至650℃的温度下进行。
27、一种用于制造半导体的方法,它包括:
在一个衬底上形成一个实质上为非晶态的硅膜;
在该硅膜上形成一种物质层,该物质包括镍、铁、钴、铂和钯之中的至少一种物质;
在所述物质层形成步骤之后,利用加热对该硅膜进行退火;和
在所述退火步骤之后用一种光照射该硅膜。
28、根据权利要求27的方法,其中所述光是一种激光或一种等效于激光的光。
29、根据权利要求27的方法,其中所述退火步骤是在450至650℃的温度下进行。
30、一种薄膜晶体管,它包括:
一个硅膜;
一个设置在所述硅膜上的绝缘膜;和
一个设置在所述绝缘膜上的栅电极,
其中所述硅膜包括1×1015原子/cm3到5原子%的氢和1×1015原子/cm3到1原子%的至少镍、铁、钴、铂和钯之中的一种物质。
31、一种薄膜晶体管,它包括:
一个源和一个漏,其中所述源和所述漏之中的至少一个包括一个半导体,该半导体包括1×1015原子/cm3到5原子%的氢和1×1015原子/cm3到1原子%的至少镍、铁、钴、铂和钯之中的一种物质。
32、一种半导体,它包括:
一个晶态硅膜,该晶态硅膜包括浓度为从1×1015原子/cm3到5原子%的氢和浓度为1×1015原子/cm3到1原子%的从镍、铁、钴、铂和钯之中选择的至少一种物质。
33、根据权利要求32的半导体,其中该半导体包含各自为1×1019原子/cm3或更少的碳、氧和氮。
34、根据权利要求32的半导体,其中该硅膜的结晶是通过Raman散射谱测量来确定的。
35、根据权利要求32的半导体,其中该半导体是在一个绝缘表面上形成的。
CN94103241A 1993-02-15 1994-02-15 制造半导体器件的方法 Expired - Fee Related CN1052110C (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
JP48535/93 1993-02-15
JP5048531A JPH06244103A (ja) 1993-02-15 1993-02-15 半導体の製造方法
JP48533/1993 1993-02-15
JP5048535A JP3041497B2 (ja) 1993-02-15 1993-02-15 半導体の製造方法
JP48531/93 1993-02-15
JP48535/1993 1993-02-15
JP48531/1993 1993-02-15
JP4853393 1993-02-15
JP48533/93 1993-02-15

Publications (2)

Publication Number Publication Date
CN1098554A true CN1098554A (zh) 1995-02-08
CN1052110C CN1052110C (zh) 2000-05-03

Family

ID=27293320

Family Applications (1)

Application Number Title Priority Date Filing Date
CN94103241A Expired - Fee Related CN1052110C (zh) 1993-02-15 1994-02-15 制造半导体器件的方法

Country Status (6)

Country Link
US (5) US5639698A (zh)
EP (2) EP1119053B1 (zh)
KR (2) KR0171923B1 (zh)
CN (1) CN1052110C (zh)
DE (1) DE69428387T2 (zh)
TW (3) TW484190B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1086843C (zh) * 1995-09-21 2002-06-26 夏普株式会社 半导体电路及半导体器件
CN103560142A (zh) * 2010-12-10 2014-02-05 帝人株式会社 半导体层叠体、半导体装置,以及它们的制造方法
CN103972050A (zh) * 2014-05-14 2014-08-06 京东方科技集团股份有限公司 多晶硅薄膜、多晶硅薄膜晶体管及阵列基板的制备方法
CN104919094A (zh) * 2012-11-21 2015-09-16 葛迪恩实业公司 用于光伏器件或类似等的多晶硅厚膜及制备其的方法

Families Citing this family (299)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6975296B1 (en) * 1991-06-14 2005-12-13 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method of driving the same
CN100483651C (zh) 1992-08-27 2009-04-29 株式会社半导体能源研究所 半导体器件的制造方法
JP3165304B2 (ja) * 1992-12-04 2001-05-14 株式会社半導体エネルギー研究所 半導体装置の作製方法及び半導体処理装置
US6323071B1 (en) 1992-12-04 2001-11-27 Semiconductor Energy Laboratory Co., Ltd. Method for forming a semiconductor device
TW226478B (en) * 1992-12-04 1994-07-11 Semiconductor Energy Res Co Ltd Semiconductor device and method for manufacturing the same
US5403762A (en) 1993-06-30 1995-04-04 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a TFT
US5985741A (en) * 1993-02-15 1999-11-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
JP3562588B2 (ja) * 1993-02-15 2004-09-08 株式会社半導体エネルギー研究所 半導体装置の製造方法
CN1052110C (zh) * 1993-02-15 2000-05-03 株式会社半导体能源研究所 制造半导体器件的方法
US6997985B1 (en) * 1993-02-15 2006-02-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor, semiconductor device, and method for fabricating the same
US6413805B1 (en) 1993-03-12 2002-07-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device forming method
TW241377B (zh) 1993-03-12 1995-02-21 Semiconductor Energy Res Co Ltd
US5501989A (en) * 1993-03-22 1996-03-26 Semiconductor Energy Laboratory Co., Ltd. Method of making semiconductor device/circuit having at least partially crystallized semiconductor layer
US5818076A (en) 1993-05-26 1998-10-06 Semiconductor Energy Laboratory Co., Ltd. Transistor and semiconductor device
US6090646A (en) * 1993-05-26 2000-07-18 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device
KR100355938B1 (ko) * 1993-05-26 2002-12-16 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치제작방법
KR100186886B1 (ko) * 1993-05-26 1999-04-15 야마자끼 승페이 반도체장치 제작방법
US6713330B1 (en) 1993-06-22 2004-03-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor
US5488000A (en) 1993-06-22 1996-01-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor using a nickel silicide layer to promote crystallization of the amorphous silicon layer
US6730549B1 (en) 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
TW295703B (zh) * 1993-06-25 1997-01-11 Handotai Energy Kenkyusho Kk
TW369686B (en) * 1993-07-27 1999-09-11 Semiconductor Energy Lab Corp Semiconductor device and process for fabricating the same
US5663077A (en) 1993-07-27 1997-09-02 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor in which the gate insulator comprises two oxide films
JP2814049B2 (ja) 1993-08-27 1998-10-22 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
TW264575B (zh) * 1993-10-29 1995-12-01 Handotai Energy Kenkyusho Kk
CN100367461C (zh) 1993-11-05 2008-02-06 株式会社半导体能源研究所 一种制造薄膜晶体管和电子器件的方法
US6897100B2 (en) 1993-11-05 2005-05-24 Semiconductor Energy Laboratory Co., Ltd. Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device
US5869362A (en) * 1993-12-02 1999-02-09 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
JP2860869B2 (ja) * 1993-12-02 1999-02-24 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
CN100472752C (zh) 1993-12-02 2009-03-25 株式会社半导体能源研究所 半导体器件的制造方法
US6798023B1 (en) * 1993-12-02 2004-09-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising first insulating film, second insulating film comprising organic resin on the first insulating film, and pixel electrode over the second insulating film
US6074901A (en) * 1993-12-03 2000-06-13 Semiconductor Energy Laboratory Co., Ltd. Process for crystallizing an amorphous silicon film and apparatus for fabricating the same
KR100319332B1 (ko) * 1993-12-22 2002-04-22 야마자끼 순페이 반도체장치및전자광학장치
JP3221473B2 (ja) 1994-02-03 2001-10-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6884698B1 (en) * 1994-02-23 2005-04-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device with crystallization of amorphous silicon
US6700133B1 (en) 1994-03-11 2004-03-02 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device
JP3150840B2 (ja) * 1994-03-11 2001-03-26 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3540012B2 (ja) * 1994-06-07 2004-07-07 株式会社半導体エネルギー研究所 半導体装置作製方法
TW273639B (en) * 1994-07-01 1996-04-01 Handotai Energy Kenkyusho Kk Method for producing semiconductor device
TW345705B (en) 1994-07-28 1998-11-21 Handotai Energy Kenkyusho Kk Laser processing method
JP3897826B2 (ja) * 1994-08-19 2007-03-28 株式会社半導体エネルギー研究所 アクティブマトリクス型の表示装置
JP3464285B2 (ja) * 1994-08-26 2003-11-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH0869967A (ja) * 1994-08-26 1996-03-12 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
TW403993B (en) * 1994-08-29 2000-09-01 Semiconductor Energy Lab Semiconductor circuit for electro-optical device and method of manufacturing the same
US6706572B1 (en) 1994-08-31 2004-03-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film transistor using a high pressure oxidation step
JP3359794B2 (ja) * 1994-08-31 2002-12-24 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH0878693A (ja) * 1994-08-31 1996-03-22 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP3442500B2 (ja) * 1994-08-31 2003-09-02 株式会社半導体エネルギー研究所 半導体回路の作製方法
TW374247B (en) * 1994-09-15 1999-11-11 Semiconductor Energy Lab Co Ltd Method of fabricating semiconductor device
US5712191A (en) * 1994-09-16 1998-01-27 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device
US6242289B1 (en) 1995-09-08 2001-06-05 Semiconductor Energy Laboratories Co., Ltd. Method for producing semiconductor device
US5915174A (en) * 1994-09-30 1999-06-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for producing the same
US6300659B1 (en) 1994-09-30 2001-10-09 Semiconductor Energy Laboratory Co., Ltd. Thin-film transistor and fabrication method for same
JP3277082B2 (ja) * 1994-11-22 2002-04-22 シャープ株式会社 半導体装置およびその製造方法
JP3418647B2 (ja) * 1994-12-09 2003-06-23 株式会社半導体エネルギー研究所 半導体装置作製方法および結晶成長促進剤
US6337229B1 (en) * 1994-12-16 2002-01-08 Semiconductor Energy Laboratory Co., Ltd. Method of making crystal silicon semiconductor and thin film transistor
TW303526B (zh) * 1994-12-27 1997-04-21 Matsushita Electric Ind Co Ltd
JP4130237B2 (ja) * 1995-01-28 2008-08-06 株式会社半導体エネルギー研究所 結晶性珪素膜の作製方法及び半導体装置の作製方法
TW305063B (zh) * 1995-02-02 1997-05-11 Handotai Energy Kenkyusho Kk
US6011607A (en) 1995-02-15 2000-01-04 Semiconductor Energy Laboratory Co., Active matrix display with sealing material
JP3364081B2 (ja) 1995-02-16 2003-01-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3778456B2 (ja) 1995-02-21 2006-05-24 株式会社半導体エネルギー研究所 絶縁ゲイト型薄膜半導体装置の作製方法
JP3138169B2 (ja) * 1995-03-13 2001-02-26 シャープ株式会社 半導体装置の製造方法
US5834327A (en) 1995-03-18 1998-11-10 Semiconductor Energy Laboratory Co., Ltd. Method for producing display device
KR100265179B1 (ko) 1995-03-27 2000-09-15 야마자끼 순페이 반도체장치와 그의 제작방법
US7075002B1 (en) 1995-03-27 2006-07-11 Semiconductor Energy Laboratory Company, Ltd. Thin-film photoelectric conversion device and a method of manufacturing the same
TW447144B (en) * 1995-03-27 2001-07-21 Semiconductor Energy Lab Semiconductor device and a method of manufacturing the same
JP3216861B2 (ja) * 1995-04-10 2001-10-09 シャープ株式会社 多結晶シリコン膜の形成方法および薄膜トランジスタの製造方法
US6933182B1 (en) * 1995-04-20 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device and manufacturing system thereof
TW383502B (en) 1995-06-01 2000-03-01 Seniconductor Energy Lab Kk Method of manufacturing semiconductor device
US6337109B1 (en) * 1995-06-07 2002-01-08 Semiconductor Energy Laboratory Co., Ltd. Method of producing crystalline semiconductor
US5771110A (en) * 1995-07-03 1998-06-23 Sanyo Electric Co., Ltd. Thin film transistor device, display device and method of fabricating the same
US6790714B2 (en) 1995-07-03 2004-09-14 Sanyo Electric Co., Ltd. Semiconductor device, display device and method of fabricating the same
JP4056571B2 (ja) 1995-08-02 2008-03-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3295679B2 (ja) * 1995-08-04 2002-06-24 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW371796B (en) 1995-09-08 1999-10-11 Semiconductor Energy Lab Co Ltd Method and apparatus for manufacturing a semiconductor device
JPH09146108A (ja) * 1995-11-17 1997-06-06 Semiconductor Energy Lab Co Ltd 液晶表示装置およびその駆動方法
JP3917205B2 (ja) 1995-11-30 2007-05-23 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3907726B2 (ja) 1995-12-09 2007-04-18 株式会社半導体エネルギー研究所 微結晶シリコン膜の作製方法、半導体装置の作製方法及び光電変換装置の作製方法
JP3124480B2 (ja) * 1995-12-12 2001-01-15 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW319912B (zh) * 1995-12-15 1997-11-11 Handotai Energy Kenkyusho Kk
US6204101B1 (en) 1995-12-15 2001-03-20 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
JP3477301B2 (ja) 1995-12-19 2003-12-10 株式会社半導体エネルギー研究所 アクティブマトリクス型液晶表示装置及びその製造方法
JPH09171192A (ja) 1995-12-19 1997-06-30 Semiconductor Energy Lab Co Ltd アクティブマトリクス型液晶表示装置及びその製造方 法
JP3737176B2 (ja) 1995-12-21 2006-01-18 株式会社半導体エネルギー研究所 液晶表示装置
JP3645379B2 (ja) * 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3729955B2 (ja) 1996-01-19 2005-12-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3645380B2 (ja) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法、情報端末、ヘッドマウントディスプレイ、ナビゲーションシステム、携帯電話、ビデオカメラ、投射型表示装置
US6478263B1 (en) * 1997-01-17 2002-11-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
JP3645378B2 (ja) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5985740A (en) 1996-01-19 1999-11-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device including reduction of a catalyst
US5888858A (en) * 1996-01-20 1999-03-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method thereof
US6331457B1 (en) * 1997-01-24 2001-12-18 Semiconductor Energy Laboratory., Ltd. Co. Method for manufacturing a semiconductor thin film
US7056381B1 (en) * 1996-01-26 2006-06-06 Semiconductor Energy Laboratory Co., Ltd. Fabrication method of semiconductor device
US6180439B1 (en) * 1996-01-26 2001-01-30 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device
US6449024B1 (en) 1996-01-26 2002-09-10 Semiconductor Energy Laboratory Co., Inc. Liquid crystal electro-optical device utilizing a polymer with an anisotropic refractive index
US6465287B1 (en) 1996-01-27 2002-10-15 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device using a metal catalyst and high temperature crystallization
US6697129B1 (en) 1996-02-14 2004-02-24 Semiconductor Energy Laboratory Co., Ltd. Guest-host mode liquid crystal display device of lateral electric field driving type
US6055028A (en) * 1996-02-14 2000-04-25 Semiconductor Energy Laboratory Co., Ltd. Liquid crystal electro-optical device
US6063654A (en) * 1996-02-20 2000-05-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor involving laser treatment
TW317643B (zh) * 1996-02-23 1997-10-11 Handotai Energy Kenkyusho Kk
TW374196B (en) 1996-02-23 1999-11-11 Semiconductor Energy Lab Co Ltd Semiconductor thin film and method for manufacturing the same and semiconductor device and method for manufacturing the same
TW335503B (en) 1996-02-23 1998-07-01 Semiconductor Energy Lab Kk Semiconductor thin film and manufacturing method and semiconductor device and its manufacturing method
JP3472024B2 (ja) 1996-02-26 2003-12-02 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3708620B2 (ja) * 1996-03-01 2005-10-19 株式会社半導体エネルギー研究所 アクティブマトリクス型液晶電気光学装置
US6100562A (en) * 1996-03-17 2000-08-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6133119A (en) 1996-07-08 2000-10-17 Semiconductor Energy Laboratory Co., Ltd. Photoelectric conversion device and method manufacturing same
US8603870B2 (en) 1996-07-11 2013-12-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
TW556263B (en) 1996-07-11 2003-10-01 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
US5773329A (en) * 1996-07-24 1998-06-30 International Business Machines Corporation Polysilicon grown by pulsed rapid thermal annealing
US6590230B1 (en) 1996-10-15 2003-07-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
TW451284B (en) 1996-10-15 2001-08-21 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
JP3683660B2 (ja) 1996-10-16 2005-08-17 株式会社半導体エネルギー研究所 投射型カラー画像表示装置およびその駆動方法
JP3788649B2 (ja) * 1996-11-22 2006-06-21 株式会社半導体エネルギー研究所 液晶表示装置
JPH10228248A (ja) * 1996-12-09 1998-08-25 Semiconductor Energy Lab Co Ltd アクティブマトリクス表示装置およびその作製方法
JP3917698B2 (ja) * 1996-12-12 2007-05-23 株式会社半導体エネルギー研究所 レーザーアニール方法およびレーザーアニール装置
JPH10199807A (ja) 1996-12-27 1998-07-31 Semiconductor Energy Lab Co Ltd 結晶性珪素膜の作製方法
JPH10198292A (ja) 1996-12-30 1998-07-31 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
US6011275A (en) 1996-12-30 2000-01-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US6331722B1 (en) 1997-01-18 2001-12-18 Semiconductor Energy Laboratory Co., Ltd. Hybrid circuit and electronic device using same
US6355509B1 (en) 1997-01-28 2002-03-12 Semiconductor Energy Laboratory Co., Ltd. Removing a crystallization catalyst from a semiconductor film during semiconductor device fabrication
JPH10223532A (ja) * 1997-02-10 1998-08-21 Semiconductor Energy Lab Co Ltd 半導体の作製方法及び半導体装置の作製方法
US6830616B1 (en) * 1997-02-10 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor and manufacturing method of semiconductor device
JP3942683B2 (ja) 1997-02-12 2007-07-11 株式会社半導体エネルギー研究所 半導体装置作製方法
JP3973723B2 (ja) * 1997-02-12 2007-09-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3976828B2 (ja) * 1997-02-17 2007-09-19 株式会社半導体エネルギー研究所 結晶性珪素膜の作製方法
JP3765902B2 (ja) 1997-02-19 2006-04-12 株式会社半導体エネルギー研究所 半導体装置の作製方法および電子デバイスの作製方法
JP4401448B2 (ja) * 1997-02-24 2010-01-20 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4242461B2 (ja) 1997-02-24 2009-03-25 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3844552B2 (ja) 1997-02-26 2006-11-15 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW379360B (en) 1997-03-03 2000-01-11 Semiconductor Energy Lab Method of manufacturing a semiconductor device
US5994164A (en) * 1997-03-18 1999-11-30 The Penn State Research Foundation Nanostructure tailoring of material properties using controlled crystallization
JP3544280B2 (ja) 1997-03-27 2004-07-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH10282414A (ja) * 1997-04-09 1998-10-23 Canon Inc ズームレンズ
US6241817B1 (en) * 1997-05-24 2001-06-05 Jin Jang Method for crystallizing amorphous layer
US6541793B2 (en) 1997-05-30 2003-04-01 Semiconductor Energy Laboratory Co., Ltd. Thin-film transistor and semiconductor device using thin-film transistors
JP3376247B2 (ja) * 1997-05-30 2003-02-10 株式会社半導体エネルギー研究所 薄膜トランジスタ及び薄膜トランジスタを用いた半導体装置
US6307214B1 (en) 1997-06-06 2001-10-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor thin film and semiconductor device
JP3844561B2 (ja) 1997-06-10 2006-11-15 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6501094B1 (en) * 1997-06-11 2002-12-31 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising a bottom gate type thin film transistor
JP3717634B2 (ja) * 1997-06-17 2005-11-16 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6066547A (en) * 1997-06-20 2000-05-23 Sharp Laboratories Of America, Inc. Thin-film transistor polycrystalline film formation by nickel induced, rapid thermal annealing method
JP3295346B2 (ja) * 1997-07-14 2002-06-24 株式会社半導体エネルギー研究所 結晶性珪素膜の作製方法及びそれを用いた薄膜トランジスタ
JP3830623B2 (ja) 1997-07-14 2006-10-04 株式会社半導体エネルギー研究所 結晶性半導体膜の作製方法
US6326226B1 (en) 1997-07-15 2001-12-04 Lg. Philips Lcd Co., Ltd. Method of crystallizing an amorphous film
US5940693A (en) * 1997-07-15 1999-08-17 Sharp Laboratories Of America, Inc. Selective silicide thin-film transistor and method for same
JP3754184B2 (ja) 1997-07-16 2006-03-08 株式会社半導体エネルギー研究所 薄膜トランジスタを備えたフラットパネルディスプレイの作製方法
JP3974229B2 (ja) 1997-07-22 2007-09-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH1140498A (ja) 1997-07-22 1999-02-12 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP3939399B2 (ja) * 1997-07-22 2007-07-04 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4318768B2 (ja) 1997-07-23 2009-08-26 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4180689B2 (ja) 1997-07-24 2008-11-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5950078A (en) * 1997-09-19 1999-09-07 Sharp Laboratories Of America, Inc. Rapid thermal annealing with absorptive layers for thin film transistors on transparent substrates
KR100269312B1 (ko) * 1997-10-14 2000-10-16 윤종용 실리콘막의결정화방법및이를이용한박막트랜지스터-액정표시장치(tft-lcd)의제조방법
US5937315A (en) * 1997-11-07 1999-08-10 Advanced Micro Devices, Inc. Self-aligned silicide gate technology for advanced submicron MOS devices
JPH11145056A (ja) * 1997-11-07 1999-05-28 Sony Corp 半導体材料
JP3107024B2 (ja) * 1997-12-09 2000-11-06 日本電気株式会社 薄膜トランジスタの製造方法
JP4376979B2 (ja) 1998-01-12 2009-12-02 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH11204434A (ja) * 1998-01-12 1999-07-30 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP4236722B2 (ja) * 1998-02-05 2009-03-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6060392A (en) * 1998-02-11 2000-05-09 National Semiconductor Corporation Fabrication of silicides by excimer laser annealing of amorphous silicon
US6821710B1 (en) 1998-02-11 2004-11-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US6444390B1 (en) 1998-02-18 2002-09-03 Semiconductor Energy Laboratory Co., Ltd. Process for producing semiconductor thin film devices using group 14 element and high temperature oxidizing treatment to achieve a crystalline silicon film
EP1065665A4 (en) * 1998-02-23 2004-10-06 Toshiba Kk INFORMATION RECORDING MEDIUM AND INFORMATION RECORDING / PLAYBACK METHOD
JP3980159B2 (ja) 1998-03-05 2007-09-26 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6657225B1 (en) * 1998-03-25 2003-12-02 Seiko Epson Corporation Semiconductor component, active matrix substrate for a liquid crystal display, and methods of manufacturing such component and substrate
US6482684B1 (en) 1998-03-27 2002-11-19 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a TFT with Ge seeded amorphous Si layer
US6312979B1 (en) 1998-04-28 2001-11-06 Lg.Philips Lcd Co., Ltd. Method of crystallizing an amorphous silicon layer
JP2000039628A (ja) 1998-05-16 2000-02-08 Semiconductor Energy Lab Co Ltd 半導体表示装置
US6228693B1 (en) * 1998-06-05 2001-05-08 Sharp Laboratories Of America, Inc. Selected site, metal-induced, continuous crystallization method
US6015752A (en) * 1998-06-30 2000-01-18 Advanced Micro Devices, Inc. Elevated salicide technology
US6524662B2 (en) * 1998-07-10 2003-02-25 Jin Jang Method of crystallizing amorphous silicon layer and crystallizing apparatus thereof
US7294535B1 (en) 1998-07-15 2007-11-13 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US7153729B1 (en) * 1998-07-15 2006-12-26 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US6346437B1 (en) * 1998-07-16 2002-02-12 Sharp Laboratories Of America, Inc. Single crystal TFT from continuous transition metal delivery method
JP3592535B2 (ja) 1998-07-16 2004-11-24 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7282398B2 (en) * 1998-07-17 2007-10-16 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device and method of fabricating the same
US7084016B1 (en) * 1998-07-17 2006-08-01 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
JP2000058839A (ja) 1998-08-05 2000-02-25 Semiconductor Energy Lab Co Ltd 半導体素子からなる半導体回路を備えた半導体装置およびその作製方法
US6559036B1 (en) 1998-08-07 2003-05-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US6294441B1 (en) 1998-08-18 2001-09-25 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6271131B1 (en) 1998-08-26 2001-08-07 Micron Technology, Inc. Methods for forming rhodium-containing layers such as platinum-rhodium barrier layers
US6323081B1 (en) 1998-09-03 2001-11-27 Micron Technology, Inc. Diffusion barrier layers and methods of forming same
US6784034B1 (en) 1998-10-13 2004-08-31 Lg. Philips Lcd Co., Ltd. Method for fabricating a thin film transistor
US6284655B1 (en) 1998-09-03 2001-09-04 Micron Technology, Inc. Method for producing low carbon/oxygen conductive layers
US6239028B1 (en) * 1998-09-03 2001-05-29 Micron Technology, Inc. Methods for forming iridium-containing films on substrates
US6204172B1 (en) 1998-09-03 2001-03-20 Micron Technology, Inc. Low temperature deposition of barrier layers
US6392810B1 (en) 1998-10-05 2002-05-21 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus, laser irradiation method, beam homogenizer, semiconductor device, and method of manufacturing the semiconductor device
JP2000174282A (ja) * 1998-12-03 2000-06-23 Semiconductor Energy Lab Co Ltd 半導体装置
US6287698B1 (en) 1998-12-08 2001-09-11 H. B. Fuller Licensing & Financing, Inc. Process for improving hydrolysis resistance of polyurethane dispersion adhesives and bonded assemblies produced therefrom
US6380007B1 (en) 1998-12-28 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
JP3331999B2 (ja) * 1999-02-09 2002-10-07 日本電気株式会社 半導体薄膜の製造方法
JP2001007342A (ja) * 1999-04-20 2001-01-12 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
US6329286B1 (en) 1999-04-27 2001-12-11 Micron Technology, Inc. Methods for forming conformal iridium layers on substrates
US6878968B1 (en) * 1999-05-10 2005-04-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US6680487B1 (en) * 1999-05-14 2004-01-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor comprising a TFT provided on a substrate having an insulating surface and method of fabricating the same
JP4298131B2 (ja) * 1999-05-14 2009-07-15 株式会社半導体エネルギー研究所 液晶表示装置の作製方法
KR100317641B1 (ko) 1999-05-21 2001-12-22 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
KR100317639B1 (ko) * 1999-05-21 2001-12-22 구본준, 론 위라하디락사 박막 트랜지스터와 액정표시장치 및 그 제조방법
TW459275B (en) 1999-07-06 2001-10-11 Semiconductor Energy Lab Semiconductor device and method of fabricating the same
JP3432187B2 (ja) * 1999-09-22 2003-08-04 シャープ株式会社 半導体装置の製造方法
KR20050013669A (ko) * 1999-10-04 2005-02-04 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의 제조방법
JP3579316B2 (ja) * 1999-10-19 2004-10-20 三洋電機株式会社 半導体装置の製造方法
US7232742B1 (en) 1999-11-26 2007-06-19 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device that includes forming a material with a high tensile stress in contact with a semiconductor film to getter impurities from the semiconductor film
TW473800B (en) * 1999-12-28 2002-01-21 Semiconductor Energy Lab Method of manufacturing a semiconductor device
KR100660814B1 (ko) * 1999-12-31 2006-12-26 엘지.필립스 엘시디 주식회사 박막트랜지스터의 반도체층 형성방법
GB2358084B (en) * 2000-01-07 2004-02-18 Seiko Epson Corp Semiconductor transistor
US7071041B2 (en) * 2000-01-20 2006-07-04 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
TW503439B (en) * 2000-01-21 2002-09-21 United Microelectronics Corp Combination structure of passive element and logic circuit on silicon on insulator wafer
US6916693B2 (en) * 2000-03-08 2005-07-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7098084B2 (en) 2000-03-08 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
TW495995B (en) * 2000-04-04 2002-07-21 Matsushita Electric Ind Co Ltd Method and apparatus for producing thin film, and thin film transistor and method of producing same
US6291255B1 (en) * 2000-05-22 2001-09-18 Industrial Technology Research Institute TFT process with high transmittance
JP2001345451A (ja) * 2000-05-30 2001-12-14 Hitachi Ltd 薄膜半導体集積回路装置、それを用いた画像表示装置、及びその製造方法
US20020060321A1 (en) 2000-07-14 2002-05-23 Kazlas Peter T. Minimally- patterned, thin-film semiconductor devices for display applications
US6660631B1 (en) * 2000-08-31 2003-12-09 Micron Technology, Inc. Devices containing platinum-iridium films and methods of preparing such films and devices
US6599818B2 (en) * 2000-10-10 2003-07-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device manufacturing method, heat treatment apparatus, and heat treatment method
JP2002176000A (ja) * 2000-12-05 2002-06-21 Semiconductor Energy Lab Co Ltd 熱処理装置及び半導体装置の製造方法
US7045444B2 (en) 2000-12-19 2006-05-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device that includes selectively adding a noble gas element
US7534977B2 (en) * 2000-12-28 2009-05-19 Semiconductor Energy Laboratory Co., Ltd. Heat treatment apparatus and method of manufacturing a semiconductor device
KR100715908B1 (ko) * 2000-12-29 2007-05-08 엘지.필립스 엘시디 주식회사 박막 트랜지스터 및 그 제조방법
KR20020057382A (ko) * 2001-01-04 2002-07-11 주승기 반도체 소자 제조 방법 및 장치
US6858480B2 (en) * 2001-01-18 2005-02-22 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
TW586141B (en) * 2001-01-19 2004-05-01 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
JP3940560B2 (ja) * 2001-01-25 2007-07-04 独立行政法人産業技術総合研究所 半導体装置の製造方法
US7115453B2 (en) * 2001-01-29 2006-10-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
US6770518B2 (en) * 2001-01-29 2004-08-03 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
JP2002231627A (ja) * 2001-01-30 2002-08-16 Semiconductor Energy Lab Co Ltd 光電変換装置の作製方法
US7141822B2 (en) * 2001-02-09 2006-11-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JP4993810B2 (ja) 2001-02-16 2012-08-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP5088993B2 (ja) * 2001-02-16 2012-12-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
SG114529A1 (en) * 2001-02-23 2005-09-28 Semiconductor Energy Lab Method of manufacturing a semiconductor device
SG143975A1 (en) 2001-02-28 2008-07-29 Semiconductor Energy Lab Method of manufacturing a semiconductor device
SG179310A1 (en) * 2001-02-28 2012-04-27 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
US6830994B2 (en) * 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
JP2002270507A (ja) * 2001-03-14 2002-09-20 Hitachi Cable Ltd 結晶シリコン層の形成方法および結晶シリコン半導体装置
JP4718700B2 (ja) 2001-03-16 2011-07-06 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7052943B2 (en) 2001-03-16 2006-05-30 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6812081B2 (en) * 2001-03-26 2004-11-02 Semiconductor Energy Laboratory Co.,.Ltd. Method of manufacturing semiconductor device
US6855584B2 (en) * 2001-03-29 2005-02-15 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP2001332496A (ja) * 2001-03-30 2001-11-30 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP2001338877A (ja) * 2001-03-30 2001-12-07 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US7253032B2 (en) * 2001-04-20 2007-08-07 Semiconductor Energy Laboratory Co., Ltd. Method of flattening a crystallized semiconductor film surface by using a plate
JP4854866B2 (ja) * 2001-04-27 2012-01-18 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7067416B2 (en) * 2001-08-29 2006-06-27 Micron Technology, Inc. Method of forming a conductive contact
JP4108308B2 (ja) * 2001-09-18 2008-06-25 本田技研工業株式会社 ジェット推進艇の暗証番号解除方法
TWI291729B (en) 2001-11-22 2007-12-21 Semiconductor Energy Lab A semiconductor fabricating apparatus
JP2003163221A (ja) * 2001-11-28 2003-06-06 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US7133737B2 (en) 2001-11-30 2006-11-07 Semiconductor Energy Laboratory Co., Ltd. Program for controlling laser apparatus and recording medium for recording program for controlling laser apparatus and capable of being read out by computer
CN100508140C (zh) * 2001-11-30 2009-07-01 株式会社半导体能源研究所 用于半导体器件的制造方法
US7214573B2 (en) * 2001-12-11 2007-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device that includes patterning sub-islands
JP3992976B2 (ja) * 2001-12-21 2007-10-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4030758B2 (ja) 2001-12-28 2008-01-09 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7413966B2 (en) * 2001-12-29 2008-08-19 Lg Phillips Lcd Co., Ltd Method of fabricating polysilicon thin film transistor with catalyst
CN100347820C (zh) * 2002-05-22 2007-11-07 统宝香港控股有限公司 有源矩阵显示器件及其制作
US6908797B2 (en) * 2002-07-09 2005-06-21 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP3949027B2 (ja) * 2002-08-06 2007-07-25 富士通株式会社 アナログスイッチ回路
US6861338B2 (en) * 2002-08-22 2005-03-01 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor and method of manufacturing the same
KR100930362B1 (ko) 2002-11-04 2009-12-08 엘지디스플레이 주식회사 다결정 실리콘막 형성방법과 이를 포함한박막트랜지스터의 제조방법
JP4115252B2 (ja) * 2002-11-08 2008-07-09 シャープ株式会社 半導体膜およびその製造方法ならびに半導体装置およびその製造方法
US7232715B2 (en) * 2002-11-15 2007-06-19 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating semiconductor film and semiconductor device and laser processing apparatus
US7374976B2 (en) * 2002-11-22 2008-05-20 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating thin film transistor
JP4097521B2 (ja) * 2002-12-27 2008-06-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6929564B2 (en) * 2003-01-08 2005-08-16 Taylor Made Golf Company, Inc. Golf club head
JP4059095B2 (ja) * 2003-02-07 2008-03-12 セイコーエプソン株式会社 相補型薄膜トランジスタ回路、電気光学装置、電子機器
TWI254456B (en) * 2003-06-12 2006-05-01 Ind Tech Res Inst A thermal plate crystallization method
US7282738B2 (en) 2003-07-18 2007-10-16 Corning Incorporated Fabrication of crystalline materials over substrates
US7071022B2 (en) 2003-07-18 2006-07-04 Corning Incorporated Silicon crystallization using self-assembled monolayers
US6939754B2 (en) * 2003-08-13 2005-09-06 Sharp Laboratories Of America, Inc. Isotropic polycrystalline silicon and method for producing same
US7964925B2 (en) * 2006-10-13 2011-06-21 Hewlett-Packard Development Company, L.P. Photodiode module and apparatus including multiple photodiode modules
CN1691277B (zh) * 2004-03-26 2010-05-26 株式会社半导体能源研究所 用于制造半导体器件的方法
US7288480B2 (en) * 2004-04-23 2007-10-30 Semiconductor Energy Laboratory Co., Ltd. Thin film integrated circuit and method for manufacturing the same, CPU, memory, electronic card and electronic device
US7557375B2 (en) * 2004-08-05 2009-07-07 California Institute Of Technology Method for fabricating crystalline silicon
US7683373B2 (en) * 2004-10-05 2010-03-23 Samsung Mobile Display Co., Ltd. Thin film transistor and method of fabricating the same
US7365410B2 (en) * 2004-10-29 2008-04-29 Freescale, Semiconductor, Inc. Semiconductor structure having a metallic buffer layer and method for forming
TWI382455B (zh) * 2004-11-04 2013-01-11 Semiconductor Energy Lab 半導體裝置和其製造方法
US7575959B2 (en) * 2004-11-26 2009-08-18 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US20060197088A1 (en) * 2005-03-07 2006-09-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
US7863660B2 (en) * 2005-05-31 2011-01-04 Sharp Kabushiki Kaisha Photodiode and display device
JP4434080B2 (ja) 2005-06-03 2010-03-17 トヨタ自動車株式会社 絶縁ゲート型半導体装置およびその製造方法
KR100646937B1 (ko) * 2005-08-22 2006-11-23 삼성에스디아이 주식회사 다결정 실리콘 박막트랜지스터 및 그 제조방법
US20070117287A1 (en) * 2005-11-23 2007-05-24 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus
JP5352081B2 (ja) * 2006-12-20 2013-11-27 株式会社半導体エネルギー研究所 半導体装置の作製方法
KR100839735B1 (ko) 2006-12-29 2008-06-19 삼성에스디아이 주식회사 트랜지스터, 이의 제조 방법 및 이를 구비한 평판 표시장치
US20080179762A1 (en) * 2007-01-25 2008-07-31 Au Optronics Corporation Layered structure with laser-induced aggregation silicon nano-dots in a silicon-rich dielectric layer, and applications of the same
US7754600B2 (en) 2007-03-01 2010-07-13 Hewlett-Packard Development Company, L.P. Methods of forming nanostructures on metal-silicide crystallites, and resulting structures and devices
TWI464510B (zh) 2007-07-20 2014-12-11 Semiconductor Energy Lab 液晶顯示裝置
KR101329352B1 (ko) * 2007-10-17 2013-11-13 삼성전자주식회사 반도체 장치의 제조방법
JP5436101B2 (ja) * 2008-09-05 2014-03-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
TWI384534B (zh) * 2009-01-23 2013-02-01 Univ Nat Chiao Tung A Method for Improving the Properties of Lateral Crystalline Layer by Using Metal to Improve Metal
TWI455186B (zh) * 2009-01-23 2014-10-01 Univ Nat Chiao Tung Fabrication of metal - induced crystals by ion implantation
KR101088457B1 (ko) * 2009-04-07 2011-12-01 주식회사 테라세미콘 금속 촉매를 이용한 폴리 실리콘 마스크의 제조방법 및 이를 이용한 반도체 소자의 제조방법
FR2950477A1 (fr) * 2009-09-18 2011-03-25 Commissariat Energie Atomique Procede de preparation d'une couche mince de silicium polycristallin
KR101049802B1 (ko) * 2009-11-20 2011-07-15 삼성모바일디스플레이주식회사 다결정 실리콘층의 제조방법, 박막트랜지스터, 그를 구비하는 유기전계발광표시장치 및 그들의 제조방법
US8232148B2 (en) * 2010-03-04 2012-07-31 International Business Machines Corporation Structure and method to make replacement metal gate and contact metal
DE102012213077A1 (de) * 2012-07-25 2014-01-30 Robert Bosch Gmbh Verfahren zum Kontaktieren eines Halbleitermaterials mit einer Kontaktlage
KR102048941B1 (ko) 2013-04-12 2020-01-09 삼성디스플레이 주식회사 가요성 기판 및 그 제조 방법, 유기 발광 표시 장치
TWI593024B (zh) * 2015-07-24 2017-07-21 友達光電股份有限公司 薄膜電晶體的製造方法
CN111509061B (zh) * 2020-03-20 2023-10-20 中国科学院宁波材料技术与工程研究所 p型多晶硅薄膜制备方法及其在钝化接触太阳电池中的应用

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US28386A (en) * 1860-05-22 Improvement in seed-planters
US28385A (en) * 1860-05-22 Improvement in apparatus for clarifying cane-juice
US3783049A (en) * 1971-03-31 1974-01-01 Trw Inc Method of platinum diffusion
US4231809A (en) * 1979-05-25 1980-11-04 Bell Telephone Laboratories, Incorporated Method of removing impurity metals from semiconductor devices
JPS5833822A (ja) * 1981-08-21 1983-02-28 Mitsubishi Electric Corp 半導体基体の製作方法
JPS58130517A (ja) * 1982-01-29 1983-08-04 Hitachi Ltd 単結晶薄膜の製造方法
AT380974B (de) * 1982-04-06 1986-08-11 Shell Austria Verfahren zum gettern von halbleiterbauelementen
JPS58190020A (ja) * 1982-04-30 1983-11-05 Seiko Epson Corp エピタキシヤル成長法
JPS5983993A (ja) * 1982-11-02 1984-05-15 Nec Corp 単結晶半導体層の成長方法
JPS60202952A (ja) * 1984-03-28 1985-10-14 Fujitsu Ltd 半導体装置の製造方法
US4727044A (en) * 1984-05-18 1988-02-23 Semiconductor Energy Laboratory Co., Ltd. Method of making a thin film transistor with laser recrystallized source and drain
EP0178447B1 (en) * 1984-10-09 1993-02-17 Fujitsu Limited A manufacturing method of an integrated circuit based on semiconductor-on-insulator technology
JPH07105338B2 (ja) * 1985-08-07 1995-11-13 日本電気株式会社 半導体装置の製造方法
US4810673A (en) * 1986-09-18 1989-03-07 Texas Instruments Incorporated Oxide deposition method
US4783379A (en) * 1987-04-17 1988-11-08 Tosoh Smd, Inc. Explosive crystallization in metal/silicon multilayer film
US5225355A (en) * 1988-02-26 1993-07-06 Fujitsu Limited Gettering treatment process
US5010037A (en) * 1988-10-14 1991-04-23 California Institute Of Technology Pinhole-free growth of epitaxial CoSi2 film on Si(111)
JPH02140915A (ja) * 1988-11-22 1990-05-30 Seiko Epson Corp 半導体装置の製造方法
US5075259A (en) * 1989-08-22 1991-12-24 Motorola, Inc. Method for forming semiconductor contacts by electroless plating
DE69125886T2 (de) * 1990-05-29 1997-11-20 Semiconductor Energy Lab Dünnfilmtransistoren
JPH0462976A (ja) * 1990-06-30 1992-02-27 Nippon Seiki Co Ltd 加速度センサの製造方法
GB9014723D0 (en) * 1990-07-03 1990-08-22 Marconi Gec Ltd Crystallisation process
US5147826A (en) 1990-08-06 1992-09-15 The Pennsylvania Research Corporation Low temperature crystallization and pattering of amorphous silicon films
JP2650003B2 (ja) * 1991-02-14 1997-09-03 信越化学工業株式会社 化学的気相成長法によるシリコン単結晶の製造方法およびその原料クロロシラン類中の超微量元素と製造されたシリコン単結晶中の超微量元素の分別定量方法
JPH0824104B2 (ja) * 1991-03-18 1996-03-06 株式会社半導体エネルギー研究所 半導体材料およびその作製方法
JPH05182923A (ja) * 1991-05-28 1993-07-23 Semiconductor Energy Lab Co Ltd レーザーアニール方法
GB9114018D0 (en) * 1991-06-28 1991-08-14 Philips Electronic Associated Thin-film transistor manufacture
JPH05109737A (ja) * 1991-10-18 1993-04-30 Casio Comput Co Ltd 薄膜トランジスタの製造方法
US5244819A (en) * 1991-10-22 1993-09-14 Honeywell Inc. Method to getter contamination in semiconductor devices
JP2865925B2 (ja) * 1992-01-16 1999-03-08 太陽誘電株式会社 誘電体磁器組成物の製造方法
US5485019A (en) * 1992-02-05 1996-01-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
JP3204735B2 (ja) * 1992-06-01 2001-09-04 株式会社東芝 水素化アモルファスシリコン薄膜トランジスタの製造方法
US5288662A (en) * 1992-06-15 1994-02-22 Air Products And Chemicals, Inc. Low ozone depleting organic chlorides for use during silicon oxidation and furnace tube cleaning
US5300187A (en) * 1992-09-03 1994-04-05 Motorola, Inc. Method of removing contaminants
TW226478B (en) * 1992-12-04 1994-07-11 Semiconductor Energy Res Co Ltd Semiconductor device and method for manufacturing the same
US5604360A (en) * 1992-12-04 1997-02-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device including a plurality of thin film transistors at least some of which have a crystalline silicon film crystal-grown substantially in parallel to the surface of a substrate for the transistor
JP2534189B2 (ja) * 1993-01-29 1996-09-11 株式会社フジクラ 切換分岐盤
US5843225A (en) * 1993-02-03 1998-12-01 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor and process for fabricating semiconductor device
JP3497198B2 (ja) 1993-02-03 2004-02-16 株式会社半導体エネルギー研究所 半導体装置および薄膜トランジスタの作製方法
JPH06296023A (ja) * 1993-02-10 1994-10-21 Semiconductor Energy Lab Co Ltd 薄膜状半導体装置およびその作製方法
CN1052110C (zh) * 1993-02-15 2000-05-03 株式会社半导体能源研究所 制造半导体器件的方法
US5275851A (en) * 1993-03-03 1994-01-04 The Penn State Research Foundation Low temperature crystallization and patterning of amorphous silicon films on electrically insulating substrates
CN1095204C (zh) * 1993-03-12 2002-11-27 株式会社半导体能源研究所 半导体器件和晶体管
JP3193803B2 (ja) * 1993-03-12 2001-07-30 株式会社半導体エネルギー研究所 半導体素子の作製方法
TW278219B (zh) * 1993-03-12 1996-06-11 Handotai Energy Kenkyusho Kk
US5624851A (en) * 1993-03-12 1997-04-29 Semiconductor Energy Laboratory Co., Ltd. Process of fabricating a semiconductor device in which one portion of an amorphous silicon film is thermally crystallized and another portion is laser crystallized
TW241377B (zh) * 1993-03-12 1995-02-21 Semiconductor Energy Res Co Ltd
US5501989A (en) * 1993-03-22 1996-03-26 Semiconductor Energy Laboratory Co., Ltd. Method of making semiconductor device/circuit having at least partially crystallized semiconductor layer
JP2847031B2 (ja) * 1993-05-03 1999-01-13 現代電子産業株式会社 半導体素子の配線製造方法
US5481121A (en) * 1993-05-26 1996-01-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having improved crystal orientation
US5488000A (en) * 1993-06-22 1996-01-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor using a nickel silicide layer to promote crystallization of the amorphous silicon layer
TW369686B (en) * 1993-07-27 1999-09-11 Semiconductor Energy Lab Corp Semiconductor device and process for fabricating the same
US5663077A (en) * 1993-07-27 1997-09-02 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor in which the gate insulator comprises two oxide films
US5492843A (en) * 1993-07-31 1996-02-20 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device and method of processing substrate
JP2975973B2 (ja) * 1993-08-10 1999-11-10 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
JP2762215B2 (ja) * 1993-08-12 1998-06-04 株式会社半導体エネルギー研究所 薄膜トランジスタおよび半導体装置の作製方法
JP2814049B2 (ja) * 1993-08-27 1998-10-22 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
JPH07335904A (ja) * 1994-06-14 1995-12-22 Semiconductor Energy Lab Co Ltd 薄膜半導体集積回路
TW264575B (zh) * 1993-10-29 1995-12-01 Handotai Energy Kenkyusho Kk
US5612250A (en) * 1993-12-01 1997-03-18 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device using a catalyst
JP3562590B2 (ja) * 1993-12-01 2004-09-08 株式会社半導体エネルギー研究所 半導体装置作製方法
JP2860869B2 (ja) * 1993-12-02 1999-02-24 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
US5654203A (en) * 1993-12-02 1997-08-05 Semiconductor Energy Laboratory, Co., Ltd. Method for manufacturing a thin film transistor using catalyst elements to promote crystallization
KR100319332B1 (ko) * 1993-12-22 2002-04-22 야마자끼 순페이 반도체장치및전자광학장치
JP3378078B2 (ja) * 1994-02-23 2003-02-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH07335906A (ja) * 1994-06-14 1995-12-22 Semiconductor Energy Lab Co Ltd 薄膜状半導体装置およびその作製方法
JP3072000B2 (ja) * 1994-06-23 2000-07-31 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5426061A (en) * 1994-09-06 1995-06-20 Midwest Research Institute Impurity gettering in semiconductors
US5712191A (en) * 1994-09-16 1998-01-27 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device
JP3942651B2 (ja) * 1994-10-07 2007-07-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3486240B2 (ja) * 1994-10-20 2004-01-13 株式会社半導体エネルギー研究所 半導体装置
US5756364A (en) * 1994-11-29 1998-05-26 Semiconductor Energy Laboratory Co., Ltd. Laser processing method of semiconductor device using a catalyst
TW447144B (en) * 1995-03-27 2001-07-21 Semiconductor Energy Lab Semiconductor device and a method of manufacturing the same
JP4318768B2 (ja) * 1997-07-23 2009-08-26 株式会社半導体エネルギー研究所 半導体装置の作製方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1086843C (zh) * 1995-09-21 2002-06-26 夏普株式会社 半导体电路及半导体器件
CN103560142A (zh) * 2010-12-10 2014-02-05 帝人株式会社 半导体层叠体、半导体装置,以及它们的制造方法
US9577050B2 (en) 2010-12-10 2017-02-21 Teijin Limited Semiconductor laminate, semiconductor device, and production method thereof
CN104919094A (zh) * 2012-11-21 2015-09-16 葛迪恩实业公司 用于光伏器件或类似等的多晶硅厚膜及制备其的方法
CN104919094B (zh) * 2012-11-21 2018-04-24 葛迪恩实业公司 用于光伏器件或类似等的多晶硅厚膜及制备其的方法
CN103972050A (zh) * 2014-05-14 2014-08-06 京东方科技集团股份有限公司 多晶硅薄膜、多晶硅薄膜晶体管及阵列基板的制备方法

Also Published As

Publication number Publication date
CN1052110C (zh) 2000-05-03
EP1119053A2 (en) 2001-07-25
US5897347A (en) 1999-04-27
EP0612102A3 (en) 1994-10-19
DE69428387T2 (de) 2002-07-04
US5639698A (en) 1997-06-17
US6084247A (en) 2000-07-04
TW484190B (en) 2002-04-21
DE69428387D1 (de) 2001-10-31
KR100305135B1 (ko) 2001-11-07
US5608232A (en) 1997-03-04
EP0612102B1 (en) 2001-09-26
TW509999B (en) 2002-11-11
EP1119053A3 (en) 2002-01-09
EP1119053B1 (en) 2011-11-02
KR0171923B1 (ko) 1999-02-01
US5956579A (en) 1999-09-21
TW371784B (en) 1999-10-11
EP0612102A2 (en) 1994-08-24

Similar Documents

Publication Publication Date Title
CN1052110C (zh) 制造半导体器件的方法
CN1155991C (zh) 半导体器件的制造方法
CN1135608C (zh) 半导体器件的制造方法
CN1052564C (zh) 半导体制造工艺和半导体器件制造工艺
CN1146955C (zh) 半导体器件的制造方法
CN1095204C (zh) 半导体器件和晶体管
CN1244891C (zh) 有源矩阵显示器
CN1274009C (zh) 薄膜半导体器件的制造方法
CN1143362C (zh) 制造半导体器件的方法
CN1052567C (zh) 半导体器件及其制造方法
CN1129955C (zh) 半导体器件的制造方法
CN1165976C (zh) 半导体薄膜以及半导体器件
CN1307730A (zh) 薄膜晶体管及其制造方法
CN1842896A (zh) 杂质导入层的形成方法、被处理物的清洗方法、杂质导入装置、和器件的制造方法
CN1346152A (zh) 薄膜晶体管及半导体器件
CN1161566A (zh) 半导体器件及其制造方法
CN1132927A (zh) 半导体器件及其制造方法
CN1090427A (zh) 半导体器件及其制造方法
CN1555580A (zh) 半导体器件及其制造方法
CN1540721A (zh) 半导体器件及其制造方法
CN1388591A (zh) 薄膜晶体管及其制造方法
CN1090813C (zh) 制造半导体器件的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20000503

Termination date: 20130215