CN1113408C - 利用受控电子束辐射将低-k聚合物并入层间电介质 - Google Patents
利用受控电子束辐射将低-k聚合物并入层间电介质 Download PDFInfo
- Publication number
- CN1113408C CN1113408C CN98805439A CN98805439A CN1113408C CN 1113408 C CN1113408 C CN 1113408C CN 98805439 A CN98805439 A CN 98805439A CN 98805439 A CN98805439 A CN 98805439A CN 1113408 C CN1113408 C CN 1113408C
- Authority
- CN
- China
- Prior art keywords
- electron beam
- dielectric layer
- dielectric
- layer
- film
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000010894 electron beam technology Methods 0.000 title claims abstract description 127
- 229920000642 polymer Polymers 0.000 title claims description 37
- 230000005855 radiation Effects 0.000 title abstract description 9
- 239000003989 dielectric material Substances 0.000 title description 7
- 230000010354 integration Effects 0.000 title description 2
- 238000000034 method Methods 0.000 claims abstract description 66
- 239000000758 substrate Substances 0.000 claims abstract description 38
- 230000008569 process Effects 0.000 claims abstract description 22
- 239000004065 semiconductor Substances 0.000 claims abstract description 12
- 229910052739 hydrogen Inorganic materials 0.000 claims description 35
- 239000001257 hydrogen Substances 0.000 claims description 33
- 239000000203 mixture Substances 0.000 claims description 29
- 229920002120 photoresistant polymer Polymers 0.000 claims description 29
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 18
- -1 poly (arylene ether Chemical compound 0.000 claims description 18
- 239000004020 conductor Substances 0.000 claims description 15
- 238000010438 heat treatment Methods 0.000 claims description 15
- 208000034189 Sclerosis Diseases 0.000 claims description 14
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 14
- 229910052760 oxygen Inorganic materials 0.000 claims description 14
- 239000001301 oxygen Substances 0.000 claims description 14
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 claims description 11
- 239000007789 gas Substances 0.000 claims description 10
- 238000001035 drying Methods 0.000 claims description 9
- 229910052757 nitrogen Inorganic materials 0.000 claims description 9
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 8
- RTZKZFJDLAIYFH-UHFFFAOYSA-N ether Substances CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 claims description 8
- 239000000126 substance Substances 0.000 claims description 6
- 229910052799 carbon Inorganic materials 0.000 claims description 5
- 239000003973 paint Substances 0.000 claims description 5
- 229910052786 argon Inorganic materials 0.000 claims description 4
- 238000011161 development Methods 0.000 claims description 4
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical class [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 claims description 3
- 239000004642 Polyimide Substances 0.000 claims description 2
- 229910052734 helium Inorganic materials 0.000 claims description 2
- 239000001307 helium Substances 0.000 claims description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 2
- 238000003384 imaging method Methods 0.000 claims description 2
- 229920001721 polyimide Polymers 0.000 claims description 2
- 230000000717 retained effect Effects 0.000 claims description 2
- 229910052724 xenon Inorganic materials 0.000 claims description 2
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims description 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims 2
- 206010021033 Hypomenorrhoea Diseases 0.000 claims 1
- 229920006254 polymer film Polymers 0.000 abstract description 18
- 238000012545 processing Methods 0.000 abstract description 10
- 238000004519 manufacturing process Methods 0.000 abstract description 7
- 229910052751 metal Inorganic materials 0.000 abstract description 4
- 239000002184 metal Substances 0.000 abstract description 4
- 238000002360 preparation method Methods 0.000 abstract 1
- 239000010408 film Substances 0.000 description 72
- 239000010410 layer Substances 0.000 description 59
- 238000001723 curing Methods 0.000 description 52
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 26
- 239000010703 silicon Substances 0.000 description 24
- 229910052710 silicon Inorganic materials 0.000 description 23
- 238000004528 spin coating Methods 0.000 description 22
- 150000002431 hydrogen Chemical class 0.000 description 17
- 238000000576 coating method Methods 0.000 description 14
- 239000011248 coating agent Substances 0.000 description 12
- 239000000463 material Substances 0.000 description 12
- 239000000243 solution Substances 0.000 description 8
- 239000002904 solvent Substances 0.000 description 8
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 7
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 230000007797 corrosion Effects 0.000 description 7
- 238000005260 corrosion Methods 0.000 description 7
- 210000003491 skin Anatomy 0.000 description 7
- 241001076960 Argon Species 0.000 description 5
- 238000001157 Fourier transform infrared spectrum Methods 0.000 description 5
- 235000013876 argon Nutrition 0.000 description 5
- 239000011229 interlayer Substances 0.000 description 5
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 5
- 229910018540 Si C Inorganic materials 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 238000002474 experimental method Methods 0.000 description 4
- 229920000620 organic polymer Polymers 0.000 description 4
- 239000003791 organic solvent mixture Substances 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- 238000010521 absorption reaction Methods 0.000 description 3
- 238000005984 hydrogenation reaction Methods 0.000 description 3
- 230000035515 penetration Effects 0.000 description 3
- SCPYDCQAZCOKTP-UHFFFAOYSA-N silanol Chemical compound [SiH3]O SCPYDCQAZCOKTP-UHFFFAOYSA-N 0.000 description 3
- 238000001228 spectrum Methods 0.000 description 3
- 230000005641 tunneling Effects 0.000 description 3
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- 229910008051 Si-OH Inorganic materials 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- 229910006358 Si—OH Inorganic materials 0.000 description 2
- YFCGDEUVHLPRCZ-UHFFFAOYSA-N [dimethyl(trimethylsilyloxy)silyl]oxy-dimethyl-trimethylsilyloxysilane Chemical compound C[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)C YFCGDEUVHLPRCZ-UHFFFAOYSA-N 0.000 description 2
- 239000003513 alkali Substances 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 239000003795 chemical substances by application Substances 0.000 description 2
- 238000007796 conventional method Methods 0.000 description 2
- 230000007850 degeneration Effects 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 238000009413 insulation Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 238000012423 maintenance Methods 0.000 description 2
- 238000005457 optimization Methods 0.000 description 2
- 239000003960 organic solvent Substances 0.000 description 2
- 125000005375 organosiloxane group Chemical group 0.000 description 2
- 231100000614 poison Toxicity 0.000 description 2
- 230000007096 poisonous effect Effects 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 238000007711 solidification Methods 0.000 description 2
- 230000008023 solidification Effects 0.000 description 2
- RSNQKPMXXVDJFG-UHFFFAOYSA-N tetrasiloxane Chemical compound [SiH3]O[SiH2]O[SiH2]O[SiH3] RSNQKPMXXVDJFG-UHFFFAOYSA-N 0.000 description 2
- KMPBCFZCRNKXSA-UHFFFAOYSA-N 2,2,4,4,6,6-hexaethyl-1,3,5,2,4,6-trioxatrisilinane Chemical compound CC[Si]1(CC)O[Si](CC)(CC)O[Si](CC)(CC)O1 KMPBCFZCRNKXSA-UHFFFAOYSA-N 0.000 description 1
- OPSKRIKFYGJQGJ-UHFFFAOYSA-N 2,4,6,8-tetraethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound CC[Si]1O[Si](CC)O[Si](CC)O[Si](CC)O1 OPSKRIKFYGJQGJ-UHFFFAOYSA-N 0.000 description 1
- KRQUFUKTQHISJB-YYADALCUSA-N 2-[(E)-N-[2-(4-chlorophenoxy)propoxy]-C-propylcarbonimidoyl]-3-hydroxy-5-(thian-3-yl)cyclohex-2-en-1-one Chemical compound CCC\C(=N/OCC(C)OC1=CC=C(Cl)C=C1)C1=C(O)CC(CC1=O)C1CCCSC1 KRQUFUKTQHISJB-YYADALCUSA-N 0.000 description 1
- OJIKWFOITYJUSO-UHFFFAOYSA-N CC(C)[SiH2]O[SiH3] Chemical compound CC(C)[SiH2]O[SiH3] OJIKWFOITYJUSO-UHFFFAOYSA-N 0.000 description 1
- OXBTVINZPPEPLJ-UHFFFAOYSA-N C[SiH2]O[SiH2]O[SiH3].CCCCCCC Chemical class C[SiH2]O[SiH2]O[SiH3].CCCCCCC OXBTVINZPPEPLJ-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- IWYTWMAUKZNOBD-UHFFFAOYSA-N [SiH3]O[SiH2]O[SiH3].CCCCCCC Chemical class [SiH3]O[SiH2]O[SiH3].CCCCCCC IWYTWMAUKZNOBD-UHFFFAOYSA-N 0.000 description 1
- VZSZUXBTVQNMOY-UHFFFAOYSA-N [dimethyl(octyl)silyl]oxy-dimethyl-octylsilane Chemical compound CCCCCCCC[Si](C)(C)O[Si](C)(C)CCCCCCCC VZSZUXBTVQNMOY-UHFFFAOYSA-N 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 239000004411 aluminium Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 239000003963 antioxidant agent Substances 0.000 description 1
- 230000003078 antioxidant effect Effects 0.000 description 1
- 235000006708 antioxidants Nutrition 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 239000007864 aqueous solution Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000008602 contraction Effects 0.000 description 1
- 229920001577 copolymer Polymers 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 125000000118 dimethyl group Chemical group [H]C([H])([H])* 0.000 description 1
- XUKFPAQLGOOCNJ-UHFFFAOYSA-N dimethyl(trimethylsilyloxy)silicon Chemical compound C[Si](C)O[Si](C)(C)C XUKFPAQLGOOCNJ-UHFFFAOYSA-N 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 238000004090 dissolution Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 239000000428 dust Substances 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 210000002615 epidermis Anatomy 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- OMRSEGMNYHRGCN-UHFFFAOYSA-N ethylsilyloxy(trimethyl)silane Chemical compound C(C)[SiH2]O[Si](C)(C)C OMRSEGMNYHRGCN-UHFFFAOYSA-N 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000013401 experimental design Methods 0.000 description 1
- 230000004992 fission Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 230000003760 hair shine Effects 0.000 description 1
- HTDJPCNNEPUOOQ-UHFFFAOYSA-N hexamethylcyclotrisiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O1 HTDJPCNNEPUOOQ-UHFFFAOYSA-N 0.000 description 1
- UQEAIHBTYFGYIE-UHFFFAOYSA-N hexamethyldisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)C UQEAIHBTYFGYIE-UHFFFAOYSA-N 0.000 description 1
- 239000012456 homogeneous solution Substances 0.000 description 1
- NOKUWSXLHXMAOM-UHFFFAOYSA-N hydroxy(phenyl)silicon Chemical compound O[Si]C1=CC=CC=C1 NOKUWSXLHXMAOM-UHFFFAOYSA-N 0.000 description 1
- 229920000592 inorganic polymer Polymers 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 239000011344 liquid material Substances 0.000 description 1
- 238000000344 low-energy electron-beam lithography Methods 0.000 description 1
- 238000003754 machining Methods 0.000 description 1
- 230000005499 meniscus Effects 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 229920006120 non-fluorinated polymer Polymers 0.000 description 1
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 description 1
- CXQXSVUQTKDNFP-UHFFFAOYSA-N octamethyltrisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)O[Si](C)(C)C CXQXSVUQTKDNFP-UHFFFAOYSA-N 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 229920000090 poly(aryl ether) Polymers 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 229920001296 polysiloxane Polymers 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 239000011347 resin Substances 0.000 description 1
- 229920005989 resin Polymers 0.000 description 1
- 150000003376 silicon Chemical class 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 238000000935 solvent evaporation Methods 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 230000000638 stimulation Effects 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- WILBTFWIBAOWLN-UHFFFAOYSA-N triethyl(triethylsilyloxy)silane Chemical compound CC[Si](CC)(CC)O[Si](CC)(CC)CC WILBTFWIBAOWLN-UHFFFAOYSA-N 0.000 description 1
- UHUUYVZLXJHWDV-UHFFFAOYSA-N trimethyl(methylsilyloxy)silane Chemical compound C[SiH2]O[Si](C)(C)C UHUUYVZLXJHWDV-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76825—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
- H01L21/02134—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
- H01L21/02137—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02282—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
- H01L21/02351—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/312—Organic layers, e.g. photoresist
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/312—Organic layers, e.g. photoresist
- H01L21/3121—Layers comprising organo-silicon compounds
- H01L21/3122—Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/312—Organic layers, e.g. photoresist
- H01L21/3121—Layers comprising organo-silicon compounds
- H01L21/3122—Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
- H01L21/3124—Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S430/00—Radiation imagery chemistry: process, composition, or product thereof
- Y10S430/143—Electron beam
Abstract
Description
固化方法 | Si-H保留(%) | 薄膜收缩(%) | ||||||
400℃ | 450℃ | 500℃ | 600℃ | 400℃ | 450℃ | 500℃ | 600℃ | |
热固化 | 87.7 | 55.3 | 29.5 | 25.4 | 0.9 | 4.0 | 7.6 | 11.4 |
电子束部分固化 | 99.0 | 89.1 | 80.6 | 37.5 | 0.4 | 1.1 | 2.4 | 9.3 |
Claims (24)
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US4110497P | 1997-03-24 | 1997-03-24 | |
US60/041104 | 1997-03-24 | ||
US60/041,104 | 1997-03-24 | ||
US09/028465 | 1998-02-24 | ||
US09/028,465 US6080526A (en) | 1997-03-24 | 1998-02-24 | Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation |
US09/028,465 | 1998-02-24 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1257610A CN1257610A (zh) | 2000-06-21 |
CN1113408C true CN1113408C (zh) | 2003-07-02 |
Family
ID=26703725
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN98805439A Expired - Fee Related CN1113408C (zh) | 1997-03-24 | 1998-03-09 | 利用受控电子束辐射将低-k聚合物并入层间电介质 |
Country Status (9)
Country | Link |
---|---|
US (1) | US6080526A (zh) |
EP (1) | EP0970519B1 (zh) |
JP (1) | JP3276963B2 (zh) |
KR (1) | KR100477455B1 (zh) |
CN (1) | CN1113408C (zh) |
CA (1) | CA2284760A1 (zh) |
DE (1) | DE69836009D1 (zh) |
IL (1) | IL132017A0 (zh) |
WO (1) | WO1998043294A1 (zh) |
Families Citing this family (83)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6607991B1 (en) | 1995-05-08 | 2003-08-19 | Electron Vision Corporation | Method for curing spin-on dielectric films utilizing electron beam radiation |
US6042994A (en) * | 1998-01-20 | 2000-03-28 | Alliedsignal Inc. | Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content |
US6303523B2 (en) * | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6660656B2 (en) * | 1998-02-11 | 2003-12-09 | Applied Materials Inc. | Plasma processes for depositing low dielectric constant films |
US6287990B1 (en) | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films |
US6593247B1 (en) | 1998-02-11 | 2003-07-15 | Applied Materials, Inc. | Method of depositing low k films using an oxidizing plasma |
US6413583B1 (en) * | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
US6054379A (en) | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6800571B2 (en) * | 1998-09-29 | 2004-10-05 | Applied Materials Inc. | CVD plasma assisted low dielectric constant films |
US8021976B2 (en) | 2002-10-15 | 2011-09-20 | Megica Corporation | Method of wire bonding over active area of a semiconductor circuit |
EP1157059A1 (en) | 1999-01-08 | 2001-11-28 | The Dow Chemical Company | Low dielectric constant polymers having good adhesion and toughness and articles made with such polymers |
US6361837B2 (en) | 1999-01-15 | 2002-03-26 | Advanced Micro Devices, Inc. | Method and system for modifying and densifying a porous film |
US6207555B1 (en) | 1999-03-17 | 2001-03-27 | Electron Vision Corporation | Electron beam process during dual damascene processing |
US6770975B2 (en) * | 1999-06-09 | 2004-08-03 | Alliedsignal Inc. | Integrated circuits with multiple low dielectric-constant inter-metal dielectrics |
US6271127B1 (en) * | 1999-06-10 | 2001-08-07 | Conexant Systems, Inc. | Method for dual damascene process using electron beam and ion implantation cure methods for low dielectric constant materials |
US6204201B1 (en) * | 1999-06-11 | 2001-03-20 | Electron Vision Corporation | Method of processing films prior to chemical vapor deposition using electron beam processing |
US6495478B1 (en) * | 1999-06-21 | 2002-12-17 | Taiwan Semiconductor Manufacturing Company | Reduction of shrinkage of poly(arylene ether) for low-K IMD |
US20060263531A1 (en) * | 2003-12-18 | 2006-11-23 | Lichtenhan Joseph D | Polyhedral oligomeric silsesquioxanes as glass forming coatings |
US6403464B1 (en) * | 1999-11-03 | 2002-06-11 | Taiwan Semiconductor Manufacturing Company | Method to reduce the moisture content in an organic low dielectric constant material |
US6358670B1 (en) * | 1999-12-28 | 2002-03-19 | Electron Vision Corporation | Enhancement of photoresist plasma etch resistance via electron beam surface cure |
US6291302B1 (en) * | 2000-01-14 | 2001-09-18 | Advanced Micro Devices, Inc. | Selective laser anneal process using highly reflective aluminum mask |
US6184134B1 (en) * | 2000-02-18 | 2001-02-06 | Infineon Technologies North America Corp. | Dry process for cleaning residues/polymers after metal etch |
US6759098B2 (en) * | 2000-03-20 | 2004-07-06 | Axcelis Technologies, Inc. | Plasma curing of MSQ-based porous low-k film materials |
US7011868B2 (en) * | 2000-03-20 | 2006-03-14 | Axcelis Technologies, Inc. | Fluorine-free plasma curing process for porous low-k materials |
US6913796B2 (en) * | 2000-03-20 | 2005-07-05 | Axcelis Technologies, Inc. | Plasma curing process for porous low-k materials |
JP4368498B2 (ja) * | 2000-05-16 | 2009-11-18 | Necエレクトロニクス株式会社 | 半導体装置、半導体ウェーハおよびこれらの製造方法 |
US6531398B1 (en) | 2000-10-30 | 2003-03-11 | Applied Materials, Inc. | Method of depositing organosillicate layers |
US6465361B1 (en) * | 2001-02-20 | 2002-10-15 | Advanced Micro Devices, Inc. | Method for preventing damage of low-k dielectrics during patterning |
US6951707B2 (en) * | 2001-03-08 | 2005-10-04 | Ppg Industries Ohio, Inc. | Process for creating vias for circuit assemblies |
US7000313B2 (en) * | 2001-03-08 | 2006-02-21 | Ppg Industries Ohio, Inc. | Process for fabricating circuit assemblies using electrodepositable dielectric coating compositions |
US6589711B1 (en) | 2001-04-04 | 2003-07-08 | Advanced Micro Devices, Inc. | Dual inlaid process using a bilayer resist |
US6458691B1 (en) | 2001-04-04 | 2002-10-01 | Advanced Micro Devices, Inc. | Dual inlaid process using an imaging layer to protect via from poisoning |
US6486082B1 (en) * | 2001-06-18 | 2002-11-26 | Applied Materials, Inc. | CVD plasma assisted lower dielectric constant sicoh film |
TW588403B (en) * | 2001-06-25 | 2004-05-21 | Tokyo Electron Ltd | Substrate treating device and substrate treating method |
US6605549B2 (en) | 2001-09-29 | 2003-08-12 | Intel Corporation | Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics |
US7091137B2 (en) * | 2001-12-14 | 2006-08-15 | Applied Materials | Bi-layer approach for a hermetic low dielectric constant layer for barrier applications |
US6890850B2 (en) | 2001-12-14 | 2005-05-10 | Applied Materials, Inc. | Method of depositing dielectric materials in damascene applications |
US6838393B2 (en) * | 2001-12-14 | 2005-01-04 | Applied Materials, Inc. | Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide |
US20030134499A1 (en) * | 2002-01-15 | 2003-07-17 | International Business Machines Corporation | Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof |
US6936309B2 (en) | 2002-04-02 | 2005-08-30 | Applied Materials, Inc. | Hardness improvement of silicon carboxy films |
US20030211244A1 (en) * | 2002-04-11 | 2003-11-13 | Applied Materials, Inc. | Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric |
US20030194495A1 (en) * | 2002-04-11 | 2003-10-16 | Applied Materials, Inc. | Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric |
US20030194496A1 (en) * | 2002-04-11 | 2003-10-16 | Applied Materials, Inc. | Methods for depositing dielectric material |
US6815373B2 (en) * | 2002-04-16 | 2004-11-09 | Applied Materials Inc. | Use of cyclic siloxanes for hardness improvement of low k dielectric films |
US20030206337A1 (en) * | 2002-05-06 | 2003-11-06 | Eastman Kodak Company | Exposure apparatus for irradiating a sensitized substrate |
US6936551B2 (en) * | 2002-05-08 | 2005-08-30 | Applied Materials Inc. | Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices |
US7060330B2 (en) * | 2002-05-08 | 2006-06-13 | Applied Materials, Inc. | Method for forming ultra low k films using electron beam |
EP1504138A2 (en) * | 2002-05-08 | 2005-02-09 | Applied Materials, Inc. | Method for using low dielectric constant film by electron beam |
US20040101632A1 (en) * | 2002-11-22 | 2004-05-27 | Applied Materials, Inc. | Method for curing low dielectric constant film by electron beam |
US7056560B2 (en) * | 2002-05-08 | 2006-06-06 | Applies Materials Inc. | Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD) |
US6824959B2 (en) * | 2002-06-27 | 2004-11-30 | Ppg Industries Ohio, Inc. | Process for creating holes in polymeric substrates |
US20060213685A1 (en) * | 2002-06-27 | 2006-09-28 | Wang Alan E | Single or multi-layer printed circuit board with improved edge via design |
JP2005531160A (ja) * | 2002-06-27 | 2005-10-13 | ピーピージー インダストリーズ オハイオ, インコーポレイテッド | 窪んだかまたは広がったブレイクアウェイタブを有する単層または多層のプリント回路基板およびその製造方法 |
US6927178B2 (en) * | 2002-07-11 | 2005-08-09 | Applied Materials, Inc. | Nitrogen-free dielectric anti-reflective coating and hardmask |
US7105460B2 (en) * | 2002-07-11 | 2006-09-12 | Applied Materials | Nitrogen-free dielectric anti-reflective coating and hardmask |
CN1302524C (zh) * | 2002-09-27 | 2007-02-28 | 上海华虹(集团)有限公司 | 有机聚合物低介电材料刻蚀后的湿法去胶工艺 |
US7749563B2 (en) * | 2002-10-07 | 2010-07-06 | Applied Materials, Inc. | Two-layer film for next generation damascene barrier application with good oxidation resistance |
US6972217B1 (en) * | 2002-12-23 | 2005-12-06 | Lsi Logic Corporation | Low k polymer E-beam printable mechanical support |
US6790788B2 (en) * | 2003-01-13 | 2004-09-14 | Applied Materials Inc. | Method of improving stability in low k barrier layers |
US6897163B2 (en) * | 2003-01-31 | 2005-05-24 | Applied Materials, Inc. | Method for depositing a low dielectric constant film |
US20050260420A1 (en) * | 2003-04-01 | 2005-11-24 | Collins Martha J | Low dielectric materials and methods for making same |
US20040253378A1 (en) * | 2003-06-12 | 2004-12-16 | Applied Materials, Inc. | Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes |
SG149034A1 (en) * | 2003-12-18 | 2009-01-29 | Hybrid Plastics Inc | Polyhedral oligomeric silsesquioxanes and metallized polyhedral oligomeric silsesquioxanes as coatings, composites and additives |
US7030041B2 (en) * | 2004-03-15 | 2006-04-18 | Applied Materials Inc. | Adhesion improvement for low k dielectrics |
US20050214457A1 (en) * | 2004-03-29 | 2005-09-29 | Applied Materials, Inc. | Deposition of low dielectric constant films by N2O addition |
US20050233555A1 (en) * | 2004-04-19 | 2005-10-20 | Nagarajan Rajagopalan | Adhesion improvement for low k dielectrics to conductive materials |
US7229911B2 (en) * | 2004-04-19 | 2007-06-12 | Applied Materials, Inc. | Adhesion improvement for low k dielectrics to conductive materials |
US7018941B2 (en) | 2004-04-21 | 2006-03-28 | Applied Materials, Inc. | Post treatment of low k dielectric films |
US7075093B2 (en) | 2004-05-12 | 2006-07-11 | Gorski Richard M | Parallel multi-electron beam lithography for IC fabrication with precise X-Y translation |
US20050277302A1 (en) * | 2004-05-28 | 2005-12-15 | Nguyen Son V | Advanced low dielectric constant barrier layers |
US7229041B2 (en) * | 2004-06-30 | 2007-06-12 | Ohio Central Steel Company | Lifting lid crusher |
US7288205B2 (en) * | 2004-07-09 | 2007-10-30 | Applied Materials, Inc. | Hermetic low dielectric constant layer for barrier applications |
US7259381B2 (en) * | 2004-08-03 | 2007-08-21 | Applied Materials, Inc. | Methodology for determining electron beam penetration depth |
US7588803B2 (en) * | 2005-02-01 | 2009-09-15 | Applied Materials, Inc. | Multi step ebeam process for modifying dielectric materials |
JP2006253577A (ja) * | 2005-03-14 | 2006-09-21 | Fuji Photo Film Co Ltd | 絶縁膜、その製造方法及び該絶縁膜を有するデバイス |
US7622378B2 (en) | 2005-11-09 | 2009-11-24 | Tokyo Electron Limited | Multi-step system and method for curing a dielectric film |
US20070134435A1 (en) * | 2005-12-13 | 2007-06-14 | Ahn Sang H | Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films |
US7473567B2 (en) | 2006-03-30 | 2009-01-06 | Tokyo Electron Limited | Change rate prediction method, storage medium, and substrate processing system |
US7297376B1 (en) | 2006-07-07 | 2007-11-20 | Applied Materials, Inc. | Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers |
US8029971B2 (en) * | 2008-03-13 | 2011-10-04 | International Business Machines Corporation | Photopatternable dielectric materials for BEOL applications and methods for use |
US20140014621A1 (en) * | 2012-07-16 | 2014-01-16 | Zhaoning Yu | Analysis of pattern features |
US9558930B2 (en) | 2014-08-13 | 2017-01-31 | International Business Machines Corporation | Mixed lithography approach for e-beam and optical exposure using HSQ |
US10304720B2 (en) | 2016-07-15 | 2019-05-28 | Brewer Science, Inc. | Laser ablative dielectric material |
Family Cites Families (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4222792A (en) * | 1979-09-10 | 1980-09-16 | International Business Machines Corporation | Planar deep oxide isolation process utilizing resin glass and E-beam exposure |
US4503126A (en) * | 1982-08-18 | 1985-03-05 | Foster Grant Corporation | Method of making an abrasion resistant coating on a solid substrate and articles produced thereby |
US4435441A (en) * | 1982-12-30 | 1984-03-06 | The United States Of America As Represented By The Secretary Of The Army | Method of frequency trimming surface acoustic wave devices |
US4661193A (en) * | 1984-08-27 | 1987-04-28 | The Dow Chemical Company | Adhesive compositions for arylcyclobutene monomeric compositions |
US5354695A (en) * | 1992-04-08 | 1994-10-11 | Leedy Glenn J | Membrane dielectric isolation IC fabrication |
US5270259A (en) * | 1988-06-21 | 1993-12-14 | Hitachi, Ltd. | Method for fabricating an insulating film from a silicone resin using O.sub. |
US5141970A (en) * | 1990-12-10 | 1992-08-25 | Loctite (Ireland) Limited | Method of forming high-temperature resistant polymers |
US5262392A (en) * | 1991-07-15 | 1993-11-16 | Eastman Kodak Company | Method for patterning metallo-organic percursor film and method for producing a patterned ceramic film and film products |
US5229172A (en) * | 1993-01-19 | 1993-07-20 | Medtronic, Inc. | Modification of polymeric surface by graft polymerization |
US5468595A (en) * | 1993-01-29 | 1995-11-21 | Electron Vision Corporation | Method for three-dimensional control of solubility properties of resist layers |
DE69535718T2 (de) * | 1994-05-27 | 2009-03-19 | Texas Instruments Inc., Dallas | Verbindungsverfahren mit Benutzung eines porösen Isolators zur Reduzierung der Kapazitäten zwischen Leiterbahnen |
US5504042A (en) * | 1994-06-23 | 1996-04-02 | Texas Instruments Incorporated | Porous dielectric material with improved pore surface properties for electronics applications |
US5545475A (en) * | 1994-09-20 | 1996-08-13 | W. L. Gore & Associates | Microfiber-reinforced porous polymer film and a method for manufacturing the same and composites made thereof |
US6652922B1 (en) * | 1995-06-15 | 2003-11-25 | Alliedsignal Inc. | Electron-beam processed films for microelectronics structures |
US5609925A (en) * | 1995-12-04 | 1997-03-11 | Dow Corning Corporation | Curing hydrogen silsesquioxane resin with an electron beam |
US5789140A (en) * | 1996-04-25 | 1998-08-04 | Fujitsu Limited | Method of forming a pattern or via structure utilizing supplemental electron beam exposure and development to remove image residue |
US5763049A (en) * | 1996-04-30 | 1998-06-09 | Minnesota Mining And Manufacturing Company | Formed ultra-flexible retroreflective cube-corner composite sheeting with target optical properties and method for making same |
US5883212A (en) * | 1996-05-08 | 1999-03-16 | Rexam Graphics, Inc. | Conductivity exaltation in radiation cured electrically conductive coatings |
US5916641A (en) * | 1996-08-01 | 1999-06-29 | Loctite (Ireland) Limited | Method of forming a monolayer of particles |
DE59707198D1 (de) * | 1996-08-15 | 2002-06-13 | Alcan Tech & Man Ag | Reflektor mit resistenter Oberfläche |
US5863963A (en) * | 1996-08-29 | 1999-01-26 | Xerox Corporation | Halomethylated high performance curable polymers |
US5707681A (en) * | 1997-02-07 | 1998-01-13 | Dow Corning Corporation | Method of producing coatings on electronic substrates |
US5843537A (en) * | 1997-03-07 | 1998-12-01 | Quantum Corporation | Insulator cure process for giant magnetoresistive heads |
US5939334A (en) * | 1997-05-22 | 1999-08-17 | Sharp Laboratories Of America, Inc. | System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides |
-
1998
- 1998-02-24 US US09/028,465 patent/US6080526A/en not_active Expired - Fee Related
- 1998-03-09 IL IL13201798A patent/IL132017A0/xx unknown
- 1998-03-09 DE DE69836009T patent/DE69836009D1/de not_active Expired - Lifetime
- 1998-03-09 CN CN98805439A patent/CN1113408C/zh not_active Expired - Fee Related
- 1998-03-09 CA CA002284760A patent/CA2284760A1/en not_active Abandoned
- 1998-03-09 WO PCT/US1998/004560 patent/WO1998043294A1/en active IP Right Grant
- 1998-03-09 KR KR10-1999-7008771A patent/KR100477455B1/ko not_active IP Right Cessation
- 1998-03-09 JP JP54573798A patent/JP3276963B2/ja not_active Expired - Fee Related
- 1998-03-09 EP EP98911525A patent/EP0970519B1/en not_active Expired - Lifetime
Also Published As
Publication number | Publication date |
---|---|
KR100477455B1 (ko) | 2005-03-23 |
JP2000511006A (ja) | 2000-08-22 |
WO1998043294A1 (en) | 1998-10-01 |
KR20010005703A (ko) | 2001-01-15 |
US6080526A (en) | 2000-06-27 |
EP0970519B1 (en) | 2006-09-27 |
DE69836009D1 (de) | 2006-11-09 |
IL132017A0 (en) | 2001-03-19 |
CN1257610A (zh) | 2000-06-21 |
JP3276963B2 (ja) | 2002-04-22 |
EP0970519A1 (en) | 2000-01-12 |
CA2284760A1 (en) | 1998-10-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1113408C (zh) | 利用受控电子束辐射将低-k聚合物并入层间电介质 | |
US6214748B1 (en) | Semiconductor device and method for the fabrication thereof | |
US6177143B1 (en) | Electron beam treatment of siloxane resins | |
US7629224B1 (en) | VLSI fabrication processes for introducing pores into dielectric materials | |
US7666754B2 (en) | Method and system for forming an air gap structure | |
CN1647263A (zh) | 用于图形化双波纹互连的三层掩膜结构 | |
KR101063591B1 (ko) | 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품 | |
US7557035B1 (en) | Method of forming semiconductor devices by microwave curing of low-k dielectric films | |
CN101689412A (zh) | 绝缘膜材料、多层布线基板及其制造方法和半导体装置及其制造方法 | |
US20090104571A1 (en) | Method for air gap formation using UV-decomposable materials | |
US7830012B2 (en) | Material for forming exposure light-blocking film, multilayer interconnection structure and manufacturing method thereof, and semiconductor device | |
CN1577830A (zh) | 衬底上的电互连结构及其制作方法 | |
US6191183B1 (en) | Method for the formation of silica thin films | |
US6447846B2 (en) | Electrically insulating thin-film-forming resin composition and method for forming thin film therefrom | |
US6784092B2 (en) | Method of forming insulating film and method of manufacturing semiconductor device | |
JP3208100B2 (ja) | 電気絶縁性薄膜の形成方法 | |
JP2003031566A (ja) | 低誘電率絶縁膜形成用組成物、これを用いる絶縁膜形成方法、及びそれにより得られた絶縁膜を有する電子部品 | |
TW409283B (en) | Integration of LOW-K polymers into interlevel dielectrics using controlled electron-beam radiation | |
TW483069B (en) | Laser curing of spin-on dielectric thin films | |
US20090246718A1 (en) | Method of creating a graded anti-reflective coating | |
CN100428453C (zh) | 含有低k介电阻挡膜的互连结构及其制造方法 | |
DE102006062728A1 (de) | Halbleitervorrichtung und Verfahren zu deren Herstellung | |
JP2003218105A (ja) | 電気絶縁性薄膜形成用樹脂組成物、電気絶縁性薄膜の形成方法、および電気絶縁性薄膜 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
EE01 | Entry into force of recordation of patent licensing contract |
Assignee: Applied Materials Inc.|Electronic vision Inc Assignor: Honeywell International Inc.|Honeywell intellectual property company Contract fulfillment period: Within the validity of the patent Contract record no.: 031000030215 Denomination of invention: The low -K polymer is incorporated into the interlayer dielectric by controlled electron beam radiation Granted publication date: 20030702 License type: Exclusive license Record date: 20030708 |
|
LIC | Patent licence contract for exploitation submitted for record |
Free format text: EXCLUSIVE LICENCE; TIME LIMIT OF IMPLEMENTING CONTACT: PATENT RIGHT DURATION Name of requester: APPLICATION MATERIAL LTD. ELECTRONICS VISION CO., Effective date: 20030708 |
|
C19 | Lapse of patent right due to non-payment of the annual fee | ||
CF01 | Termination of patent right due to non-payment of annual fee |