CN1113408C - 利用受控电子束辐射将低-k聚合物并入层间电介质 - Google Patents

利用受控电子束辐射将低-k聚合物并入层间电介质 Download PDF

Info

Publication number
CN1113408C
CN1113408C CN98805439A CN98805439A CN1113408C CN 1113408 C CN1113408 C CN 1113408C CN 98805439 A CN98805439 A CN 98805439A CN 98805439 A CN98805439 A CN 98805439A CN 1113408 C CN1113408 C CN 1113408C
Authority
CN
China
Prior art keywords
electron beam
dielectric layer
dielectric
layer
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN98805439A
Other languages
English (en)
Other versions
CN1257610A (zh
Inventor
J·J·杨
L·弗雷斯特
D·K·蔡
S·Q·王
N·H·亨德里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
AlliedSignal Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AlliedSignal Inc filed Critical AlliedSignal Inc
Publication of CN1257610A publication Critical patent/CN1257610A/zh
Application granted granted Critical
Publication of CN1113408C publication Critical patent/CN1113408C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Abstract

一种制备应用于制造集成电路的基片的方法,其中,将旋涂低介电常数(低-k)聚合物薄膜施涂到半导体基片上。利用电子束辐射,完成了旋涂低-K聚合物薄膜的非深腐蚀过程,薄膜,特别是位于金属导线之间的薄膜没有失去低介电常数特性。将聚合物介电薄膜(7,8)施涂到基片上,并干燥之,再在足以使介电层部分固化的条件下将其在电子束辐射下曝光。曝光使介电层顶部(8)形成较高硬化,使介电层下部(7)形成较低硬化。

Description

利用受控电子束辐射将低-K 聚合物并入层间电介质
                  相关申请交叉引证
本申请要求1997年3月24日提交的美国临时申请系列号60/041,104(未决)的权利,在此引入该文件作为参考。
                        发明背景
发明领域
本发明涉及制备应用于集成电路制造中的基片。具体地说,本发明涉及在半导体基片上旋涂(spin-on)低介电常数(低-k)聚合物薄膜。本发明利用电子束辐射将低-K聚合物薄膜并入半导体元器件的层间电介质(ILD)层,从而提供了一种旋涂低-K聚合物薄膜的非深腐蚀加工、和保持在半导体基片上的金属导线之间的区域中的旋涂聚合物薄膜的低介电常数的方法。
                     现有技术叙述
半导体技术的发展趋势是制造具有更多的且更快的电路的集成电路(IC)片。这样超大规模的集成使零件尺寸不断缩小,结果在单一集成电路片上能够置入大量的元器件。鉴于集成电路片表面面积有限,互相连结线的密度一般在基片上以多层结构扩展,元器件必须以这种多层方式交互连结。这种互连除了设计为接触之处外,彼此必须电绝缘。通常电绝缘需要将介电薄膜覆盖在表面上,例如采用化学气相沉积(CVD)法或旋涂法。按集成电路设计规则元器件缩小的同时,布线间距也减小。这样使互连线中信号传送延迟成为总周期的可观部分。使信号延迟至最小的刺激推动了广泛地进行研究工作,以开发在集成电路(IC)制造中能作为层间电介质使用的低介电常数(低-k)的材料。在ILD层中使用的多数低-k材料以热固化旋涂有机或无机聚合物为基础。虽然这些低-k材料有理想的低介电常数,但是薄膜的并入方法是复杂的,并且经常需要深腐蚀加工,随后还要使用传统化学气相氧化物沉积(CVD)覆盖介电层。此外,许多热固化低-k聚合物薄膜的介电常数是不稳定的;并且当曝光到在金属淀积所存在的高温中时,或者当曝光到在诸如除去光致抗蚀剂所使用的氧等离子体等氧化环境中时,其介电常数有增加倾向。这些,与热固化旋涂聚合物薄膜相关的缺点,经常与其固有特性有关,例如:低密度、吸湿敏感性、热稳定性差和氧等离子体氧化敏感性。所以,将许多热固化旋涂低-k聚合物并入ILD层是复杂的,经常需要诸如深腐蚀和覆盖等另外的加工步骤。在并入过程中,许多热固化低-k聚合物的介电常数增加,这样,其低-k特性因最终半导体元器件加工进行完毕而部分或全部退化。本领域已知,使用有机聚合物电介质作IC互连绝缘体。这包括诸如聚亚芳基醚、氟化聚亚芳基醚、硅杂倍半噁烷(silsesquioxane)和硅氧烷等组合物。其它有机聚合物电介质和由其生产的制品的实例公开在美国专利5,145,936;5,108,840;5,115,082;5,114,780;5,155,175;5,179,188;5,250,667;5,235,044;5,173,542和5,270,453中。在所有这些实例中,均是通过旋涂法将薄膜积附在半导体基片上,然后再经充分热固化。
发明概述
本发明提供了一种在基片上形成介电薄膜的方法,该方法包括:将聚合物介电组合物层施涂到基片上并干燥之,和使干燥层曝光在电子束辐射之中,其曝光条件应足以使介电层部分固化,并且在其中曝光使介电层顶部发生较高硬化,而在介电层下部发生较低硬化。
本发明还提供了一种如上所述还包含下述步骤的方法;在电子束照射之前,将光致抗蚀剂层施涂到基片上的至少部分固化的介电层上,使光致抗蚀剂层经曝光和显影成象,借此从介电层除去部分光致抗蚀剂层,并在介电层上留下部分光致抗蚀剂;其中,在介电层上保留的部分光致抗蚀剂的下面的介电层部分所受到的电子束辐射,少于与光致抗蚀剂层除去部分相应的介电层部分。
现己发现,在用于IC互连加工的某些旋涂介电薄膜经受控电子束辐射处理固化时,这些介电薄膜的性能较热固化者有所改善。这样,例如:本发明提供了关于对旋涂低-k电介质进行非深腐蚀(non-etchback)处理的改进方法,该法所采用的是受控电子束辐射处理。本发明还提供了关于保护下面的介电层免受引起退化的加工环境的作用的改进方法,特别是关于采用受控电子束辐射处理,保护某些旋涂低-k聚合物薄膜的介电常数的改进方法。本发明还提供了关于采用受控电子束辐射处理,保持在诸如金属导线间的区域的旋涂聚合物薄膜的固有介电常数的改进方法。
已经发现,如果将刚沉积的旋涂介电薄膜曝光在较低能量的电子束辐射之中,然后经标准加热板处理,那末就形成了一层“皮”,这层皮作为电子束辐射最直接接触的薄膜外层。该“皮”厚度可通过电子束能级进行调节,包括:曝光的持续时间、和输送到薄膜上的电子束积分(总)剂量。例如,薄膜能够仅仅少量固化,形成标称为500~6,000埃的薄皮,这取决于层间电介质厚度。这样,在半导体加工中能够有利地使用关于薄膜的连续固化区(extents of cure)技术。同时形成皮的相对短暂固化过程,能够使薄膜保持低介电常数,能够在薄膜上获得硬化的抗氧化外层,以及,与薄膜整个厚度的电子束辐射固化相比,加工时间能够减至最小。采用这种方法,能使原来的均匀介电层转变成不同的两层。金属上层的介电层得到了很大改进,这样它的一些性能起了很大变化。该层具有优良的性能,如:低吸湿性或不吸湿,以及,在曝光在高温和氧等离子体环境时退化低或不退化,如此,得到了不发生退化的非深腐蚀方法。在下面的介电层,特别是在金属导线之间,保持了其固有的介电性能,例如低介电常数。改进的顶层保护了下面的介电层,这样下面的电介质的性能就不受高温和氧等离子体的影响。
在本发明的另一个优选实施方案中,使用非深腐蚀介电方法,该法排除了,在腐蚀通过介电层之后在通道中的残余水份和含碳残余物引起的毒物通道问题。在该方法中,受控电子束辐射仅有选择地改善了光致抗蚀剂显影区的介电层,即金属导线顶部区域。在这种情况下,受控电子束固化深度取决于在金属导线顶部所保留的电介质厚度。采用这种方法,能够基本消除毒物通道,同时,在金属导线间的电介质和层间金属导线电介质的固有低介电常数得到了保持。
                   附图简述
图1是本发明的一个实施方案的示意图,表明,采用受控电子束固化旋涂低-k聚合物的非深腐蚀方法。
图2是本发明的另一个实施方案示意图,表明,在除去部分光致抗蚀剂层的下面采用选择性电子束固化电介质,对旋涂低-k聚合物进行的非深腐蚀方法。
图3a示出热固化、电子束部分固化和电子束全固化的氢硅杂倍半噁烷聚合物薄膜的FTIR谱。
图3b示出不同剂量的电子束部分固化的氢硅杂倍半噁烷聚合物薄膜的FTIR谱。
图4示出,对于经各种条件电子束辐射的氢硅杂倍半噁烷薄膜;在BOE(50∶1)中的湿腐蚀速率与薄膜厚度的深度的函数关系。
图5a示出,热固化氢硅杂倍半噁烷聚合物薄膜在分别曝光在400℃、450℃、500℃和600℃下30分钟之后的FTIR谱。
图5b示出,电子束部分固化氢硅杂倍半噁烷聚合物薄膜在分别曝光在400℃、450℃、500℃和600℃30分钟之后的FTIR谱。
图6a示出,热固化甲基硅杂倍半噁烷聚合物薄膜在曝光于氧等离子体之前和之后的FTIR谱。
图6b示出,电子束部分固化(电子束部分固化1)甲基硅杂倍半噁烷聚合物薄膜在曝光于氧等离子体之前和之后的FTIR谱。
图6c示出,电子束部分固化(电子束部分固化2)甲基硅杂倍半噁烷聚合物薄膜在曝光于氧等离子体之前和之后的FTIR谱。
                  优选实施方案详述
本发明的第一个实施方案,通过在基片上施加并干燥聚合物介电组合物层,在基片上形成介电薄膜。然后将干燥层曝光在电子束辐射中,辐射条件应足以使介电层部分固化,如此,在上述层的顶部形成硬化较高的顶部介电层,和在所述层的顶部之下形成硬化较低的下部介电层。
一般说,将介电化合物施加到加工成IC或其它微电子元器件的片状基片上。对本发明来说,适宜的平面基片非排它地包括半导体材料,如:砷化镓(GaAs)。硅和含有硅的组合物,例如含有结晶硅、多晶硅、无定形硅、外延硅和二氧化硅(SiO2)及其混合物,在基片表面上可以有或没有电路图。
在环境条件下,将液体介电层,优选在适宜溶剂中的旋涂玻璃,施加到基片表面上。介电层可以包括硅杂倍半噁烷聚合物、硅氧烷聚合物、聚亚芳基醚、氟化聚亚芳基醚、聚合物电介质材料或其混合物。本发明有用的聚合物介电材料包括:通式为[(HSiO1.5)xOy]n的氢硅氧烷、通式为(HSiO1.5)n的氢硅杂倍半噁烷、和通式为[(HSiO1.5)xOy(RSiO1.5)z]n、[(HSiO1.5)x(RSiO1.5)y]n和[(HSiO1.5)xOy(RSiO1.5)z]n的氢化有机硅氧烷。在这些聚合物的每个通式中,x=约6~约20,y=1~约3,z=约6~约20,n=1~约4,000,每个R彼此无关为H、C1~C8烷基或C6~C12芳基。重均分子量范围可以为约1,000~约220,000。在优选实施方案中,n为约100~约800,得到的分子量为约5,000~约45,000。更优选,n为约250~约650,得到的分子量为约14,000~约36,000。在本发明范围中,有用的聚合物,非排它地包括:氢硅氧烷、氢硅杂倍半噁烷、氢甲基硅氧烷、氢乙基硅氧烷、氢丙基硅氧烷、氢丁基硅氧烷、氢化叔丁基硅氧烷、氢苯基硅氧烷、氢甲基硅杂倍半噁烷、氢乙基硅杂倍半噁烷、氢丙基硅杂倍半噁烷、氢丁基硅杂倍半噁烷、氢叔丁基硅杂倍半噁烷、氢苯基硅杂倍半噁烷及其混合物。优选氢化有机硅氧烷、聚亚芳基醚、氟化聚亚芳基醚及其混合物。有用的有机聚合物包括聚酰亚胺、氟化和非氟化聚合物,尤其是可以以商品名FLARETM得自Allied Signal公司的氟化和非氟化聚芳基醚,以及其共聚物混合物。优选的适用于本发明的硅氧烷材料是Allied Signal公司市售的,商品名为Accuglass。聚合物组分的优选存在量为组合物的约10%~约30%(重量)。更优选的范围为组合物的约15%~约30%(重量),最优选的范围为约17%~约25%(重量)。
组合物还含有包含至少一种溶剂的溶剂成分。适宜的溶剂非排它地包括水和有机溶剂,其量应足以形成介电材料的均匀溶液或分散体。有用的线性溶剂的例子,非排它地包括十甲基四硅氧烷、1,3-二辛基四甲基二硅氧烷、八甲基三硅氧烷、五甲基二硅氧烷、六甲基二硅氧烷、1,1,3,3,5,5-六甲基三硅氧烷、1,1,3,3-四甲基二硅氧烷、1,3-双(三甲基甲硅烷氧基)-1,3-二甲基硅氧烷、双(三甲基甲硅烷氧基)乙基硅烷、双(三甲基甲硅烷氧基)甲基硅烷、十甲基四硅氧烷、十二甲基五硅氧烷、1,1,1,3,3,5,5-庚甲基三硅氧烷、六乙基二硅氧烷、庚甲基三硅氧烷和1,1,3,3-四异丙基二硅氧烷。有用的环状溶剂的实例非排它地包括十甲基环戊硅氧烷、六乙基环三硅氧烷、六甲基环三硅氧烷、1,3,5,7-四甲基环四硅氧烷、五甲基环五硅氧烷、八甲基环四硅氧烷、通式为(CH3HSiO)3~5的甲基氢化环硅氧烷、1,3,5,7-四乙基环四硅氧烷和1,3,5,7-四甲基环四硅氧烷。已经发现,特别优选本发明的溶剂共混物,因为借助形成这样的共混物,人们能够精细调节组合物在基片上的蒸发速率。优选溶剂成分在整个组合物中的存在量为组合物的约70%~约90%(重量),更优选为组合物的约70%~约85%,最优选为约75%~约83%(重量)。
可以借助本领域熟知的传统旋涂、浸渍涂布、喷涂或弯月面涂层方法将介电材料施加到基片上。最优选旋涂法。在基片上的介电薄膜厚度可以依施加到基片上的液态电介质的量而变化,但是一般厚度为约500A~约50,000A,优选约2000A~约12000A。施加到基片上的介电液体的量可以为约1ml~约10ml不等,优选约2ml~约8ml。在优选实施方案中,按照已知旋涂工艺,将液体物料旋转到基片上表面上。优选,以溶液施加电介质,在中心将溶液施加到基片上,然后在转轮上以约500~约6000rpm旋转,优选约1500~约4000rpm,旋转时间为约5~约60秒,优选约10~约30秒,以便将溶液均匀撒布在基片整个表面上。优选介电层密度为约1g/cm3~约3g/cm3
在电介质物料施加到基片之后,电介质基片组合任选但优选在一定温度下加热一段时间,时间和温度两者应足以使电介质薄膜中存在的溶剂蒸发,并使薄膜部分固化。所要求的部分固化程度可以由本领域技术人员决定,并不需要进行过多的实验。在曝光到电子束之前的该热处理取决于材料和涂层方法。一般说,电介质涂层基片在约50℃~约450℃下进行加热,更优选在约80℃~约375℃下进行,加热时间为约0.5~约30分钟,更优选约1~约3分钟。优选在加热板上进行加热,但也可以在烘箱中进行。在优选实施方案中,第一次,电介质在约80℃~约180℃下加热约30秒~约2分钟,然后在约150℃~约250℃下加热约30秒~约2分钟,第三次加热在约180℃~约375℃下进行约30秒~约2分钟。对薄膜进行的热处理使薄膜层部分交联和固化以及部分平面化。在加热涂层之后,所得薄膜的厚度为约500A~约50,000A,优选约500A~约20,000A,更优选为约1000A~约12,000A。
本发明的重要特征是,在足以使电介质层部分固化的条件下,将干燥的层曝光在电子束辐射中,在其中,曝光形成了电介质层最上表层较高的硬化和电介质层下部较低的硬化。例如:电介质层较多固化的上部厚度为约200~约10,000A,而下部其余部分固化较少或交联较少。在一种情况下,电介质层顶部曝光在电子束辐射中,而介电层下部基本上没有曝光在电子束辐射中,邻近基片的最低部分则完全没有。在另一种情况下,在整个层中,下部经受的曝光程度是分等级的。
涂层基片通过将基片表面曝光在电子流之中而被固化。进行电子束曝光的温度总取决于所要求的所得薄膜的特性和所要求的加工时间的长短。本领域普通技术人员能够容易地使曝光条件最佳化,以便得到所要求的结果,但是加热温度一般总为约50℃~约500℃,优选为约150℃~约400℃。可以加热约1~约360分钟,优选为约3分钟~约30分钟。在另一个实施方案中,该加热可以在电子束曝光之前而不是在曝光期间进行。在电子束固化期间的压力为约0.1毫托~约100托,优选为约1毫托~约100毫托。电子束曝光的时间取决于施加到基片上的电子束剂量的强度,和电子束流的密度。本领域普通技术人员能够容易地使曝光条件最佳化,以便得到所要求的结果,但是,一般说,曝光时间总为约1分钟~约120分钟,优选为约3分钟~约60分钟,同时施加的电子束剂量为约500~约20,000每平方厘米微库仑,优选约2,000~约10,000每平方厘米微库仑。电子束的加速电压为约0.5~约20KeV。所选择的剂量和能量与待处理薄膜的厚度成比例。电介质涂层基片可以在任何具有对置于其中的基片实施电子束辐射手段的室里曝光在电子束之中。在优选实施方案中,将电介质涂层基片置于Allied Signal公司的一个单位Electron Vision市售的、商品名为“Electron Cure”TM的室中。其操作原理和性能特点叙述在美国专利No.5,001,178中,在此引入作为参考。该系统具有大面积电子源,能够照射直径达200mm的基片。另外,电子源的能量是可变的。优选电子束曝光在选自下述的气体存在下进行,所述气体有氢、氦、氩、氮、氧、氙及其混合物,优选为氩、氢、氧和其混合物。加工气体的选择主要取决于材料。
图1为本发明的一个实施方案的示意图,表明,采用受控电子束固化,对旋涂低-k聚合物的非深腐蚀方法。该图示出化学气相沉积氧化物层2,其覆盖着基片上的金属导线4。将介电聚合物旋涂到氧化物上并烘焙至干燥。将聚合物曝光到电子束辐射中,在其中,控制电子束贯穿,其深度约等于在金属导线上面的介电薄膜的厚度8。在下面的区域7受到的电子束照射很少或者未受到照射。虚线表示这些区域之间的界面。
对于所述电子束固化方法来说,参数中最重要的参数量是电子束能量,其决定电子束贯穿深度,因此决定薄膜的固化深度。所需要的电子束固化深度取决于保留在金属导线上面的介电薄膜的厚度,如图1所示。如果已知薄膜密度,可以按如下Grun方程确定电子束贯穿深度(或电子束固化深度)与其之间的关系。
           Rg=(0.046/d)Va 1.75                  (1)式中:Rg是电子在材料中的作用范围(μm)或Grun范围,d是薄膜密度(g/cm3)和Va是加速电压或电子能量(KeV)。然而,电子束固化深度和电子能量之间的关系能够通过在电子束不同能级下对具体材料进行一系列实验确定。
施加到介电薄膜上的电子束剂量取决于所需要的所得薄膜的特性。对于对吸湿和氧化敏感的薄膜来说,较大的电子束剂量有有益的影响。然而,电子束剂量较大,会引起从电子束固化逐渐转变为非电子束固化,这样电子束贯穿到所要求的薄膜深度之外,这是由于电子束的二次散射。所以,也对电子束剂量进行优选,这是通过一系列的、包括在所需要的电子束能级下各种剂量的实验进行选择的。优选所照射的介电层的介电常数范围为约2.6~约4.0,其取决于所选择的聚合物材料。优选地,相对硬化较高的介电层的顶部的介电常数不同于相对硬化较低的介电层的下部的介电常数。照射较少或未受到照射的介电层的下部,优选地,保持其固有介电常数,或者可以稍有变化。任选,此后可以在足以除去电子束照射之后电子束照射引起的副产物的条件下,进一步加热介电层。这可以在约50℃~约500℃下进行约1分钟~约360分钟,优选在约150℃~约425℃下进行约3分钟~约60分钟。
本发明还提供了一种低介电常数金属间介电薄膜的非深腐蚀加工方法,该方法包括将介电聚合物组合物施加到有导线的半导体基片表面并干燥之。将光致抗蚀剂施加到介电聚合物组合物上,再以传统方法曝光在光化辐射之中并显影,这样,直接靠在元器件上的金属导线上的光致抗蚀剂部分被照射并被除去。然后,使基片经电子束辐射,这样仅仅使直接靠着金属导线上的介电薄膜部分完全固化。最后,采用传统的剥离光致抗蚀剂的方法除去剩余的光致抗蚀剂。图2(a)(b)和(c)示出覆盖基片上的金属导线4的化学气相沉积氧化物2。将介电聚合物旋涂在氧化物上,并焙烘至干燥。图2(a)示出,施加到介电聚合物组合物上、并经传统方法照射和显影的光致抗蚀剂10,运样,除去了在金属导线4上方的光致抗蚀剂部分。将聚合物,在光致抗蚀剂以图象方式除去的地方,曝光在电子束辐射之中,这样电子束受控贯穿到在金属导线上面的介电薄膜的区域8。图2(b)示出电子束曝光和随后剥离整个光致抗蚀层之后的结构。在下面的区域6受到的电子束辐射很少或没有受到辐射。然后以普通方法形成通道12,形成了图2(c)所示的结构。在此情况下,剩余的光致抗蚀剂也起着防止电子深深地穿透到介电区6的防护罩的作用。线5近似为电子贯穿深度的侧面图。
适宜的阳性光致抗蚀剂组合物及其应用方法叙述在,例如,美国专利No.3,666,473、4,115,128和4,173,470。其包括与光敏材料在一起的不溶于水溶于碱的水溶液的树脂。借助于以图象方式曝光经光化辐射曝光的目标部分,光致抗蚀剂成为碱可溶的,所以,与未曝光区域相比,曝光过的涂层区域变成更易溶。溶解速率的这种区别,使光致抗蚀剂涂层的经过照射的区域,在将基片浸在碱性显影液中时,被溶解,而未照射的区域基本不受影响,这样,在基片上形成了正浮雕图形。光致抗蚀剂涂层使区域6免受电子束照射。
以下非限制性实例举例说明本发明。
                     实例1
电子束部分固化:
以传统旋涂法,使用包含氢硅杂倍半噁烷聚合物和有机溶剂混合物的溶液,将硅片(基片)涂层。使所涂覆的硅片经加热板处理,处理条件递次为150℃1分钟,随后180℃1分钟,最后275℃1分钟。然后,将涂层硅片在电子束照射设备(Allied Signal公司的一个单位,Electron Vision出品的ElectronCure 30TM)中在表1所示条件下曝光在电子束中。从电子束设备中取出涂层硅片,该硅片有如下方面表征,例如:Si-H键保持与电子束能量和电子束剂量的函数关系,采用FTIR分析法;以及通过薄膜厚度的湿腐蚀速率与电子束能量和电子束剂量的函数关系。将该实验设计成能验证电子束部分固化方法(电子束部分固化意指,在电子束部分固化的条件下,电子束贯穿被限制在小于薄膜总厚度的薄膜的某深度)和其对电子束能量和电子束剂量的依赖性。
                   表1基片    在电子束                 电子束照射条件            薄膜   特性湿腐ID      照射之前                                           Si-H    蚀速率
    的热处理    能量    剂量    温度    电流    环境   保留   通过薄膜
               (KeV)  (μC/cm2)(℃)    (mA)    气体   (%)     深度1      以控制方式
   进行焙烘和
     热固化2         焙烘       3      3000     250     15      氩     69    湿腐蚀速率
                                                              自约1000
                                                              薄膜深度开
                                                              始迅速增加3         焙烘       3      7500     250     15      氩     56    湿腐蚀速率
                                                              自约1000
                                                              薄膜深度开
                                                              始迅速增加4         焙烘       5.5    5000     250     15      氩     22    在整个薄
                                                              膜厚度,
                                                              均匀5         焙烘       8      3000     250     15      氩     27    在整个薄
                                                              膜厚度,
                                                              均匀6         焙烘       8      7500     250     15      氩     10    在整个薄
                                                              膜厚度,
                                                              均匀
焙烘:在150℃下1分钟,在180℃下1分钟,在275℃下1分钟。
热固化:30分钟,在400℃下,在大气压下,具有15l/min的氮气
        流。
FTIR谱在波数约2265cm-1的Si-H峰是氢硅杂倍半噁烷聚合物的特征峰。电子束照射能够使Si-H键断裂,驱使氢从聚合物薄膜扩散出。另外,电子束照射还使聚合物致密。这改善了氢硅杂倍半噁烷薄膜,使其在化学结构和物理性能方面更相似于二氧化硅(SiO2)。增能电子的贯穿深度(或Grun范围)取决于电子能级和材料密度,对于给定材料来说,电子贯穿深度仅取决于电子能级。根据表1和图3(a)、3(b)和4的结果,对于能量3KeV的电子束照射来说,Si-H键保留高达热固化薄膜的56~69%,说明电子贯穿深度远远低于薄膜厚度(约为薄膜厚度的1/3)。然而,对于5.5和8KeV电子束能量来说,Si-H键的峰大大下降,说明聚合物薄膜的整个层厚均受到电子束照射。另外,正如图4所示,对于在3KeV下进行的电子束照射来说,湿腐蚀速率比较均匀,达到薄膜厚度约1000。然而,随着薄膜厚度的深度显著增加。这也说明该薄膜1000厚的顶层比薄膜其余部分硬。对于高能量照射的薄膜来说,在整个厚度的湿腐蚀速率都比较均匀。另外,明显可见,电子束剂量对电子束固化深度的影响不如电子束能量的影响大。
                    实例2
热稳定性
以传统旋涂法,使用包含氢硅杂倍半噁烷聚合物和有机溶剂的混合物的溶液,将硅片涂层。使涂层硅片经加热板处理,处理条件递次为150℃1分钟,然后180℃1分钟,最后275℃1分钟。然后,将涂层硅片分成两组,一组进行控制热固化(称为热固化),而另一组进行电子束部分固化(称为电子束部分固化)。热固化组的涂层硅片在400℃卧式炉中在10l/min氮气流大气压下热固化30分钟。另一组涂层硅片在部分固化条件下曝光到电子束中(能量:3KeV,剂量:3000μC/cm2,温度:250℃,电流:15mA,氩气环境)。然后,将每组的一个硅片,分别在400℃、450℃、500℃和600℃卧式炉中在10l/min氮气流大气压下经受处理30分钟,然后,对这些硅片进行薄膜厚度,收缩率和FTIR分析,评价热稳定性(Si-H键保留和薄膜收缩)。其结果示于表2。
                                           表2
固化方法            Si-H保留(%)            薄膜收缩(%)
400℃  450℃  500℃  600℃  400℃  450℃  500℃  600℃
热固化 87.7  55.3  29.5  25.4  0.9  4.0  7.6  11.4
电子束部分固化 99.0  89.1  80.6  37.5  0.4  1.1  2.4  9.3
如表2和图5(a)和5(b)所示,对于热固化薄膜来说,Si-H键保留随着热曝光的温度增加而迅速减少,而薄膜收缩随着温度增加而显著增加。然而,对于电子束部分固化薄膜来说,与给定的热曝光温度的上述情况相比,Si-H键保留高得多,而收缩低得多。这些结果说明,电子束部分固化显著提高了热稳定性,至少达到500℃。在现行制造IC的实践中,关于后道加工,所涉及的温度很少高于450℃。通过电子束部分照射而得到的该聚合物薄膜的热稳定性的这种改善,在制造容易程度、可靠性、生产能力有很大好处,因此制造成本方面均得到很大好处。
                        实例3
耐氧等离子体性
以传统旋涂法,使用包含甲基硅杂倍半噁烷聚合物和有机溶剂混合物的溶液,将硅片涂层。使涂层硅片经加热板处理,处理条件递次为180℃1分钟、然后180℃1分钟、最后250℃1分钟。然后,将涂层硅片分成三组,一组进行控制热固化(称为热固化),而另两组进行电子束部分固化(称为电子束部分固化1和电子束部分固化2)。热固化组的涂层硅片在425℃卧式炉中15l/min氮气流大气压力下进行热固化60分钟。将电子束部分固化1组的涂层硅片在电子束中曝光,条件为,能量:2KeV,剂量:3000μC/cm2,温度:250℃;电流:15mA,氩气环境。电子束部分固化2组的涂层硅片曝光在与电子束部分固化1组相同条件下的电子束中,只是在这种情况下能量为3KeV。然后,将所有硅片在Gasonic Aura 1000剥绝缘器(stripper tool)中在氧等离子体中曝光60秒,其条件为:氧气流4.5l/min,氮气流0.45l/min,温度约250℃。然后,对Si-C(波数~1280cm-1)和C-H(波数~2970cm-1)键的保留进行FTIR分析,对水或硅烷醇(Si-OH)吸收进行FTIR分析以及对薄膜收缩进行检验,从而确认其特征。这些结果示于表3和图6(a)~(c)中。
                          表3固化方法      Si-C           C-H       Si-OH或    薄膜收缩
            保留(℃)     保留(%)     H2O(%)     (%)
热固化         19           34          0.59       17.6电子束部分固化1    101          100         0.10       1.2电子束部分固化2    102          100         0.13       -1.4
如表3和图6(a)~(c)表示,对于热固化甲基硅杂倍半噁烷薄膜来说,因氧等离子体照射,Si-C和C-H含量显著下降,同时对水和/或硅烷醇的吸收显著,并且薄膜收缩率高,说明薄膜对氧等离子体很敏感。与此相反,对于电子束固化薄膜来说,Si-C和C-H键均保留100%,同时薄膜收缩以及水和/或硅烷醇吸收均很少。电子束部分处理使薄膜的耐氧等离子体性大大提高。
                       实例4
介电常数
以传统旋涂法,使用包含甲基硅杂倍半噁烷聚合物和有机溶剂混合物的溶液或者使用包含氢硅杂倍半噁烷聚合物和有机溶剂混合物的溶液,将硅片涂层。使涂层硅片经加热板递次处理,随后经热固化,电子束部分固化或电子束全固化。表4示出该实验条件,并详述焙烘、热固化和电子束固化条件。采用传统溅射金属沉积设备,在涂层硅片上面涂厚度约1微米、直径0.5mm的铝点。将所测定的介电常数数据示于表4。
                      表4硅片ID         材料          焙烘方法     固化方法     介电常数1      甲基硅杂倍半噁烷     焙烘1)     热固化1)      2.812      甲基硅杂倍半噁烷     焙烘1)  电子束部分固化    2.923      甲基硅杂倍半噁烷     焙烘1)  电子束全固化1)   3.694       氢硅杂倍半噁烷      焙烘2)     热固化2)      2.715       氢硅杂倍半噁烷      焙烘2)  电子束部分固化    2.736       氢硅杂倍半噁烷      焙烘2)  电子束全固化2)   3.64
焙烘1):180℃下1分钟,然后180℃下1分钟,250℃下1分
        钟。
焙烘2):150℃下1分钟,然后180℃下1分钟,275℃下1分
        钟。
热固化1):425℃下60分钟,氮气流15l/min。
热固化2):400℃下30分钟,氮气流10l/min。
电子束部分固化:能量2KeV,剂量3000μC/cm2,温度250℃,
        电流15mA,氩气。
电子束全固化1):能量5KeV,剂量8000μC/cm2,温度400℃,电
        流15mA,氩气环境。
电子束全固化2):能量5.5KeV,剂量7500μC/cm2,温度250℃,
        电流15mA,氩气环境。
正如所见,照射使介电层顶部形成较高硬化,而使介电层下部形成较低硬化。将旋涂低介电常数(低-k)聚合物薄膜施涂到半导体基片上,并使用电子束辐射进行加工,薄膜没有失去低介电常数特性。

Claims (24)

1.一种在基片上形成介电薄膜的方法,该方法包括:将聚合物介电组合物层施涂到基片上并干燥之,和使干燥层曝光在电子束辐射之中,其曝光条件应足以使介电层部分固化,并且在其中曝光使介电层顶部发生较高硬化,而在介电层下部发生较低硬化。
2.权利要求1的方法,其中介电组合物层包括硅杂倍半噁烷聚合物、硅氧烷聚合物、聚酰亚胺、聚亚芳基醚、氟化聚亚芳基醚或其混合物。
3.权利要求1的方法,其中,介电层厚度为约500~约50,000。
4.权利要求1的方法,其中,硬化较高的介电层顶部的介电常数不同于硬化较低的介电层下部的介电常数。
5.权利要求1的方法,其中,介电层顶部电子束辐射下曝光,而介电层下部没有在电子束辐射下曝光。
6.权利要求1的方法,其中,介电层厚度为约500~约50,000,介电层顶部厚度为约200~约10,000。
7.权利要求1的方法,其中,基片包括半导体材料。
8.权利要求1的方法,其中,介电组合物层包括至少一种具有下述通式的聚合物,所述通式选自[(HSiO1.5)xOy]n,(HSiO1.5)n,[(HSiO1.5)xOy(RSiO1.5)z]n,[(HSiO1.5)x(RSiO1.5)y]n和[(HSiO1.5)xOy(RSiO1.5)z]n,其中x=约6~约20,y=1~约3,z=约6~约20,n=1~约4,000;每个R彼此无关,为H、C1~C8烷基或C6~C12芳基。
9.权利要求1的方法,其中,介电组合物层包括氢硅杂倍半噁烷或甲基硅杂倍半噁烷。
10.权利要求1的方法,还包括在电子束照射之前或在照射期间足以形成在基片上的至少部分固化介电层的条件下,加热介电层的步骤。
11.权利要求10的方法,其中,加热在约25℃~约500℃的温度下进行约1~约360分钟。
12.权利要求10的方法,其中,还包括下述步骤,在电子束照射之前,将光致抗蚀剂层施涂到基片上的至少部分固化的介电层上,使光致抗蚀剂层经曝光和显影成象,借此从介电层除去部分光致抗蚀剂层,并在介电层上留下部分光致抗蚀剂;其中,在介电层上,光致抗蚀剂保留部分下面的介电层部分所受到的电子束辐射少于与光致抗蚀剂层除去部分相应的介电层部分受到的电子束辐射。
13.权利要求10的方法,其中,电子束照射形成基本均匀的硬化介电层。
14.权利要求12的方法,还包括后来的除去保留在介电层上的部分光致抗蚀剂的步骤。
15.权利要求12的方法,还包括接着在与光致抗蚀剂层的除去部分相应的部分介电层上形成到达基片的通孔的步骤。
16.权利要求15的方法,其中,在通道下面的基片部分包含导电材料。
17.权利要求1的方法,其中,电子束照射在选自氢、氦、氧、氩、氮、氙及其混合物的气体存在下进行。
18.权利要求1的方法,其中,电子束照射在约25℃~约500℃的温度下进行。
19.权利要求1的方法,其中,曝光过的介电层的介电常数为约2.6~约4.0。
20.权利要求1的方法,其中,介电层的密度为约1g/cm3~约3g/cm3
21.权利要求1的方法,其中,电子束照射在能级约0.5KeV~约20KeV下进行。
22.权利要求1的方法,其中,电子束照射在电子剂量约500μC/cm2~约20,000μC/cm2下进行。
23.权利要求1的方法,还包括,在足以除去在电子束照射之后电子束照射所产生的副产物的条件下,加热电介质层的步骤。
24.权利要求23的方法,其中加热在约50℃~约500℃的温度下进行约1~约360分钟。
CN98805439A 1997-03-24 1998-03-09 利用受控电子束辐射将低-k聚合物并入层间电介质 Expired - Fee Related CN1113408C (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US4110497P 1997-03-24 1997-03-24
US60/041104 1997-03-24
US60/041,104 1997-03-24
US09/028465 1998-02-24
US09/028,465 US6080526A (en) 1997-03-24 1998-02-24 Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US09/028,465 1998-02-24

Publications (2)

Publication Number Publication Date
CN1257610A CN1257610A (zh) 2000-06-21
CN1113408C true CN1113408C (zh) 2003-07-02

Family

ID=26703725

Family Applications (1)

Application Number Title Priority Date Filing Date
CN98805439A Expired - Fee Related CN1113408C (zh) 1997-03-24 1998-03-09 利用受控电子束辐射将低-k聚合物并入层间电介质

Country Status (9)

Country Link
US (1) US6080526A (zh)
EP (1) EP0970519B1 (zh)
JP (1) JP3276963B2 (zh)
KR (1) KR100477455B1 (zh)
CN (1) CN1113408C (zh)
CA (1) CA2284760A1 (zh)
DE (1) DE69836009D1 (zh)
IL (1) IL132017A0 (zh)
WO (1) WO1998043294A1 (zh)

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6607991B1 (en) 1995-05-08 2003-08-19 Electron Vision Corporation Method for curing spin-on dielectric films utilizing electron beam radiation
US6042994A (en) * 1998-01-20 2000-03-28 Alliedsignal Inc. Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US8021976B2 (en) 2002-10-15 2011-09-20 Megica Corporation Method of wire bonding over active area of a semiconductor circuit
EP1157059A1 (en) 1999-01-08 2001-11-28 The Dow Chemical Company Low dielectric constant polymers having good adhesion and toughness and articles made with such polymers
US6361837B2 (en) 1999-01-15 2002-03-26 Advanced Micro Devices, Inc. Method and system for modifying and densifying a porous film
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6770975B2 (en) * 1999-06-09 2004-08-03 Alliedsignal Inc. Integrated circuits with multiple low dielectric-constant inter-metal dielectrics
US6271127B1 (en) * 1999-06-10 2001-08-07 Conexant Systems, Inc. Method for dual damascene process using electron beam and ion implantation cure methods for low dielectric constant materials
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6495478B1 (en) * 1999-06-21 2002-12-17 Taiwan Semiconductor Manufacturing Company Reduction of shrinkage of poly(arylene ether) for low-K IMD
US20060263531A1 (en) * 2003-12-18 2006-11-23 Lichtenhan Joseph D Polyhedral oligomeric silsesquioxanes as glass forming coatings
US6403464B1 (en) * 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6358670B1 (en) * 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
US6291302B1 (en) * 2000-01-14 2001-09-18 Advanced Micro Devices, Inc. Selective laser anneal process using highly reflective aluminum mask
US6184134B1 (en) * 2000-02-18 2001-02-06 Infineon Technologies North America Corp. Dry process for cleaning residues/polymers after metal etch
US6759098B2 (en) * 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
US7011868B2 (en) * 2000-03-20 2006-03-14 Axcelis Technologies, Inc. Fluorine-free plasma curing process for porous low-k materials
US6913796B2 (en) * 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
JP4368498B2 (ja) * 2000-05-16 2009-11-18 Necエレクトロニクス株式会社 半導体装置、半導体ウェーハおよびこれらの製造方法
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6465361B1 (en) * 2001-02-20 2002-10-15 Advanced Micro Devices, Inc. Method for preventing damage of low-k dielectrics during patterning
US6951707B2 (en) * 2001-03-08 2005-10-04 Ppg Industries Ohio, Inc. Process for creating vias for circuit assemblies
US7000313B2 (en) * 2001-03-08 2006-02-21 Ppg Industries Ohio, Inc. Process for fabricating circuit assemblies using electrodepositable dielectric coating compositions
US6589711B1 (en) 2001-04-04 2003-07-08 Advanced Micro Devices, Inc. Dual inlaid process using a bilayer resist
US6458691B1 (en) 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Dual inlaid process using an imaging layer to protect via from poisoning
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
TW588403B (en) * 2001-06-25 2004-05-21 Tokyo Electron Ltd Substrate treating device and substrate treating method
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US20030206337A1 (en) * 2002-05-06 2003-11-06 Eastman Kodak Company Exposure apparatus for irradiating a sensitized substrate
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US20040101632A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6824959B2 (en) * 2002-06-27 2004-11-30 Ppg Industries Ohio, Inc. Process for creating holes in polymeric substrates
US20060213685A1 (en) * 2002-06-27 2006-09-28 Wang Alan E Single or multi-layer printed circuit board with improved edge via design
JP2005531160A (ja) * 2002-06-27 2005-10-13 ピーピージー インダストリーズ オハイオ, インコーポレイテッド 窪んだかまたは広がったブレイクアウェイタブを有する単層または多層のプリント回路基板およびその製造方法
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
CN1302524C (zh) * 2002-09-27 2007-02-28 上海华虹(集团)有限公司 有机聚合物低介电材料刻蚀后的湿法去胶工艺
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6972217B1 (en) * 2002-12-23 2005-12-06 Lsi Logic Corporation Low k polymer E-beam printable mechanical support
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
SG149034A1 (en) * 2003-12-18 2009-01-29 Hybrid Plastics Inc Polyhedral oligomeric silsesquioxanes and metallized polyhedral oligomeric silsesquioxanes as coatings, composites and additives
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US7075093B2 (en) 2004-05-12 2006-07-11 Gorski Richard M Parallel multi-electron beam lithography for IC fabrication with precise X-Y translation
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7259381B2 (en) * 2004-08-03 2007-08-21 Applied Materials, Inc. Methodology for determining electron beam penetration depth
US7588803B2 (en) * 2005-02-01 2009-09-15 Applied Materials, Inc. Multi step ebeam process for modifying dielectric materials
JP2006253577A (ja) * 2005-03-14 2006-09-21 Fuji Photo Film Co Ltd 絶縁膜、その製造方法及び該絶縁膜を有するデバイス
US7622378B2 (en) 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7473567B2 (en) 2006-03-30 2009-01-06 Tokyo Electron Limited Change rate prediction method, storage medium, and substrate processing system
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US8029971B2 (en) * 2008-03-13 2011-10-04 International Business Machines Corporation Photopatternable dielectric materials for BEOL applications and methods for use
US20140014621A1 (en) * 2012-07-16 2014-01-16 Zhaoning Yu Analysis of pattern features
US9558930B2 (en) 2014-08-13 2017-01-31 International Business Machines Corporation Mixed lithography approach for e-beam and optical exposure using HSQ
US10304720B2 (en) 2016-07-15 2019-05-28 Brewer Science, Inc. Laser ablative dielectric material

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4222792A (en) * 1979-09-10 1980-09-16 International Business Machines Corporation Planar deep oxide isolation process utilizing resin glass and E-beam exposure
US4503126A (en) * 1982-08-18 1985-03-05 Foster Grant Corporation Method of making an abrasion resistant coating on a solid substrate and articles produced thereby
US4435441A (en) * 1982-12-30 1984-03-06 The United States Of America As Represented By The Secretary Of The Army Method of frequency trimming surface acoustic wave devices
US4661193A (en) * 1984-08-27 1987-04-28 The Dow Chemical Company Adhesive compositions for arylcyclobutene monomeric compositions
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5270259A (en) * 1988-06-21 1993-12-14 Hitachi, Ltd. Method for fabricating an insulating film from a silicone resin using O.sub.
US5141970A (en) * 1990-12-10 1992-08-25 Loctite (Ireland) Limited Method of forming high-temperature resistant polymers
US5262392A (en) * 1991-07-15 1993-11-16 Eastman Kodak Company Method for patterning metallo-organic percursor film and method for producing a patterned ceramic film and film products
US5229172A (en) * 1993-01-19 1993-07-20 Medtronic, Inc. Modification of polymeric surface by graft polymerization
US5468595A (en) * 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
DE69535718T2 (de) * 1994-05-27 2009-03-19 Texas Instruments Inc., Dallas Verbindungsverfahren mit Benutzung eines porösen Isolators zur Reduzierung der Kapazitäten zwischen Leiterbahnen
US5504042A (en) * 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5545475A (en) * 1994-09-20 1996-08-13 W. L. Gore & Associates Microfiber-reinforced porous polymer film and a method for manufacturing the same and composites made thereof
US6652922B1 (en) * 1995-06-15 2003-11-25 Alliedsignal Inc. Electron-beam processed films for microelectronics structures
US5609925A (en) * 1995-12-04 1997-03-11 Dow Corning Corporation Curing hydrogen silsesquioxane resin with an electron beam
US5789140A (en) * 1996-04-25 1998-08-04 Fujitsu Limited Method of forming a pattern or via structure utilizing supplemental electron beam exposure and development to remove image residue
US5763049A (en) * 1996-04-30 1998-06-09 Minnesota Mining And Manufacturing Company Formed ultra-flexible retroreflective cube-corner composite sheeting with target optical properties and method for making same
US5883212A (en) * 1996-05-08 1999-03-16 Rexam Graphics, Inc. Conductivity exaltation in radiation cured electrically conductive coatings
US5916641A (en) * 1996-08-01 1999-06-29 Loctite (Ireland) Limited Method of forming a monolayer of particles
DE59707198D1 (de) * 1996-08-15 2002-06-13 Alcan Tech & Man Ag Reflektor mit resistenter Oberfläche
US5863963A (en) * 1996-08-29 1999-01-26 Xerox Corporation Halomethylated high performance curable polymers
US5707681A (en) * 1997-02-07 1998-01-13 Dow Corning Corporation Method of producing coatings on electronic substrates
US5843537A (en) * 1997-03-07 1998-12-01 Quantum Corporation Insulator cure process for giant magnetoresistive heads
US5939334A (en) * 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides

Also Published As

Publication number Publication date
KR100477455B1 (ko) 2005-03-23
JP2000511006A (ja) 2000-08-22
WO1998043294A1 (en) 1998-10-01
KR20010005703A (ko) 2001-01-15
US6080526A (en) 2000-06-27
EP0970519B1 (en) 2006-09-27
DE69836009D1 (de) 2006-11-09
IL132017A0 (en) 2001-03-19
CN1257610A (zh) 2000-06-21
JP3276963B2 (ja) 2002-04-22
EP0970519A1 (en) 2000-01-12
CA2284760A1 (en) 1998-10-01

Similar Documents

Publication Publication Date Title
CN1113408C (zh) 利用受控电子束辐射将低-k聚合物并入层间电介质
US6214748B1 (en) Semiconductor device and method for the fabrication thereof
US6177143B1 (en) Electron beam treatment of siloxane resins
US7629224B1 (en) VLSI fabrication processes for introducing pores into dielectric materials
US7666754B2 (en) Method and system for forming an air gap structure
CN1647263A (zh) 用于图形化双波纹互连的三层掩膜结构
KR101063591B1 (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품
US7557035B1 (en) Method of forming semiconductor devices by microwave curing of low-k dielectric films
CN101689412A (zh) 绝缘膜材料、多层布线基板及其制造方法和半导体装置及其制造方法
US20090104571A1 (en) Method for air gap formation using UV-decomposable materials
US7830012B2 (en) Material for forming exposure light-blocking film, multilayer interconnection structure and manufacturing method thereof, and semiconductor device
CN1577830A (zh) 衬底上的电互连结构及其制作方法
US6191183B1 (en) Method for the formation of silica thin films
US6447846B2 (en) Electrically insulating thin-film-forming resin composition and method for forming thin film therefrom
US6784092B2 (en) Method of forming insulating film and method of manufacturing semiconductor device
JP3208100B2 (ja) 電気絶縁性薄膜の形成方法
JP2003031566A (ja) 低誘電率絶縁膜形成用組成物、これを用いる絶縁膜形成方法、及びそれにより得られた絶縁膜を有する電子部品
TW409283B (en) Integration of LOW-K polymers into interlevel dielectrics using controlled electron-beam radiation
TW483069B (en) Laser curing of spin-on dielectric thin films
US20090246718A1 (en) Method of creating a graded anti-reflective coating
CN100428453C (zh) 含有低k介电阻挡膜的互连结构及其制造方法
DE102006062728A1 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
JP2003218105A (ja) 電気絶縁性薄膜形成用樹脂組成物、電気絶縁性薄膜の形成方法、および電気絶縁性薄膜

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
EE01 Entry into force of recordation of patent licensing contract

Assignee: Applied Materials Inc.|Electronic vision Inc

Assignor: Honeywell International Inc.|Honeywell intellectual property company

Contract fulfillment period: Within the validity of the patent

Contract record no.: 031000030215

Denomination of invention: The low -K polymer is incorporated into the interlayer dielectric by controlled electron beam radiation

Granted publication date: 20030702

License type: Exclusive license

Record date: 20030708

LIC Patent licence contract for exploitation submitted for record

Free format text: EXCLUSIVE LICENCE; TIME LIMIT OF IMPLEMENTING CONTACT: PATENT RIGHT DURATION

Name of requester: APPLICATION MATERIAL LTD. ELECTRONICS VISION CO.,

Effective date: 20030708

C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee