CN1143369C - 用激光处理半导体器件的方法 - Google Patents

用激光处理半导体器件的方法 Download PDF

Info

Publication number
CN1143369C
CN1143369C CNB951196308A CN95119630A CN1143369C CN 1143369 C CN1143369 C CN 1143369C CN B951196308 A CNB951196308 A CN B951196308A CN 95119630 A CN95119630 A CN 95119630A CN 1143369 C CN1143369 C CN 1143369C
Authority
CN
China
Prior art keywords
laser
irradiation
bundle
chamber
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB951196308A
Other languages
English (en)
Other versions
CN1127427A (zh
Inventor
香西孝真
张宏勇
宫永昭治
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Sharp Corp
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd, Sharp Corp filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN1127427A publication Critical patent/CN1127427A/zh
Application granted granted Critical
Publication of CN1143369C publication Critical patent/CN1143369C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02672Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using crystallisation enhancing elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02691Scanning of a beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • H01L27/1274Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor
    • H01L27/1285Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor using control of the annealing or irradiation parameters, e.g. using different scanning direction or intensity for different transistors

Abstract

用激光处理半导体器件的方法。进行两步独立的激光结晶步骤。先在真空中用稍弱激光进行激光辐照,然后在真空中,在大气或氧气气氛下用较强激光进行另一激光辐照步骤,在真空中所进行的第一步激光辐照不能导至满意的结晶。然而,该辐照能抑制皱脊的产生。在真空,大气或氧气环境中的第二步激光辐照获得满意的结晶,而且不产生皱脊。

Description

用激光处理半导体器件的方法
技术领域
本发明涉及在制造半导体器件中的激光器的光辐照工序(所渭激光退火),更具体地说,本发明涉及通过激光辐照改进半导体材料结晶性(crystallinity)的方法,该材料总体或部分地由非晶组分或一种基本为本征多晶半导体材料组成。
背景技术
最近几年,人们已对较低温半导体器件工艺进行了认真研究,这主要因为有必要在象玻璃制成的绝缘衬底上形成半导体器件。此外,有对器件小型化的需求。还有围绕多电平(multilevel)器件的需求。
在半导体工艺中,可能或是必须将包含在半导体材料中的非晶半导体材料晶体化。而且,可能必需恢复由离子轰击所破坏的半导体材料的结晶性。此外,还可能必需增强晶体材料的结晶性。为这些目的,人们已提出激光辐照技术(也称为激光退火)。就激光辐照方面,人们已提出两种主要方法。
第一种方法采用氩离子激光器之类的CW激光器。该方法由用点状的光束辐照半导体材料组成。尤其利用束内能量分布变化和束的移动。将半导体材料熔化,然后使其慢慢固化。这样使半导体材料结晶。
该方法有一问题是生产率低,因为以班点形状的激光是以适于晶体生长的速度扫描的。
第二种方法是用诸如准分子激光器之类的脉冲激光。在这种方法中,半导体材料受到大面积高能量脉冲激光器辐射能的辐照以瞬间融熔该材料。然后,该材料被固化。照这样该半导体材料被结晶。该方法有极好的生产率,该激光束点大。本方法所采用的准分子激光器包括产生248nm波长的KrF准分子激光器产生308nm波长的Xecl准分子激光器,产生353nm波长的XeF准分子激光器。和产生193nm波长的ArF准分子激光器。这些激光器产生高能量脉冲。此外,将供应的电功率转换成激光的效率很高。
然而,由脉冲激光引起的融熔和固化过程发生在激光束点范围内的每一处。因此,晶体生长没有方向性从而随机地产生晶粒边界。晶体间的应变导致薄膜的不规则的突起-这些也称之为脊(ridges)。不平度达到膜厚的0.5至2倍。在使用脉冲激光器的激光辐照期间,这种现象是不可避免的。另一方面,用CW激光器时在融熔和固化过程期间,应变松弛(relaxation)发生故要付出足够的空间和时间,结果观察不到诸如脊之类的随机的薄膜突起。
脊突程度多半取决于半导体膜的结晶状态。特别是,经固-相外延结晶的半导体层受激光辐照往往产生比非晶态材料受激光辐照情况下产生的脊要大。这与脊的高度(不平程度)取决于晶体大小这样一个事实有关。也就是说,若结晶膜通过固-相外延达到某种程度的大小再受激光辐照时,则产生较大的晶体。
另一方面,用激光辐照非晶态膜得到的晶体在尺寸上较小,因而脊变得较平坦。若通过添加一种用于促进非晶硅结晶的,例如镍之类的元素而结晶成的多晶硅膜而受激光辐照时,则获得较大的晶体。因此,产生较大的皱脊。
特别当这类脊产生在位于TFT之类绝缘栅器件的栅极下面的半导体膜的表面上时。则电场便集中在这些脊的突起部分内。而且使皱脊部分的绝缘栅薄膜变薄。因此产生漏电流。再者,可能发生电介质击穿。结果,使该类薄膜晶体管的性能和可靠性下降。
发明内容
本发明即为解决上述这些问题而问世。在本发明中实施两个独立的激光结晶步骤,第一激光辐照步骤的能量密度被设定在低于第二激光辐照步骤的能量密度。第一激光辐照步骤在低于100pa,最好低于1pa的真空度下进行。因此脊的产生受到抑制。第二激光辐照步骤或可在真空中或可在空气中进行。
本发明的特点在于激光辐照是在真空中完成的。人们知道通过设置这一制造步骤能抑制皱脊。但并不知晓其机理。第一和第二激光辐照的能量密度视乎要结晶的半导体材料和所用的激光辐照度而不同。最好,第二激光辐照的能量密度是第一激光辐照能量密度的1.3倍以上。更可取:前者的能量密度是后者的能量密度的1.5倍以上。
例如,将波长为248nm的KrF准分子激光器的光用于非晶硅膜时,第一激光辐照的能量密度最好为150至250mJ/cm2。而第二激光辐照的能量密度可取250至400mJ/cm2。采用除KrF准分子激光器以外的激光器时可获得同样结果。例如,在用产生308nm波长的XeCl准分子激光器发射基本相同范围的能量密度时,获得类似结果。在执行第一和第二激光辐照步骤期间,基片温度可取室温至550℃,更可取150℃至550℃。特别当提升基片温度时,往往会减轻晶体缺陷。
第二激光辐照可在真空中或氧气气氛中进行。在考虑生产率的场合,该两步激光辐照最好均在同一室内真空下进行。特别是,在该真空室内,可易于加热基片。当在某种压力的环境中加热基片时,必须考虑气体的对流影响。在大于100pa环境条件下将基片加热至350℃时,该情况等同于整个真空室内被加热。因此该系统是庞大的。
另一方面,在空气或氧气环境条件下实施加热可获得种种优点。这样,存在于晶粒边界上的许多悬空键被终止。结果,获得了一种即使在大电场下也呈现改善的特性而且特性不易恶化的半导体材料。若将这种半导体材料用于薄膜晶体管之类的绝缘栅器件时,该材料有助于诸特性和可靠性的改善。
在空气或氧气环境下实施第二激光辐照步骤情况下,若使用同一室,则在第一激光辐照步骤之后由于引入气体而改变了基片温度。该系统必须等待直到基片温度回到预定值。则有必要在这方面改善生产率。这点可利用配置图4(A)所示的多-室系统的激光热处理系统加以解决。
图4(A)所示多-室系统具有一个仅用于真空的室和一个仅用于O2的O2室。将基片置于应有位置的放入/取出腔室是通过该多-室系统中的一个自动传输系统移动的。首先,将基片移入预置腔室,然后将其抽真空。再将基片移入腔室1。在腔室1内进行第一激光辐照。再将该基片传送到装有适当压力的氧气的预置腔室。然后将基片移入作为氧气环境被保持的腔室2。在腔室2中,实施第二激光辐照。此后,将基片经由预置腔室返回至收入(take in)/取出腔室。于是完成了一系列激光辐照步骤。至此所作说明涉及对单片基片的移动。若将基片总是放置于预置腔室内和腔室1和2中,则可节省等待时间。也能提高生产率。
由于该操作系列是按这样在腔室内实现的,故抽真空工作主要仅在预置腔室内进行。在该法中通过使用两个腔室便能分别单独设置第一和第二激光辐照步骤中所用基片温度。例如,第一激光辐照可在550℃基片温度下进行,而第二激光辐照可在200℃基片温度下进行。由于第一激光辐照是在真空条件下进行,故易于将基片温度提升至350℃或更高。若企图如上述在同一腔内设定第一和第二步骤所用温度,则要到基片温度稳定需要相当多时间。因此,生产率降低了。
关于激光光源,可用两个独立的激光器和镜片(用于将高斯分布的激光转换成矩形或其他必要条件的分布的仪器)。然而,如图4所示,由一个激光器发出的激光束可通过束分离器被分成两束。这两束光可通过其各自镜片被引入到腔室。这样可将激光器安装投资减半。由于第一激光辐照能量小于第二激光辐照能量,故在分离光束时考虑到这一事实。也就是说,使引入镜片1的激光能量小于引入镜片2的激光能量。
在本发明中,激光束的形状可为方形。然而若寻求较高生产率,可使该光成形为长过基片一侧的线性束。特别在方形束情况下,当扫描基片时,必须在垂直和水平两维空间移动基片。因此使得用于这种移动的机械装置复杂化。而且作这类移动化费很长时间。一般来说,移动激光源是困难的,故通常的做法是移动基片。在运用方形束时,若如图4(B)中所示,用激光辐照基片的整个表面时,需要大约是基片面积4倍那样大的一个区。因此,增大了腔室的容积。
但是,若使用细长的线性束,则仅需在一维空间内移动基片。用于此目的的机械装置也简单。如图4(C)中所示,为辐照基片的整个表面。大约为基片面积的两倍那么大的一个区就足够了。特别是,该腔室的体积可为图4(B)所示体积的一半。
在用线性光束情况下,若从第一方向发射第一和第二激光束,则往往会在一个方向上发生岛样非均匀性。通过使基片作基本成直角的旋转,即,第一激光基本垂直于第二激光获得较少薄膜质量不均匀性的优良质量的半导体材料。
根据本发明获得的结晶半导体材料在薄膜表面上几乎没有脊。这对薄膜晶体管(TFT)的有源层是有用的,因在薄膜晶体管中同绝缘栅膜的界面状态是至关重要的。就具有薄至大约300至1200的绝缘栅膜的TFT而言,脊是可同绝缘栅膜相比拟的。栅极漏泄会导至生产量显著下降和性能恶化。本发明使抑制脊凸成为可能。从而能基本解决这些问题。
附图说明
图1是激光处理方法的几个实例图(例1和2);
图2是表示激光处理的实例(例3和4)的示意图;
图3是用于这些实例的激光热处理系统的一个概念性示意图;
图4是具有多-腔室系统的一个激光热处理系统的概念性示意图;
图5是表示用于制造实例3中的TFT的步骤示意图。
具体实施方式
[实例1]
图3示出用于本例中的一个激光热处理系统的概念性示意图。激光是由振荡器32产生的。使激光通过全(totally)反射镜35和36并经由放大器33放大。然后光通过全反射镜37和38并被导入到镜片34。直至这点,该激光束均为测值约30×90mm2的矩形。这是通过镜片34成形为具有长100至300mm和宽为1至3mm的长光束。通过镜片的激光的最大能量是3J/shot。为使激光辐照能在真空或诸如氧之类的气体环境下进行,在腔室42内安装了样品台(stage)和驱动器40.为使激光能从外侧进入腔室42,整个腔室由石英制成以防吸收激光。另一可选方案是:引入激光的那部分腔室是由石英制成。真空系统和氧气引入系统(均未示出)被连接。
利用成形成这种细长状的光束已显著改善了激光处理的生产率。也就是说,条形激光束从镜片34出来之后经由全反射镜39撞击样品41。由于光束的宽度基本上与样品宽度为相同数量级或比后者宽度要长,故最终要求样品在一个方向上移动。因此,样品台和驱动器40的结构简单并能容易地使用。此外,当将样品置于应有位置时,能容易地进行对准操作。要注意的是:这些装置需要在诸如防振座之类的稳定的底座31上。
上述激光系统可构成一个部件,也可同诸如等离子体辅助CVD薄膜淀积机,离子植入机(或离子渗杂机),热处理机之类的其他机器,和其他半导体制造机组合构成一多腔室系统。
在本实例中,描述了将薄膜晶体管形成在由玻璃制成的绝缘基片上的情况。一般来说,用于制造薄膜晶体管的低温处理大致如下:
(1)在一玻璃基片上形成充当缓冲薄膜的硅氧化膜和形成非晶硅膜,和/或将诸如乙酸镍之类的促进结晶剂涂敷到该非晶硅膜上。
(2)非晶硅膜通过固-相外延的结晶。
(3)对结晶硅膜的激光处理以改善结晶性。
(4)将硅膜蚀刻成硅岛区。
(5)形成绝缘栅膜(硅氧化物)。
(6)形成栅极。
(7)植入诸如磷和硼之类的掺杂元素,以形成源/漏区。
(8)该植入的杂质经由激光辐照激活。
(9)形成层间绝缘物。
(10)在源/漏区上形成电极。
本实例和以下实例2,3和4均涉及(3)所进行的激光辐照,以进一步增强在上述制造步骤中的多晶硅膜的结晶性。
图1示出本实例的激光处理步骤。在本例中,使非晶硅膜能在600℃环境中维持24小时,以使多晶硅膜结晶。
如图1(A)所示,激光束11之大足以照射基片12(150mm×200mm)的一侧。例如,该束为宽1mm和长300mm的线性状。采用产生波长为248mm的KrF准分子激光器作为激光器。该激光器的重复频率为100Hz。该激光器的光能量密度为200mJ/cm2(图1(A))。
首先将基片放在腔室42内的驱动器40上。将腔室42抽真空至1pa。将基片加热至450℃。在此情况下,进行第一激光辐照步骤。如图1(B)所示,以使激光击中基片的方式移动基片。
在移动基片的同时用激光辐照基片。此时,激光的扫描速度被设定为10mm/s。激光束每秒被移动10mm。在此时间间隔内,激光器产生了100shot的脉冲.接着激光每shot移动0.1mm。由于激光束宽1mm,每个位置大约发射10shot的激光。这样,激光下行扫描到基片的较下端。整个基片被激光照射(图1(C))。
接着,实现第二激光辐照步骤。在此步骤,将基片温度设定至200℃然后将大气引入腔室42。该步骤在大气范围内执行。这些步骤的顺序与图1(A)-(C)所示第一过程各步骤的顺序相同。
如图1(B)所示,以使激光照射在基片上这种方式移动基片。此时,将基片温度调定到300℃。以与第一过程相同方式,用KrF准分子激光器。除了能量密度为300mJ/cm2之外,这些条件类似于第一过程的情况。
然后,用激光辐照的同时移动基片。此时,将激光器的扫描速度设定到10mm/s。这样,将激光往下扫描至基片较下端。使整个基片受激光辐照。
正如在先有技术中那样在一片仅在大气中受激光辐照而结晶的硅膜上观测到大约5×106脊/mm2。在本例中,作为上述制造步骤的结果,几乎无脊凸可测出。作为在大气中进行的第二激光辐照的一个结果,硅膜范围内的悬空键被氧气所隐匿。用这种硅膜制造的半导体器件表现了良好的性能。获得高度可靠的器件。
[实例2]
不象实例1,本实例涉及对在步骤(2)中经受了激光低温,短时间,固-相外延的结晶硅膜进行辐照。为此目的,在制造薄膜晶体管过程的步骤(1)中将镍引入以促进非晶硅膜的结晶。
图1示出本实例的激光处理步骤。在本例中,如图1(A),激光束11足够大,足以照射基片12(150mm*200mm)的一侧。例如,光束是线性形式具有2mm宽,180mm长。作为激光器,使用产生波长为308nm的XeCl准分子激光器。激光器的重复频率是100Hz。激光的能量密度为200mJ/cm2(图1(A))。
首先,在真空中进行第一激光辐照。以如实例1的相同方式,将基片安装在图3所示的腔室42内的驱动器40上。腔室42被抽空至0.1pa。基片被加热至200℃。如图1(B)所示,以如此方式移动基片致使激光击中基片(图1(B))。
在移动基片的同时用激光照射基片。此时,激光扫描速度设定至10mm/s。结果,将激光束每投射一次(shot)移动0.1mm。由于光束宽为2mm,故每一位置发射大约20shots的激光。这样激光被往下扫描至基片较下端。整个基片被激光照射(图1(C))。
此后,在同一腔室内进行第二激光辐照。该基片温度被维持在200℃同时将1atm氧气引入腔室42。
以同第一过程相同方式,使用XeCl准分子激光器。除了能量密度为300mJ/cm2以外,这些条件类似于第一过程的条件。以使激光能照射到基片的方式移动基片(图1(B))。
在移动基片的同时用激光照射基片。此时,激光扫描速度设定至10mm/s。结果,每一位置发射大约20shots的激光。这样激光被往下扫描至基片较下端。整个基片被激光照射(图1(C))。
通过至此所述的两步骤获得了结晶硅膜。用先有技术经受引入镍的固-相外延的结晶硅膜经由激光结晶时,产生大量的脊凸。每mm2约产生1至5×108个脊。然而在本例中,几乎未观测到脊。在大气气氛下进行激光辐照期间,该硅膜内的悬空键被氧气包埋从而用该硅膜制成的半导体器件表现良好的性能。
[实例3]
图2示出本实例的激光处理步骤。在本例中,在第一和第二激光辐照步骤期间作直角旋转以进一步改善在以实例2相同方式接着加镍固-相外延的激光结晶期间的薄膜一致性质量。使第一光束基本垂直第二光束。利用以这种方式用激光辐照的结晶硅膜,制造N沟道TFT。在本例中,激光束21足够大,足以辐照基片22(100mm×200mm)的一侧。例如,该光束是1mm宽和250mm长的线状。作为激光器,采用产生353nm波长的XeF准分子激光器。激光器的重复频率是100Hz。激光的能量密度设定为150mJ/cm2(图2(A))。
首先,在真空室内放置基片。将该室抽空至1pa。将基片加热至550℃。在此条件下,执行第一激光辐照步骤。如图2(B)所示,以使激光射到基片的方式移动基片(图2(B))。
移动基片的同时用激光照射基片。此时,激光的扫描速度设定至10mm/s。照这样将激光从上往下扫描至该基片的下端。整个基片被激光照射(图2(C))。
然后将0.1atm氧导入真空腔室。将基片温度降至200℃。使基片作直角旋转。移动基片以使激光能射到基片上(图2(D))。
如第一过程相同方式,使用XeF准分子激光器,除了能量密度为300mJ/cm2以外的条件均类似于第一过程的条件。
在移动基片的同时用激光照射基片。此时,将激光的扫描速度设定至10mm/s。以这种方式使激光扫描至基片的下端。整个基片被激光照射(图2(E))。
通过上述两步骤获得结晶硅膜。第一激光辐照步骤是在较高的550℃下实现的。使基片作如此直角旋转,以致使第一激光辐照束基本垂直于第二激光辐照束。特别是,可比实例2更好地抑制脊的产生。可获得几乎没有薄膜质量非均匀性的优质结晶硅膜。
此后参照图5描述用结晶硅膜制造TFT的步骤。图5(A)表示在玻璃基片上,经受上述激光处理步骤形成结晶硅膜501和缓冲硅氧化膜的方式(图5(A))。
然后,将照这样结晶的硅膜501融刻成硅膜岛502。该岛状硅膜502将形成以后TFT的有源层,在该硅膜上形成绝缘栅膜503。在本例中,有500至1200例如1000厚的硅氧化膜借助等离子体辅助CVD形成。过去,当按这样使绝缘栅膜503变薄,由于脊的影响产生变薄的膜部分或不平部分。产生电场的集中。这引起隧道电流或介质击穿。由于使用本发明减少了脊,因此,几乎不会发生这种损害。有源层和绝缘栅膜之间的界面状态影响TFT的特性。然而,几乎不存在脊因而获得极佳的TFT特性。
然后,用溅射法形成厚度为3000至3um,例如为6000的铝膜(含1%Si的重量或0.1至0.3%的Sc的重量)。接着,对该铝膜融刻以形成栅极504(图5(B))。
用栅极作掩模时,借助自--对准离子掺杂技术将磷作为杂质植入岛状硅膜。这样,形成N型掺杂区504。掺杂剂量为1×1014至8×1015原子/cm2。加速电压是60至90KV。例如,剂量是1×1015原子/cm2。加速电压是80KV(图5(C))。
然后,用有248nm波长和20ns脉冲宽度的KrF准分子激光器激光的射线辐照掺杂区,以激活这些掺杂区。此时,适当的能量密度是250至300mJ/cm2
借助等离子体辅助CVD在整个表面上形成厚度为5000的硅氧化膜,作为层间绝缘膜506。蚀刻层间绝缘膜506和绝缘栅膜503,以在源/漏区中形成接触孔。
然后,用溅射法形成3000至2um,例如5000的铝膜。该铝膜被蚀刻以形成源/漏极507。照这样,制成N沟道TFT(图5(D))。
[实例4]
图2示出本实例的激光处理步骤。在本实例中,在第一和第二激光辐照步骤期间使基片作直角旋转,以进一步改善在以实例3相同方式接着加镍固-相外延的激光结晶期间的膜的不均匀性质量。第一和第二激光辐照步骤均在真空中实现。在本实例中,激光束21是足够大的,足以辐照基片22(100mm*200mm)的一侧。例如,该光束是具有1mm宽和250mm长的线状。作为激光器,采用产生248nm波长的KrF准分子激光器。激光器的重复频率是10Hz。激光的能量密度设定为150mJ/cm2(图2(A))。
首先,将基片置于真空腔室内。将基片加热至550℃。如图2(B)所示,以激光照射基片的方式移动基片(图2(B))。
在移动基片的同时用激光照射基片。此时,激光的扫描速度设为10mm/s。样,将激光从上往下地扫描至基片的下端。用激光照射整个基片(图2(C))。
此后,使基片作直角旋转。基片被如此移动以使激光击中基片。此时,基片温度是550℃(图2(D))。
以如第一过程相同方式,采用KrF准分子激光器。除了能量密度为280mJ/cm2以外的条件均类似于第一过程的条件。整个基片受到激光辐照(图2(E))。
通过至此所述的两个制造步骤获得结晶硅膜。该两个激光辐照步骤是在相对高的550℃温度下实现的。因此,促进了晶粒边界的减退。最大限度地减小了脊。此外,通过上述温度下的上述激光辐照可大大减小晶粒边界的晶体缺陷。这些效果是可同实例3氧气环境下进行的激光辐照所获得效果相比。在实例3中,腔室内被保持为氧气环境。因此,基片温度不能提升至350℃以上。在本例中,由于腔室内是真空的,故可将基片温度提升至550℃。
在激光结晶步骤期间,可借助按本发明的激光辐照技术来抑制在半导体薄膜表面上产生的脊。此外,利用该半导体材料制造的半导体器件性能可得到改进。此外,可避免这些性能的恶化。
在非晶材料经固-相外延结晶之后经按本发明的激光辐照而结晶的薄膜,可大大减小脊凸。这样,本发明具有工业优势。

Claims (22)

1.一种用激光处理半导体器件的方法,包括:
第一步,在等于或低于100Pa的压力下用激光辐照所述器件;和
第二步,在第一步之后再用激光辐照所述器件,
其中,在第一步中辐照激光的扫描方向垂直于在第二步中辐照激光的扫描方向;和
在第二步中用的激光辐射的能量密度高于第一步中用的激光辐照的能量密度。
2.一种用激光处理半导体器件的方法,包括:
第一步,在等于或低于100Pa的压力下用激光辐照所述器件;和
第二步,在第一步之后,在氧气环境下或在空气中,再用激光辐照所述器件,
其中,用于第二步中的激光能量密度高于用于第一步中的激光能量密度。
3.如权利要求2所述的用激光处理半导体器件的方法,其特征在于,在第一步中辐照激光的方向垂直于在第二步中辐照激光的方向。
4.如权利要求1或2所述的用激光处理半导体器件的方法,其特征在于,用于第一步的腔室不同于用于第二步的腔室。
5.如权利要求1所述的用激光处理半导体器件的方法,其特征在于,所述在第二步中用激光辐照所述器件是在氧气环境下或在空气中进行的。
6.如权利要求5所述的方法,其特征在于,所述第一步中辐照的激光和所述第二步中辐照的激光都是线性激光。
7.如权利要求1所述的方法,其特征在于,用于第二步中的激光能量密度高于用于第一步中的激光能量密度。
8.如权利要求1、2或5所述的方法,其特征在于,所述第一步是在降低了压力的条件下进行的。
9.如权利要求1、2或5所述的方法,其特征在于,所述第一步和第二步都是在室温至550℃温度下进行的。
10.如权利要求1、2或5所述的方法,其特征在于,所述第二步是在氧气环境下并在降低了压力的条件下进行的。
11.一种制造半导体器件的方法,包括以下步骤:
从一个激光振荡器发射激光;
将所述激光分成两束激光;
使两束激光中的一束穿过第一光学系统;
使两束激光中的另一束穿过第二光学系统;
在两束激光中的所述一束穿过第一光学系统之后,使其在等于或低于100Pa的压力下辐照半导体膜;和
在两束激光中的所述另一束穿过第二光学系统之后,使其辐照所述半导体膜,
其中用两束激光中的所述一束的辐照改善所述半导体膜的结晶性;
其中用两束激光中的所述另一束的辐照改善所述半导体膜的结晶性;和
其中两束激光中的所述另一束的能量密度高于两束激光中的所述一束的能量密度。
12.如权利要求11所述的方法,其特征在于,在第一腔室中,使两束激光中的所述一束激光辐照所述半导体膜;和在第二腔室中,使两束激光中的所述另一束激光辐照所述半导体膜。
13.如权利要求11所述的方法,其特征在于,在真空的第一腔室中,使两束激光中的所述一束激光辐照所述半导体膜;和在有空气的第二腔室中,使两束激光中的所述另一束激光辐照所述半导体膜。
14.如权利要求11所述的方法,其特征在于,还包括在辐照两束激光中的所述一束和另一束之间,使所述半导体膜作直角旋转。
15.如权利要求11所述的方法,其特征在于,所述辐照两束激光中的所述一束和所述另一束是在建造成为一个单元的激光系统中进行的。
16.如权利要求11所述的方法,其特征在于,所述辐照两束激光中的所述一束和所述另一束是在与等离子体辅助化学蒸镀机、离子植入机、热处理机至少之一相组合以构成一个多-室系统的激光系统中进行的。
17.如权利要求12或13所述的方法,其特征在于,所述第一腔室和所述第二腔室建造成为一个单元。
18.如权利要求13所述的方法,其特征在于,所述第一腔室和所述第二腔室与等离子体辅助化学蒸镀机、离子植入机、热处理机至少之一相组合以构成一个多-室系统。
19.如权利要求11、12或13所述的方法,其特征在于,所述两束激光成线性激光辐照所述半导体膜。
20.如权利要求11、12或13所述的方法,其特征在于,所述从激光振荡器发射的激光是KrF准分子激光。
21.如权利要求11、12或13所述的方法,其特征在于,所述从激光振荡器发射的激光是XeCl准分子激光。
22.如权利要求11、12或13所述的方法,其特征在于,所述半导体膜含有硅。
CNB951196308A 1994-11-18 1995-11-17 用激光处理半导体器件的方法 Expired - Fee Related CN1143369C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP30982694A JP3535241B2 (ja) 1994-11-18 1994-11-18 半導体デバイス及びその作製方法
JP309826/94 1994-11-18
JP309826/1994 1994-11-18

Publications (2)

Publication Number Publication Date
CN1127427A CN1127427A (zh) 1996-07-24
CN1143369C true CN1143369C (zh) 2004-03-24

Family

ID=17997735

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB951196308A Expired - Fee Related CN1143369C (zh) 1994-11-18 1995-11-17 用激光处理半导体器件的方法

Country Status (4)

Country Link
US (2) US5795795A (zh)
JP (2) JP3535241B2 (zh)
CN (1) CN1143369C (zh)
TW (1) TW302550B (zh)

Families Citing this family (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6300176B1 (en) 1994-07-22 2001-10-09 Semiconductor Energy Laboratory Co., Ltd. Laser processing method
JP3871725B2 (ja) * 1994-07-22 2007-01-24 株式会社半導体エネルギー研究所 レーザー処理方法
JPH0869967A (ja) * 1994-08-26 1996-03-12 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US5854803A (en) * 1995-01-12 1998-12-29 Semiconductor Energy Laboratory Co., Ltd. Laser illumination system
TW305063B (zh) * 1995-02-02 1997-05-11 Handotai Energy Kenkyusho Kk
KR100265179B1 (ko) 1995-03-27 2000-09-15 야마자끼 순페이 반도체장치와 그의 제작방법
US6027960A (en) 1995-10-25 2000-02-22 Semiconductor Energy Laboratory Co., Ltd. Laser annealing method and laser annealing device
JP3917205B2 (ja) * 1995-11-30 2007-05-23 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3645378B2 (ja) * 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5985740A (en) * 1996-01-19 1999-11-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device including reduction of a catalyst
JP3645379B2 (ja) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3729955B2 (ja) 1996-01-19 2005-12-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6478263B1 (en) 1997-01-17 2002-11-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
JP3645380B2 (ja) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法、情報端末、ヘッドマウントディスプレイ、ナビゲーションシステム、携帯電話、ビデオカメラ、投射型表示装置
US5888858A (en) 1996-01-20 1999-03-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method thereof
US6180439B1 (en) 1996-01-26 2001-01-30 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device
US6465287B1 (en) 1996-01-27 2002-10-15 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device using a metal catalyst and high temperature crystallization
US6063654A (en) * 1996-02-20 2000-05-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor involving laser treatment
TW374196B (en) 1996-02-23 1999-11-11 Semiconductor Energy Lab Co Ltd Semiconductor thin film and method for manufacturing the same and semiconductor device and method for manufacturing the same
TW335503B (en) 1996-02-23 1998-07-01 Semiconductor Energy Lab Kk Semiconductor thin film and manufacturing method and semiconductor device and its manufacturing method
US6100562A (en) * 1996-03-17 2000-08-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JPH10199807A (ja) 1996-12-27 1998-07-31 Semiconductor Energy Lab Co Ltd 結晶性珪素膜の作製方法
JP3544280B2 (ja) * 1997-03-27 2004-07-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6307214B1 (en) 1997-06-06 2001-10-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor thin film and semiconductor device
US6501094B1 (en) * 1997-06-11 2002-12-31 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising a bottom gate type thin film transistor
JPH11186189A (ja) * 1997-12-17 1999-07-09 Semiconductor Energy Lab Co Ltd レーザー照射装置
US7294535B1 (en) 1998-07-15 2007-11-13 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US7153729B1 (en) 1998-07-15 2006-12-26 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US7282398B2 (en) * 1998-07-17 2007-10-16 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device and method of fabricating the same
US7084016B1 (en) * 1998-07-17 2006-08-01 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
JP2000058839A (ja) 1998-08-05 2000-02-25 Semiconductor Energy Lab Co Ltd 半導体素子からなる半導体回路を備えた半導体装置およびその作製方法
US6559036B1 (en) 1998-08-07 2003-05-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US6246070B1 (en) * 1998-08-21 2001-06-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device provided with semiconductor circuit made of semiconductor element and method of fabricating the same
JP4493741B2 (ja) * 1998-09-04 2010-06-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2000174282A (ja) * 1998-12-03 2000-06-23 Semiconductor Energy Lab Co Ltd 半導体装置
US6872607B2 (en) * 2000-03-21 2005-03-29 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP4659300B2 (ja) 2000-09-13 2011-03-30 浜松ホトニクス株式会社 レーザ加工方法及び半導体チップの製造方法
US6954747B1 (en) * 2000-11-14 2005-10-11 Microsoft Corporation Methods for comparing versions of a program
US6955956B2 (en) * 2000-12-26 2005-10-18 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6830994B2 (en) * 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
US6855584B2 (en) * 2001-03-29 2005-02-15 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US7167499B2 (en) * 2001-04-18 2007-01-23 Tcz Pte. Ltd. Very high energy, high stability gas discharge laser surface treatment system
US7061959B2 (en) * 2001-04-18 2006-06-13 Tcz Gmbh Laser thin film poly-silicon annealing system
US7009140B2 (en) * 2001-04-18 2006-03-07 Cymer, Inc. Laser thin film poly-silicon annealing optical system
JP4854866B2 (ja) * 2001-04-27 2012-01-18 株式会社半導体エネルギー研究所 半導体装置の作製方法
US20050259709A1 (en) 2002-05-07 2005-11-24 Cymer, Inc. Systems and methods for implementing an interaction between a laser shaped as a line beam and a film deposited on a substrate
US7087504B2 (en) * 2001-05-18 2006-08-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device by irradiating with a laser beam
JP4695777B2 (ja) * 2001-06-01 2011-06-08 シャープ株式会社 半導体装置の製造方法
TW550648B (en) 2001-07-02 2003-09-01 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
JP3977038B2 (ja) * 2001-08-27 2007-09-19 株式会社半導体エネルギー研究所 レーザ照射装置およびレーザ照射方法
JP4646894B2 (ja) * 2001-09-07 2011-03-09 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7589032B2 (en) * 2001-09-10 2009-09-15 Semiconductor Energy Laboratory Co., Ltd. Laser apparatus, laser irradiation method, semiconductor manufacturing method, semiconductor device, and electronic equipment
JP4974425B2 (ja) * 2001-09-10 2012-07-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4024508B2 (ja) * 2001-10-09 2007-12-19 株式会社半導体エネルギー研究所 半導体装置の作製方法
TWI289896B (en) * 2001-11-09 2007-11-11 Semiconductor Energy Lab Laser irradiation apparatus, laser irradiation method, and method of manufacturing a semiconductor device
US7050878B2 (en) * 2001-11-22 2006-05-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductror fabricating apparatus
TWI326626B (en) 2002-03-12 2010-07-01 Hamamatsu Photonics Kk Laser processing method
EP2272618B1 (en) 2002-03-12 2015-10-07 Hamamatsu Photonics K.K. Method of cutting object to be processed
ATE362653T1 (de) 2002-03-12 2007-06-15 Hamamatsu Photonics Kk Methode zur trennung von substraten
US7125451B2 (en) * 2002-04-23 2006-10-24 Sharp Laboratories Of America, Inc. Crystal-structure-processed mechanical devices and methods and systems for making
US20030196591A1 (en) * 2002-04-23 2003-10-23 Hartzell John W. Formation of crystal-structure-processed mechanical, and combined mechanical and electrical, devices on low-temperature substrates
US7135070B2 (en) * 2002-04-23 2006-11-14 Sharp Laboratories Of America, Inc. Monolithic stacked/layered crystal-structure-processed mechanical, and combined mechanical and electrical, devices and methods and systems for making
US7128783B2 (en) * 2002-04-23 2006-10-31 Sharp Laboratories Of America, Inc. Thin-film crystal-structure-processed mechanical devices, and methods and systems for making
TWI520269B (zh) 2002-12-03 2016-02-01 Hamamatsu Photonics Kk Cutting method of semiconductor substrate
TW587295B (en) * 2002-12-24 2004-05-11 Au Optronics Corp Method of laser crystallization
FR2852250B1 (fr) 2003-03-11 2009-07-24 Jean Luc Jouvin Fourreau de protection pour canule, un ensemble d'injection comportant un tel fourreau et aiguille equipee d'un tel fourreau
WO2004080643A1 (ja) 2003-03-12 2004-09-23 Hamamatsu Photonics K.K. レーザ加工方法
US7277188B2 (en) 2003-04-29 2007-10-02 Cymer, Inc. Systems and methods for implementing an interaction between a laser shaped as a line beam and a film deposited on a substrate
US20050003313A1 (en) * 2003-06-19 2005-01-06 Dirk Bollen Radiographic silver halide photographic material having excellent preservation characteristics
US7129031B2 (en) * 2003-06-19 2006-10-31 Agfa-Gevaert Radiographic silver halide photographic material having a good developing speed, an excellent image tone and low residual color after processing
US7348222B2 (en) * 2003-06-30 2008-03-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film transistor and method for manufacturing a semiconductor device
WO2005094205A2 (en) * 2003-07-30 2005-10-13 Tcz Gmbh Very high energy, high stability gas discharge laser surface treatment system
US7247527B2 (en) * 2003-07-31 2007-07-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device, and laser irradiation apparatus
US7358165B2 (en) * 2003-07-31 2008-04-15 Semiconductor Energy Laboratory Co., Ltd Semiconductor device and method for manufacturing semiconductor device
US7964925B2 (en) * 2006-10-13 2011-06-21 Hewlett-Packard Development Company, L.P. Photodiode module and apparatus including multiple photodiode modules
KR101041066B1 (ko) * 2004-02-13 2011-06-13 삼성전자주식회사 실리콘 결정화 방법, 이를 이용한 실리콘 결정화 장치,이를 이용한 박막 트랜지스터, 박막 트랜지스터의 제조방법 및 이를 이용한 표시장치
JP2006024735A (ja) * 2004-07-08 2006-01-26 Seiko Instruments Inc 半導体膜の結晶化方法、及び、表示装置の製造方法
KR101132404B1 (ko) * 2005-08-19 2012-04-03 삼성전자주식회사 다결정 실리콘 박막의 제조 방법 및 이를 포함하는 박막트랜지스터의 제조 방법
US7317179B2 (en) 2005-10-28 2008-01-08 Cymer, Inc. Systems and methods to shape laser light as a homogeneous line beam for interaction with a film deposited on a substrate
US7679029B2 (en) 2005-10-28 2010-03-16 Cymer, Inc. Systems and methods to shape laser light as a line beam for interaction with a substrate having surface variations
US20070117287A1 (en) * 2005-11-23 2007-05-24 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus
US7563661B2 (en) * 2006-02-02 2009-07-21 Semiconductor Energy Laboratory Co., Ltd. Crystallization method for semiconductor film, manufacturing method for semiconductor device, and laser irradiation apparatus
US8206215B2 (en) * 2006-08-31 2012-06-26 Igt Gaming machine systems and methods with memory efficient historical video re-creation
US20080264332A1 (en) * 2007-04-25 2008-10-30 Fareed Sepehry-Fard Method, system, and apparatus for doping and for multi-chamber high-throughput solid-phase epitaxy deposition process
JPWO2012120775A1 (ja) 2011-03-04 2014-07-07 パナソニック株式会社 結晶性評価方法、結晶性評価装置、及びそのコンピュータソフト
CN102169816B (zh) * 2011-03-09 2013-02-27 清华大学 一种超浅结深紫外激光退火设备中的屏蔽电极装置
JP5508535B2 (ja) 2011-03-22 2014-06-04 パナソニック株式会社 半導体薄膜の形成方法、半導体装置、半導体装置の製造方法、基板及び薄膜基板
CN102915916B (zh) * 2011-08-01 2017-03-01 联华电子股份有限公司 半导体装置以及形成半导体装置的方法
JP5987174B2 (ja) 2011-10-12 2016-09-07 株式会社Joled 薄膜トランジスタ装置
JP2013149924A (ja) * 2012-01-23 2013-08-01 Japan Display Central Co Ltd レーザアニール装置
US9653298B2 (en) * 2013-01-14 2017-05-16 Ipg Photonics Corporation Thermal processing by transmission of mid infra-red laser light through semiconductor substrate
WO2014156818A1 (ja) * 2013-03-27 2014-10-02 国立大学法人九州大学 レーザアニール装置
US20160265103A1 (en) * 2013-10-31 2016-09-15 East China University Of Science And Technology East china university of science and technology
CN106783536B (zh) 2016-11-29 2021-11-30 京东方科技集团股份有限公司 激光退火设备、多晶硅薄膜和薄膜晶体管的制备方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4266986A (en) * 1979-11-29 1981-05-12 Bell Telephone Laboratories, Incorporated Passivation of defects in laser annealed semiconductors
JPS57162433A (en) * 1981-03-31 1982-10-06 Fujitsu Ltd Scanning method for energy beam
EP0211634B1 (en) * 1985-08-02 1994-03-23 Sel Semiconductor Energy Laboratory Co., Ltd. Method and apparatus for manufacturing semiconductor devices
JPH0639703B2 (ja) * 1986-04-15 1994-05-25 キヤノン株式会社 堆積膜形成法
JPH01212431A (ja) * 1988-02-20 1989-08-25 Fujitsu General Ltd 薄膜半導体装置の製造方法
US5210050A (en) * 1990-10-15 1993-05-11 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device comprising a semiconductor film
JP3149450B2 (ja) * 1991-04-04 2001-03-26 セイコーエプソン株式会社 薄膜トランジスタの製造方法及び製造装置
JPH05182923A (ja) * 1991-05-28 1993-07-23 Semiconductor Energy Lab Co Ltd レーザーアニール方法
US5578520A (en) * 1991-05-28 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Method for annealing a semiconductor
JPH05107560A (ja) * 1991-10-21 1993-04-30 Hitachi Ltd 液晶表示装置とその製造方法
US5424244A (en) * 1992-03-26 1995-06-13 Semiconductor Energy Laboratory Co., Ltd. Process for laser processing and apparatus for use in the same
US5372836A (en) * 1992-03-27 1994-12-13 Tokyo Electron Limited Method of forming polycrystalling silicon film in process of manufacturing LCD
JP3320845B2 (ja) * 1992-07-06 2002-09-03 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3122699B2 (ja) * 1992-08-27 2001-01-09 株式会社半導体エネルギー研究所 薄膜状半導体装置の作製方法。
JP3165304B2 (ja) * 1992-12-04 2001-05-14 株式会社半導体エネルギー研究所 半導体装置の作製方法及び半導体処理装置
US5529951A (en) * 1993-11-02 1996-06-25 Sony Corporation Method of forming polycrystalline silicon layer on substrate by large area excimer laser irradiation
JP3054310B2 (ja) * 1994-03-09 2000-06-19 株式会社半導体エネルギー研究所 半導体デバイスのレーザー処理方法
JP3871725B2 (ja) * 1994-07-22 2007-01-24 株式会社半導体エネルギー研究所 レーザー処理方法

Also Published As

Publication number Publication date
JPH08148428A (ja) 1996-06-07
JP3949362B2 (ja) 2007-07-25
TW302550B (zh) 1997-04-11
JP3535241B2 (ja) 2004-06-07
US6143661A (en) 2000-11-07
CN1127427A (zh) 1996-07-24
US5795795A (en) 1998-08-18
JP2001110743A (ja) 2001-04-20

Similar Documents

Publication Publication Date Title
CN1143369C (zh) 用激光处理半导体器件的方法
CN1179403C (zh) 半导体器件及其制造方法
CN1144275C (zh) 薄膜晶体管制造方法及薄膜晶体管
CN1182570C (zh) 场效应晶体管的制造方法
CN1193809A (zh) 一种制造薄膜晶体管和电子器件的方法
CN1137171A (zh) 激光退火方法
JP3054310B2 (ja) 半導体デバイスのレーザー処理方法
CN1457103A (zh) 薄膜晶体管及其制造方法
US20190228971A1 (en) Doping system, doping method and method for manufacturing silicon carbide semiconductor device
CN1716552A (zh) 半导体器件的制造方法及通过该方法制造的半导体
CN1830067A (zh) 半导体装置的制造方法
CN101038867A (zh) 结晶半导体薄膜的方法
CN1157765C (zh) 晶体半导体材料的制造方法以及制造半导体器件的方法
JPH07307304A (ja) 半導体デバイスのレーザー処理方法
CN1058584C (zh) 半导体器件及其制造方法
CN1716071A (zh) 结晶方法、薄膜晶体管制造方法、薄膜晶体管及显示装置
CN101038937A (zh) 薄膜半导体器件及其制造方法
CN1088911C (zh) 半导体装置的制造方法
CN1141735C (zh) 结晶性硅系列半导体薄膜的制造方法
CN1149632C (zh) 半导体器件的制造方法
CN1581427A (zh) 多晶硅薄膜的制造方法
JP2005005323A (ja) 半導体加工方法および半導体加工装置
CN1134036A (zh) 制造半导体器件的方法
CN1134600A (zh) 制造薄膜晶体管的方法及设备
KR100517183B1 (ko) 레이저로반도체장치를처리하는방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20040324

Termination date: 20131117