CN1192788A - 利用等离子体处理大面积衬底基片的系统 - Google Patents

利用等离子体处理大面积衬底基片的系统 Download PDF

Info

Publication number
CN1192788A
CN1192788A CN96196235A CN96196235A CN1192788A CN 1192788 A CN1192788 A CN 1192788A CN 96196235 A CN96196235 A CN 96196235A CN 96196235 A CN96196235 A CN 96196235A CN 1192788 A CN1192788 A CN 1192788A
Authority
CN
China
Prior art keywords
plasma
aperture
abschirmblech
source
insulation windows
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN96196235A
Other languages
English (en)
Inventor
陈聪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of CN1192788A publication Critical patent/CN1192788A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Abstract

一个用于处理大面积衬底基片的等离子体系统(10)。在一个实施例中,该系统包括多个射频等离子体源(40),它们被可拆卸地连接在处理腔(14)的射频可透过的窗(26)上。源的数量和分布是可以变化的,以提供处理衬底基片所需要的尺寸大小和均匀性的等离子体场。许多等离子体探针(74),例如朗谬尔探针、法拉弟凹坑和光学传感器被放置在腔内,并与等离子体源以电连接的方式,调节各个源所产生的射频场,以保持所需要的场的均匀性程度。

Description

利用等离子体处理大面积衬底基片的系统
本发明涉及利用等离子体处理衬底基片的装置,尤其涉及用于等离子体处理大面积衬底基片的射频(rf)装置。
本发明的背景
利用等离子体处理大面积衬底基片,例如处理在平板显示器或300毫米硅片生产中相应使用的玻璃衬底基片或半导体衬底基片,会发生一系列在处理小面积衬底基片时不会发生的问题。其中一个就是其面积大到足以处理大面积衬底基片的等离子体如何产生的问题。另一个是遍布在如此大面积上的等离子体的密度和化学均匀性如何保持的问题。
电感耦合等离子体源(ICP)和变压器耦合等离子体源(TCP)的应用,既受到由于使用电感线圈天线结构而难以保持等离子体均匀性的影响,也受到生产和保持这类系统所需费用的影响,因为这需要大而厚的英窗以把天线辐射线耦合入处理腔中。使用这样厚的石英窗,由于在窗口中的热逸散而导致射频(rf)功率的增大(而效率则降低)。
电子回旋共振(ECR)和螺旋波(Helicon)型等离子体源的应用,由于在使用单个天线或波导管的情况下,对大面积的谐振磁场难以度量而受到限制。而且,大多数电子回旋共振源要利用较贵的微波能量,且难以电调谐。热阴极等离子体源的使用,由于阴极材料的升华逸散而导致等离子体环境污染,而使用冷阴极,则由于冷阴极暴露在所产生的等离子体中而导致污染。
本发明藉助于先前的大面积等离子体处理系统而避免了这些问题。
本发明简述
本发明涉及一种可用于产生任意尺寸的均匀等离子体的易于度量和可保持的系统。在一个实施例中,多个射频等离子体源可拆卸地连接到绝缘窗上,例如真空处理腔的玻璃或石英窗上,而在另一个实施例中,多个源中的每一个源都有其自身的窗并被连接到腔上。在腔中的等离子体测量探针提供了等离子体均匀性的信息,而这些信息又被利用于控制施加到每个射频等离子体源上的射频能量,以便保持所预期的等离子均匀性。在一个实施例中,这种等离子体测量探针是朗谬尔探针。在另一个实施例中,这种探针是法拉第凹坑。在还有一个实施例中,这种探针是光学探针。
在另一个实施例中,一个包括一个石英窗的等离子体源,带有一个用于传输气体的整根管子。几个使用不同气体的这种等离子体源,可被组合成一条线列,以用于在一个联机处理系统中顺序地处理衬底基片。
附图简介
所附的权利要求指出了本发明的特征。通过参考下列描述连同附图,可以更好地理解本发明的上述及更多的优点。
图1是本发明等离子体处理系统一个实施例的框图;
图2是使用小面积等离子体源以提供大面积等离子体有效区域的源配置的顶视图;
图3是一个带有内装的法拉第凹坑的基片托座的实施例平面视图;
图3a是一个带有预埋的法拉第凹坑的硅测试片实施例的平面视图;
图4是成形为一个体积源的一个本发明实施例的立体视图;
图5是带有整体气体输送管的一个本发明等离子体源实施例的立体视图;
图6是使用图5中的多个等离子体源的一个连续等离子体处理装置实施的横截面视图;
图7是利用两个等离子体源的系统的示意图;
图8描绘了用于在两个源系统中产生电子回旋共振等离子体的磁体表面布局的一个实施例;
图8a描绘了用于产生电子回旋共振的磁体表面布局的另一个实施例。
较佳实施例阐述
参看图1,图中所示为本发明系统的一个实施例10,它包括一个真空腔14,真空腔14具有一个与真空泵(图中未示)连接的真空通道18。在所示的该实施例中,系统10包括被0形环30真空密封的一系列绝缘窗26,这些绝缘窗26藉助可拆卸的夹紧装置而被附接到真空腔14的上表面22上。在一个具有位于接地外屏蔽罩44内的螺旋形或扁平型天线46的实施例中,射频等离子体源40被可拆卸地连接到一些绝缘窗26上。在另一些实施例中,可使用电容或电感以耦合天线。各个天线的冷却是藉助于使冷却液流经天线而实现的。一般只在较高功率下才需要冷却。不与等离子体源40连接的窗26可用作对腔14的观察孔。各个等离子源40的可拆卸性使相关连的绝缘窗26易被清洁,或可使系统10内的真空不被破坏而更换等离子体源40。虽然在该实施例中使用了玻璃窗,但其它绝缘材料例如石英或聚乙烯等也可被用作窗口材料。
各个天线46,通过匹配网络50和耦合电容器54,而与射频发生器66连接。各个天线46还包括一个调谐电容58,它与相应的天线46并联。从控制器62中发出的信号D、D’和D”控制着各个调谐电容器58。通过逐一地调节调谐电容器58,从各个射频天线46输出的功率得以调节到使产生的等离子体保持均匀。其它调谐装置(如零反射功率调谐装置)也可被用于调节天线的功率。在一个实施例中,射频发生器66由从控制器62发出的信号E所控制。在一个实施例中,控制器62通过对匹配网络50发出信号F而控制天线46的功率。
响应对传递给天线46的功率进行测量的传感器70(如美国马萨诸塞州贝弗利的康代尔公司[Comdel,Inc.Beverly,MA]生产的有效功率监测器)所提供的信号A、对等离子体密度作直接测量的快扫描朗谬尔探针74所提供的信号B、和响应附装到衬底片托座82的多个法拉弟凹坑78所提供的信号C,控制器62从而调节调谐电容器58和射频发生器66。通过移动朗谬尔探针74(双向箭头I)使之进入或退出等离子体进行扫描。藉助这些传感器,控制器62可先对射频发生器66和调谐电容器58进行定位,然后再实际使用该系统10对衬底片作等离子体处理。一旦完成定位,探针即被去除而把要被处置的衬底基片放入。在本系统的另一实施例中,在等离子体处理期间,探针被保留在原位,以对系统进行实时控制。在这样使用朗谬尔探针的实施例中,必须当心不让探针逸散出的颗粒沾污等离子体,也不要遮蔽住正在处理的衬底基片。在本系统的还有一个实施例中,系统的性能是由制造确定的,该系统不包括等离子体探针。
参见图2,等离子体源40的配置可以是这样的,即多个实际上较小的等离子体源40所产生的均匀等离子体所遍及的面积大于单个源遍及的面积的总和。在图示配置的实施例中,4个配置在边长6英寸的正方形顶角的直径为4英寸的等离子体源40所产生的等离子体,大体上等于一个直径12英寸的单个源所产生的等离子体。因此,通过提供一个带有许多窗26的真空腔14,可以形成等离子体源40的各种各样配置,从而产生出具有预期形状和均匀性的等离子体。以上描绘过的那些天线,当如图所示那样被恰当屏蔽后,不会导致各个源之间的射频互相干拢。
在多级-偶极子表面磁场参与下,多级射频等离子体源能激发出电子回旋共振。这样的表面磁场会是,例如,在极面处约为1千高斯(KG),而在极表面周围10厘米(cm)处则下降到只有几个高斯(Gauss)。在这样的系统中,可以形成电子回旋共振,其电子回旋共振频率(单位为赫兹Hz)可由公式υ=2.8×106(B)求出,此处,B是磁场强度,其单位为高斯(Gauss)。因此,假定电子回旋共振基频为13.56兆赫兹(MHz)(也就是由射频发生器提供的频率),所需用于产生共振耦合的磁场强度(由磁体施加)为4.8高斯(G)。通过成比例地增加磁场,可以获得更高的共振基频谐函数。因此,对于要被耦合的次谐波,磁场必须增加到9.6高斯(G)。这样的电子回旋共振在较低的压力下(压力<1毫乇,m Torr)最显著。使用小的射频发生器使得这样的磁体可被放在适当的位置,从而使电子回旋共振成为可能。
法拉弟凹坑78被用于测量等离子体剂量和磁场的均匀性,在一个实施例中,法拉弟凹坑78被设置在接近衬底片托座82表面的一边(图3)。衬底片90的直边86以这样的方式被放置在片托座82上,即要使片托座82的法拉弟凹坑暴露在等离子体中。以此种途径,衬底片90所遭受到的等离子体剂量就能被直接测量出来。另一种方法是,如图3a所示,一个专用片90’被制备成带有许多法拉弟凹坑78,这些凹坑78被嵌入专用片90’中。专用片90’被用来促使射频发生器66和调谐电容器58达到预期的等离子体密度和均匀性。一旦运转参数已被确定,该专用片90’就被移去,而要被处理的衬底片90则被放置在片托座82上。
参考图4,虽然在图中系统10已被描述为,位于真空腔14上表面的等离子体源40依平面排列,但等离子体源40也可分布在真空腔14’的其它表面上以产生出均匀容积的等离子体。这种系统在分批作业时尤为有效。
参考图5,在另一个实施例中,石英窗100不与真空腔14连接,而是封住等离子体源40’的屏蔽罩44的一端。在这个实施例中,与石英窗100内的开孔108连接的管104提供了一个气体进给途径以形成特殊气体等离子体。在此情况下,等离子体源40’不与在真空腔14的壁中的窗26连接,而是与真空腔14本身连接。这种等离子体源40’能产生出被许多处理加工所需要的特殊气体等离子体。几个这样的等离子体源40’可被排列成行地设置以便以不同的等离子体依次处理衬底片90,这正如在图6中所示的排列成行的实施例那样。在该实施例中,藉助传送装置112使片90移动通过连续处理线114中的连续处理带,在此实施例中即为通过处理带I和II。每个处理带通过挡板116与相邻的处理带隔开。在一个实施例中,处理带I中的气体是用于硅-化学气相沉积(Si-CVD)的硅烷(SiH4),而在处理带II的气体是用于掺杂的磷烷(PH3)。在另一个实施例中,一组带有负载-制动器的工具把每个处理腔与另外的处理腔隔离,并装备有自动装置,该自动装置包括了用于等离子体化学沉积(CVD)和等离子体蚀刻的本发明射频等离子体源40。
图7显示了使用两个等离子体源的本发明系统的一个实施例。在此实施例中,每个源是一个直径3-4英寸的扁平电感天线46。每个天线46是由1/4英寸的铜管绕制5-6圈而构成的。每个天线46都通过相应的160微微法(pf)电容器连接到匹配网络50上。匹配网络50包括一个0.03微亨(μH)的电感器125和两个可变电容器130和135。可变电容器130可在10-250微微法(pf)范围内调节,第二个电容器135在5-120微微法(pf)范围内可调节。通过调节可变电容器130和135,使匹配网络50调谐。该匹配网络50本身又与以13.56兆赫(MHz)运行的射频源66连接。一系列磁体140和145围绕着真空腔的圆周按每隔7厘米交替极性放置,从而形成磁性段。
随着真空腔在1毫乇(m Torr)压力下运行。加给天线46的功率是每个天线25瓦(W),总共约50瓦(W)。随着腔中的压力降至0.1毫乇(m Torr),每个天线的功率增至200瓦(W)或者总计400瓦(W)。由此而产生的总功率50瓦(W)的等离子体具有大体为1011/厘米3的均匀密度。使用四个这样的源可以进一步改进均匀性和密度。
参考图8,用于产生电子回旋共振(ECR)的磁体安排的这一实施例利用了许多与天线46邻近的磁体150。在该实施例中,许多磁体150被反置在天线之间。图8a描绘了另一个实施例,其中每个源都有其自己的磁体组。其他的磁体构形也是可以的。
通过已描述的较佳实施例,本领域的技术人员将认识到还可以对本发明作各种各样的改变而仍在本发明权利要求的领域和精神之内。因此,本发明仅限于权利要求指明的领域之内。
权利要求书
按照条约第19条的修改
1、一种利用等离子体处理衬底基片的系统,所说的系统包括:
一个在其中产生等离子体的真空腔,在所说的腔的表面上有许多大体平面的射频可透过的窗;
一个射频发生器;
至少两个射频源,每一个都在所说的真空腔的外部,每个所说射频源与射频发生器电连接,并被并置于所说的许多射频可透过的窗中相应的一个窗上,并可在该真空腔中产生所说的等离子体;
所说的射频源可在贴近所说的衬底基片处产生局部的、大体均匀的等离子体。
2、按照权利要求1所述的一种系统,其特征在于,它还包括至少一个调谐电路,所说的至少一个调谐电路的每一个与所说的至少两个射频发生源中的一个源电连接。
3、按照权利要求2所述的一种系统,其特征在于,它还包括:
至少一个传感器用于测量所说的等离子体的至少一个特性;以及
一个控制器,它从所说的至少一个传感器接受所说的等离子体的至少一个特性信号,并随之而控制所说的多个调谐电路。
4、按照权利要求1所述的一种系统,其特征在于,所说的多个射频可透过的窗中的每个窗都是由石英构成的。
5、按照权利要求1所述的一种系统,其特征在于,所说的多个射频可透过的窗中的每个窗都是由玻璃构成的。
6、按照权利要求3所述的一种系统,其特征在于,所说的至少一个传感器是朗谬尔探针。
7、按照权利要求3所述的一种系统,其特征在于,所说的至少一个传感器是一组法拉弟凹坑。
8、按照权利要求7所述的一种系统,其特征在于,所说的系统还包括一个衬底基片托座,所说的法拉弟凹坑与所说的托座相连。
9、按照权利要求7所述的一种系统,其特征在于,所说的系统还包括一个测试片,在所说的测试片的表面上具有许多法拉弟凹坑。
10、按照权利要求3所述的一种系统,其特征在于,所说的至少一个传感器是一个光学传感器。
11、按照权利要求2所述的一种系统,其特征在于,所说的至少一个调谐电路包括一个与所说的相应的射频源并联的调谐电容器。
12、一种等离子体源,它包括:
一个屏蔽件,其第一端敞开而在第二端具有一个屏蔽小孔;
一个射频天线,它被放置在该屏蔽件内;以及
一个绝缘窗,它被放置成使所说屏蔽件的所说第一端封闭,所说的绝缘窗限定了一个绝缘窗小孔,并具有一个绝缘的气体入口管,所说的气体入口管从所说的绝缘窗小孔延伸而穿过所说的屏蔽件小孔,从而使气体可流通过所说的屏蔽件并通过所说的绝缘窗小孔。
13、一条连续的等离子体处理系统线,它包括:
一个壳体,所说的壳体包括多个位于该壳体内的挡板;
多个射频等离子体源,它们沿着所说的壳体被放置在所说的挡板之间;
每个所说的等离子体源包括:
一个屏蔽件,它在第一端敞开而在第二端具有一个屏蔽件小孔;
一个射频天线,它被放置在该屏蔽件内;以及
一个绝缘窗,它被放置成使所说屏蔽件的所说第一端封闭,所说的绝缘窗限定了一个绝缘窗小孔,并具有一个绝缘的气体入口管,所说的气体入口管从所说的绝缘窗小孔延伸而穿过所说的屏蔽件小孔,从而使气体可流通过所说的屏蔽件和通过所说的绝缘窗小孔而进入所说的壳体,以及
一个被放置在所说的壳体内的传送带装置,所说的传送带装置在所说的壳体内并在由所说的挡板之间的每个所说的射频等离子体源所产生的等离子体的下方传输衬底基片。
14、按照权利要求1所述的一种系统,其特征在于,所说的射频源是独立可调的,以保持所说的局部的等离子体的均匀性。

Claims (13)

1、一种利用等离子体处理衬底基片的系统,所说的系统包括:
一个真空腔,在所说的腔的表面上有多个射频可透过的窗;
一个射频发生器;
至少两个等离子体源,每个所说的等离子体源都与所说的射频发生器电连接,并被并置于所说的许多射频可透过的窗中相应的一个上。
2、按照权利要求1所述的一种系统,其特征在于,它还包括至少一个调谐电路,所说的至少一个调谐电路的每一个与所说的至少两个等离子体源中之一个源电连接。
3、按照权利要求2所述的一种系统,其特征在于,它还包括:
至少一个传感器,用于测量所说的等离子体的至少一个特性;以及
一个控制器,它从所说的至少一个传感器接受所说的等离子体的至少一个特性信号并随之而控制所说的多个调谐电路。
4、按照权利要求1所述的一种系统,其特征在于,所说的多个射频可透过的窗中的每一个窗均由石英构成的。
5、按照权利要求1所述的一种系统,其特征在于,所说的许多射频可透过的窗中的每一个窗均是由玻璃构成的。
6、按照权利要求1所述的一种系统,其特征在于,所说的至少一个传感器是朗谬尔探针。
7、按照权利要求1所述的一种系统,其特征在于,所说的至少一个传感器是一组法拉弟凹坑。
8、按照权利要求7所述的一种系统,其特征在于,所说的系统还包括一个衬底片托座,所说的法拉弟凹坑位于所说的托座上。
9、按照权利要求7所述的一种系统,其特征在于,所说的系统还包括一个测试片,在所说的测试片的表面上具有许多法拉弟凹坑。
10、按照权利要求1所述的一种系统,其特征在于,所说的至少一个传感器是一个光学传感器。
11、按照权利要求2所述的一种系统,其特征在于,所说的调谐电路中的每一个都包括一个与所说的相应的等离子体源并联电连接的调谐电容器。
12、一种等离子体源,它包括:
一个屏蔽件,其第一端敞开而在第二端有一个小孔;
一个射频天线,它被放置在该屏蔽件内;以及
一个绝缘窗,它被放置成使所说的屏蔽件的所说的第一端封闭,所说的绝缘窗限定了一个小孔并具有一个绝缘的气体入口管,所说的气体入口管从在所说的绝缘窗中的所说的小孔延伸而穿过所说的屏蔽件小孔,从而使气体可流通过所说的屏蔽件和通过所说的绝缘窗小孔。
13、一条连续的等离子体处理系统线,它包括:
一个壳体,所说的壳体包括多个位于该壳体内的挡板;
多个射频等离子体源,它们沿着所说的壳体被放置在所说的挡板之间;
每个所说的等离子体源包括:
一个屏蔽件,它在第一端敞开而在第二端有一个小孔;
一个射频天线,它被放置在该屏蔽件内;以及
一个绝缘窗,它被放置成使所说的屏蔽件的所说的第一端封闭,所说的绝缘窗限定了一个小孔,并具有一个绝缘的气体入口管,所说的气体入口管从在所说的绝缘窗中的所说的小孔延伸而穿过所说的屏蔽件小孔,以使气体可流通过所说的屏蔽和流通过所说的绝缘窗小孔而进入所说的壳体;以及
一个被放置在所说的壳体内的传送带装置,所说的传送带装置在所说的壳体内并在由所说的挡板之间的每个所说的射频等离子体源所产生的等离子体的下方传输衬底基片。
CN96196235A 1995-07-19 1996-07-02 利用等离子体处理大面积衬底基片的系统 Pending CN1192788A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/503,973 US5653811A (en) 1995-07-19 1995-07-19 System for the plasma treatment of large area substrates
US08/503,973 1995-07-19

Publications (1)

Publication Number Publication Date
CN1192788A true CN1192788A (zh) 1998-09-09

Family

ID=24004315

Family Applications (1)

Application Number Title Priority Date Filing Date
CN96196235A Pending CN1192788A (zh) 1995-07-19 1996-07-02 利用等离子体处理大面积衬底基片的系统

Country Status (9)

Country Link
US (3) US5653811A (zh)
EP (1) EP0842307B1 (zh)
JP (1) JP4128217B2 (zh)
KR (1) KR19990029069A (zh)
CN (1) CN1192788A (zh)
AU (1) AU718941B2 (zh)
CA (1) CA2227233C (zh)
DE (1) DE69625068D1 (zh)
WO (1) WO1997004478A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102074445A (zh) * 2009-11-23 2011-05-25 周星工程股份有限公司 用于处理基板的装置
CN101728206B (zh) * 2004-11-24 2011-11-23 欧瑞康太阳能股份公司(特吕巴赫) 用于非常大面积基片的真空处理室
CN102598240A (zh) * 2009-10-28 2012-07-18 应用材料公司 垂直整合的处理腔室
CN102792427A (zh) * 2010-03-31 2012-11-21 东京毅力科创株式会社 等离子体处理装置用电介质窗、等离子体处理装置和等离子体处理装置用电介质窗的安装方法

Families Citing this family (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
JP4654176B2 (ja) * 1996-02-22 2011-03-16 住友精密工業株式会社 誘導結合プラズマ・リアクタ
US6116185A (en) * 1996-05-01 2000-09-12 Rietzel; James G. Gas injector for plasma enhanced chemical vapor deposition
JP3437376B2 (ja) 1996-05-21 2003-08-18 キヤノン株式会社 プラズマ処理装置及び処理方法
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6140773A (en) * 1996-09-10 2000-10-31 The Regents Of The University Of California Automated control of linear constricted plasma source array
GB9620151D0 (en) * 1996-09-27 1996-11-13 Surface Tech Sys Ltd Plasma processing apparatus
US6534922B2 (en) 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
ATE396494T1 (de) 1996-09-27 2008-06-15 Surface Technology Systems Plc Plasmabearbeitungsgerät
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6162705A (en) 1997-05-12 2000-12-19 Silicon Genesis Corporation Controlled cleavage process and resulting device using beta annealing
US20070122997A1 (en) 1998-02-19 2007-05-31 Silicon Genesis Corporation Controlled process and resulting device
US6291313B1 (en) 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6027988A (en) * 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
GB9711273D0 (en) 1997-06-03 1997-07-30 Trikon Equip Ltd Electrostatic chucks
US6178920B1 (en) 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US5989349A (en) * 1997-06-24 1999-11-23 Applied Materials, Inc. Diagnostic pedestal assembly for a semiconductor wafer processing system
US6548382B1 (en) 1997-07-18 2003-04-15 Silicon Genesis Corporation Gettering technique for wafers made using a controlled cleaving process
US6136165A (en) * 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US6269765B1 (en) * 1998-02-11 2001-08-07 Silicon Genesis Corporation Collection devices for plasma immersion ion implantation
US6120660A (en) * 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation
US6217724B1 (en) * 1998-02-11 2001-04-17 Silicon General Corporation Coated platen design for plasma immersion ion implantation
US6186091B1 (en) * 1998-02-11 2001-02-13 Silicon Genesis Corporation Shielded platen design for plasma immersion ion implantation
US6228176B1 (en) * 1998-02-11 2001-05-08 Silicon Genesis Corporation Contoured platen design for plasma immerson ion implantation
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6034781A (en) * 1998-05-26 2000-03-07 Wisconsin Alumni Research Foundation Electro-optical plasma probe
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6050218A (en) * 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
US6300227B1 (en) 1998-12-01 2001-10-09 Silicon Genesis Corporation Enhanced plasma mode and system for plasma immersion ion implantation
AU1745700A (en) * 1998-12-01 2000-06-19 Silicon Genesis Corporation Enhanced plasma mode, method, and system for plasma immersion ion implantation
US6530342B1 (en) * 1998-12-30 2003-03-11 Tokyo Electron Limited Large area plasma source
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6199506B1 (en) * 1999-06-30 2001-03-13 Novellus Systems, Inc. Radio frequency supply circuit for in situ cleaning of plasma-enhanced chemical vapor deposition chamber using NF3 or NF3/He mixture
KR20020019596A (ko) * 1999-08-06 2002-03-12 브라이언 알. 바흐맨 기판의 표면을 가로질러 주입량의 균일성을 제공하기 위한장치 및 방법
US6221740B1 (en) 1999-08-10 2001-04-24 Silicon Genesis Corporation Substrate cleaving tool and method
US6263941B1 (en) 1999-08-10 2001-07-24 Silicon Genesis Corporation Nozzle for cleaving substrates
US6500732B1 (en) 1999-08-10 2002-12-31 Silicon Genesis Corporation Cleaving process to fabricate multilayered substrates using low implantation doses
US6706541B1 (en) * 1999-10-20 2004-03-16 Advanced Micro Devices, Inc. Method and apparatus for controlling wafer uniformity using spatially resolved sensors
JP3645768B2 (ja) * 1999-12-07 2005-05-11 シャープ株式会社 プラズマプロセス装置
KR100323613B1 (ko) * 2000-03-29 2002-02-19 박세근 대면적 플라즈마 소스 형성장치
US6653852B1 (en) 2000-03-31 2003-11-25 Lam Research Corporation Wafer integrated plasma probe assembly array
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6578514B2 (en) * 2000-07-13 2003-06-17 Duratek Inc. Modular device of tubular plasma source
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7479456B2 (en) 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7309997B1 (en) * 2000-09-15 2007-12-18 Varian Semiconductor Equipment Associates, Inc. Monitor system and method for semiconductor processes
JP2002100623A (ja) * 2000-09-20 2002-04-05 Fuji Daiichi Seisakusho:Kk 薄膜半導体製造装置
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
WO2002045871A1 (en) * 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
TW519716B (en) * 2000-12-19 2003-02-01 Tokyo Electron Ltd Wafer bias drive for a plasma source
US6631693B2 (en) * 2001-01-30 2003-10-14 Novellus Systems, Inc. Absorptive filter for semiconductor processing systems
US6664740B2 (en) * 2001-02-01 2003-12-16 The Regents Of The University Of California Formation of a field reversed configuration for magnetic and electrostatic confinement of plasma
US6611106B2 (en) * 2001-03-19 2003-08-26 The Regents Of The University Of California Controlled fusion in a field reversed configuration and direct energy conversion
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
PT1253216E (pt) * 2001-04-27 2004-04-30 Europ Economic Community Metodo e aparelhagem para tratamento sequencial por plasma
US6673636B2 (en) 2001-05-18 2004-01-06 Applied Materails Inc. Method of real-time plasma charging voltage measurement on powered electrode with electrostatic chuck in plasma process chambers
US7282721B2 (en) * 2001-08-30 2007-10-16 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for tuning ion implanters
US6493078B1 (en) * 2001-09-19 2002-12-10 International Business Machines Corporation Method and apparatus to improve coating quality
US6590344B2 (en) * 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
GB0208261D0 (en) * 2002-04-10 2002-05-22 Dow Corning An atmospheric pressure plasma assembly
JP2004043910A (ja) * 2002-07-12 2004-02-12 Canon Inc 堆積膜形成方法および形成装置
US20040016402A1 (en) * 2002-07-26 2004-01-29 Walther Steven R. Methods and apparatus for monitoring plasma parameters in plasma doping systems
WO2004026096A2 (en) * 2002-09-19 2004-04-01 Tokyo Electron Limited Viewing window cleaning apparatus
US8187377B2 (en) 2002-10-04 2012-05-29 Silicon Genesis Corporation Non-contact etch annealing of strained layers
US6969953B2 (en) * 2003-06-30 2005-11-29 General Electric Company System and method for inductive coupling of an expanding thermal plasma
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
US7273533B2 (en) * 2003-11-19 2007-09-25 Tokyo Electron Limited Plasma processing system with locally-efficient inductive plasma coupling
US7464662B2 (en) * 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
US20050188922A1 (en) * 2004-02-26 2005-09-01 Tokyo Electron Limited. Plasma processing unit
US7138187B2 (en) * 2004-03-19 2006-11-21 Younger Mfg. Co. Polyvinyl alcohol-based film exhibiting improved adhesion
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7878145B2 (en) * 2004-06-02 2011-02-01 Varian Semiconductor Equipment Associates, Inc. Monitoring plasma ion implantation systems for fault detection and process control
EP1605493A1 (en) * 2004-06-07 2005-12-14 HELYSSEN S.à.r.l. Plasma processing control
US7400096B1 (en) 2004-07-19 2008-07-15 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Large area plasma source
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7305935B1 (en) 2004-08-25 2007-12-11 The United States Of America As Represented By The Administration Of Nasa Slotted antenna waveguide plasma source
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7109499B2 (en) * 2004-11-05 2006-09-19 Varian Semiconductor Equipment Associates, Inc. Apparatus and methods for two-dimensional ion beam profiling
KR100670509B1 (ko) * 2005-02-01 2007-01-16 삼성에스디아이 주식회사 플라즈마 디스플레이 패널 제조설비
US8031824B2 (en) 2005-03-07 2011-10-04 Regents Of The University Of California Inductive plasma source for plasma electric generation system
SI1856702T1 (sl) * 2005-03-07 2012-11-30 Univ California Plazemski sistem za generiranje elektrike
US9607719B2 (en) * 2005-03-07 2017-03-28 The Regents Of The University Of California Vacuum chamber for plasma electric generation system
US9123512B2 (en) 2005-03-07 2015-09-01 The Regents Of The Unviersity Of California RF current drive for plasma electric generation system
JP4621914B2 (ja) * 2005-04-19 2011-02-02 国立大学法人 長崎大学 極細管内壁面のコーティング方法およびコーティング装置
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7462552B2 (en) * 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
EP1886339A4 (en) * 2005-06-03 2008-08-27 Csg Solar Ag METHOD AND DEVICE FOR HYDROGENATING THIN FILM SILICON ON GLASS
US8179050B2 (en) 2005-06-23 2012-05-15 The Regents Of The University Of California Helicon plasma source with permanent magnets
KR20080042095A (ko) * 2005-07-27 2008-05-14 실리콘 제너시스 코포레이션 제어된 클리빙 처리를 이용하여 플레이트 상에 다수의 타일영역을 제작하는 방법 및 구조
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7466740B2 (en) * 2005-12-07 2008-12-16 Ajax Tocco Magnethermic Corporation Induction coil having internal and external faradic rings
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
US8450193B2 (en) * 2006-08-15 2013-05-28 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
EP2087778A4 (en) 2006-08-22 2010-11-17 Mattson Tech Inc INDUCTIVE PLASMA SOURCE WITH HIGH COUPLING EFFICIENCY
US8992725B2 (en) * 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US8293619B2 (en) 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
US9362439B2 (en) 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
US7811900B2 (en) 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
JP5111913B2 (ja) * 2007-03-23 2013-01-09 株式会社東芝 光電気混載集積回路
FR2917753B1 (fr) * 2007-06-20 2011-05-06 Quertech Ingenierie Dispositif multi-sources rce pour le traitement de pieces par implantation ionique et procede le mettant en oeuvre
US20090001599A1 (en) * 2007-06-28 2009-01-01 Spansion Llc Die attachment, die stacking, and wire embedding using film
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
DE102008027363B4 (de) * 2008-06-09 2018-04-26 Meyer Burger (Germany) Ag Vorrichtung zur Behandlung großvolumiger Substrate im Plasma und Verfahren zur Anwendung
US8697553B2 (en) 2008-06-11 2014-04-15 Intevac, Inc Solar cell fabrication with faceting and ion implantation
US8330126B2 (en) 2008-08-25 2012-12-11 Silicon Genesis Corporation Race track configuration and method for wafering silicon solar substrates
CN101754564B (zh) * 2008-12-09 2014-02-19 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体加工设备
JP5478058B2 (ja) * 2008-12-09 2014-04-23 国立大学法人東北大学 プラズマ処理装置
US7927975B2 (en) 2009-02-04 2011-04-19 Micron Technology, Inc. Semiconductor material manufacture
JP4621287B2 (ja) * 2009-03-11 2011-01-26 株式会社イー・エム・ディー プラズマ処理装置
WO2010108151A1 (en) * 2009-03-20 2010-09-23 Solar Implant Technologies, Inc. Advanced high efficiency crystalline solar cell fabrication method
US8329557B2 (en) 2009-05-13 2012-12-11 Silicon Genesis Corporation Techniques for forming thin films by implantation with reduced channeling
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US20100326271A1 (en) * 2009-06-25 2010-12-30 Omax Corporation Reciprocating pump and method for making a system with enhanced dynamic seal reliability
KR101081743B1 (ko) * 2009-08-17 2011-11-09 주성엔지니어링(주) 기판처리장치
NL2005249A (en) 2009-09-24 2011-03-28 Asml Netherlands Bv Radiation detector.
KR101589109B1 (ko) * 2009-11-23 2016-01-28 주성엔지니어링(주) 기판처리장치
KR101587053B1 (ko) * 2009-11-23 2016-01-21 주성엔지니어링(주) 기판처리장치
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
CN102834905B (zh) * 2010-02-09 2016-05-11 因特瓦克公司 太阳能电池制造中使用的可调阴影掩模组件
WO2011104803A1 (ja) * 2010-02-25 2011-09-01 シャープ株式会社 プラズマ生成装置
US20110278260A1 (en) * 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
KR101241049B1 (ko) 2011-08-01 2013-03-15 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
KR101246191B1 (ko) * 2011-10-13 2013-03-21 주식회사 윈텔 플라즈마 장치 및 기판 처리 장치
MY175007A (en) 2011-11-08 2020-06-02 Intevac Inc Substrate processing system and method
RS56260B1 (sr) 2011-11-14 2017-11-30 Univ California Sistem za formiranje i održavanje frc visokih performansi
US8809803B2 (en) 2012-08-13 2014-08-19 Varian Semiconductor Equipment Associates, Inc. Inductively coupled plasma ion source with multiple antennas for wide ion beam
KR101709424B1 (ko) * 2012-11-15 2017-02-22 제임스 앤드류 레스코세크 플라즈마 게이트
TWI570745B (zh) 2012-12-19 2017-02-11 因特瓦克公司 用於電漿離子植入之柵極
US9783884B2 (en) * 2013-03-14 2017-10-10 Varian Semiconductor Equipment Associates, Inc. Method for implementing low dose implant in a plasma system
JP6101535B2 (ja) * 2013-03-27 2017-03-22 株式会社Screenホールディングス プラズマ処理装置
US20150042017A1 (en) * 2013-08-06 2015-02-12 Applied Materials, Inc. Three-dimensional (3d) processing and printing with plasma sources
CN105474362B (zh) 2013-08-16 2018-05-25 应用材料公司 用于高温低压力环境的细长的容性耦合的等离子体源
JP6876435B2 (ja) 2013-09-24 2021-05-26 ティーエーイー テクノロジーズ, インコーポレイテッド 高性能frcを形成し維持するシステムおよび方法
JP2015074792A (ja) * 2013-10-07 2015-04-20 株式会社Screenホールディングス プラズマcvd装置
US9299536B2 (en) * 2013-10-17 2016-03-29 Varian Semiconductor Equipment Associates, Inc. Wide metal-free plasma flood gun
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
US9433071B2 (en) * 2014-06-13 2016-08-30 Plasma Innovations, LLC Dielectric barrier discharge plasma generator
PT3633683T (pt) 2014-10-13 2021-06-03 Tae Tech Inc Processo de fusão e compressão de toros compactos
JP6855374B2 (ja) 2014-10-30 2021-04-07 ティーエーイー テクノロジーズ, インコーポレイテッド 高性能frcを形成し維持するシステムおよび方法
KR101682881B1 (ko) * 2014-12-05 2016-12-06 인베니아 주식회사 플라즈마 발생모듈 및 이를 포함하는 플라즈마 처리장치
US9646843B2 (en) * 2014-12-08 2017-05-09 Applied Materials, Inc. Tunable magnetic field to improve uniformity
KR102598740B1 (ko) 2015-05-12 2023-11-03 티에이이 테크놀로지스, 인크. 원하지 않는 맴돌이 전류를 감소시키는 시스템 및 방법
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
IL259313B2 (en) 2015-11-13 2023-11-01 Tae Tech Inc Systems and methods for positioning stability of FRC plasma
US9721759B1 (en) * 2016-04-04 2017-08-01 Aixtron Se System and method for distributing RF power to a plasma source
KR101798384B1 (ko) * 2016-05-03 2017-11-17 (주)브이앤아이솔루션 유도결합 플라즈마 처리장치의 rf 안테나 구조
UA128079C2 (uk) 2016-10-28 2024-04-03 Тае Текнолоджіз, Інк. Системи і способи поліпшеної підтримки підвищених енергій високоефективної конфігурації з оберненим полем, що передбачають використання інжекторів нейтральних пучків з настроюваними енергіями пучків
AU2017355652B2 (en) 2016-11-04 2022-12-15 Tae Technologies, Inc. Systems and methods for improved sustainment of a high performance FRC with multi-scaled capture type vacuum pumping
UA126673C2 (uk) 2016-11-15 2023-01-11 Тае Текнолоджіз, Інк. Системи і способи поліпшеної підтримки високоефективної конфігурації з оберненим полем і нагрівання електронів за допомогою вищих гармонік швидких хвиль у високоефективній конфігурації з оберненим полем
US11339477B2 (en) * 2016-11-30 2022-05-24 Jiangsu Favored Nanotechnology Co., LTD Plasma polymerization coating apparatus and process
CN106756888B (zh) 2016-11-30 2018-07-13 江苏菲沃泰纳米科技有限公司 一种纳米镀膜设备旋转货架装置
CN106622824B (zh) * 2016-11-30 2018-10-12 江苏菲沃泰纳米科技有限公司 一种等离子体聚合涂层装置
US20180174801A1 (en) * 2016-12-21 2018-06-21 Ulvac Technologies, Inc. Apparatuses and methods for surface treatment
US10808688B1 (en) 2017-07-03 2020-10-20 Omax Corporation High pressure pumps having a check valve keeper and associated systems and methods
KR102009348B1 (ko) * 2017-09-20 2019-08-09 주식회사 유진테크 배치식 플라즈마 기판처리장치
DE102018113444B3 (de) 2018-06-06 2019-10-10 Meyer Burger (Germany) Gmbh Lineare Mikrowellen-Plasmaquelle mit getrennten Plasmaräumen
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
US11904494B2 (en) 2020-03-30 2024-02-20 Hypertherm, Inc. Cylinder for a liquid jet pump with multi-functional interfacing longitudinal ends
US11776793B2 (en) 2020-11-13 2023-10-03 Applied Materials, Inc. Plasma source with ceramic electrode plate
CN114453345B (zh) * 2021-12-30 2023-04-11 广东鼎泰高科技术股份有限公司 一种等离子体清洗系统

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2245779B1 (zh) * 1973-09-28 1978-02-10 Cit Alcatel
US3855110A (en) 1973-11-15 1974-12-17 United Aircraft Corp Cylindrical rf sputtering apparatus
US3926147A (en) 1974-11-15 1975-12-16 Mc Donnell Douglas Corp Glow discharge-tumbling vapor deposition apparatus
US4042128A (en) 1975-11-26 1977-08-16 Airco, Inc. Substrate transfer apparatus for a vacuum coating system
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS6029295B2 (ja) 1979-08-16 1985-07-10 舜平 山崎 非単結晶被膜形成法
US4322661A (en) 1979-12-26 1982-03-30 Huges Aircraft Company Cross-field plasma mode electric conduction control device
US4304983A (en) 1980-06-26 1981-12-08 Rca Corporation Plasma etching device and process
US4345968A (en) * 1981-08-27 1982-08-24 Ncr Corporation End point detection using gas flow
JPH06105597B2 (ja) 1982-08-30 1994-12-21 株式会社日立製作所 マイクロ波プラズマ源
US4500563A (en) * 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPS59193904A (ja) * 1983-04-18 1984-11-02 Matsushita Electric Ind Co Ltd 高分子薄膜形成装置
US5780313A (en) 1985-02-14 1998-07-14 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device
US4811684A (en) 1984-11-26 1989-03-14 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus, with deposition prevention in light source chamber
US4566403A (en) * 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
US4810935A (en) 1985-05-03 1989-03-07 The Australian National University Method and apparatus for producing large volume magnetoplasmas
FR2583250B1 (fr) 1985-06-07 1989-06-30 France Etat Procede et dispositif d'excitation d'un plasma par micro-ondes a la resonance cyclotronique electronique
US4756882A (en) 1985-06-21 1988-07-12 Surgikos Inc. Hydrogen peroxide plasma sterilization system
US4632719A (en) 1985-09-18 1986-12-30 Varian Associates, Inc. Semiconductor etching apparatus with magnetic array and vertical shield
JP2635021B2 (ja) * 1985-09-26 1997-07-30 宣夫 御子柴 堆積膜形成法及びこれに用いる装置
US4826646A (en) 1985-10-29 1989-05-02 Energy/Matter Conversion Corporation, Inc. Method and apparatus for controlling charged particles
KR880000215A (ko) 1986-06-10 1988-03-24 나까므라 히사오 시이트(sheet)상 물체의 플라즈마 처리장치
JPS6393881A (ja) * 1986-10-08 1988-04-25 Anelva Corp プラズマ処理装置
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4847792A (en) * 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
US4846928A (en) * 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
US4887005A (en) * 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US5015353A (en) * 1987-09-30 1991-05-14 The United States Of America As Represented By The Secretary Of The Navy Method for producing substoichiometric silicon nitride of preselected proportions
GB2212974B (en) 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
US4853250A (en) * 1988-05-11 1989-08-01 Universite De Sherbrooke Process of depositing particulate material on a substrate
JP2670623B2 (ja) * 1988-09-19 1997-10-29 アネルバ株式会社 マイクロ波プラズマ処理装置
US4952273A (en) * 1988-09-21 1990-08-28 Microscience, Inc. Plasma generation in electron cyclotron resonance
US4996077A (en) * 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US5202095A (en) * 1988-12-27 1993-04-13 Matsushita Electric Industrial Co., Ltd. Microwave plasma processor
DE68924413T2 (de) * 1989-01-25 1996-05-02 Ibm Radiofrequenzinduktion/Mehrpolplasma-Bearbeitungsvorrichtung.
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5203960A (en) * 1989-03-09 1993-04-20 Applied Microwave Plasma Concepts, Inc. Method of operation of electron cyclotron resonance plasma source
US5370765A (en) * 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
US5133826A (en) * 1989-03-09 1992-07-28 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source
US5032205A (en) 1989-05-05 1991-07-16 Wisconsin Alumni Research Foundation Plasma etching apparatus with surface magnetic fields
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US5183775A (en) 1990-01-23 1993-02-02 Applied Materials, Inc. Method for forming capacitor in trench of semiconductor wafer by implantation of trench surfaces with oxygen
DE4114108C1 (zh) * 1991-04-30 1991-12-19 Schott Glaswerke, 6500 Mainz, De
JPH0810634B2 (ja) * 1990-06-01 1996-01-31 インターナショナル・ビジネス・マシーンズ・コーポレイション マイクロ波給電式材料/プラズマ処理システム
US5707486A (en) 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5296272A (en) 1990-10-10 1994-03-22 Hughes Aircraft Company Method of implanting ions from a plasma into an object
US5178739A (en) 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5304282A (en) 1991-04-17 1994-04-19 Flamm Daniel L Processes depending on plasma discharges sustained in a helical resonator
JPH04362091A (ja) * 1991-06-05 1992-12-15 Mitsubishi Heavy Ind Ltd プラズマ化学気相成長装置
US5772832A (en) 1991-06-27 1998-06-30 Applied Materials, Inc Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US5198725A (en) 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
US5342472A (en) * 1991-08-12 1994-08-30 Tokyo Electron Limited Plasma processing apparatus
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5223108A (en) 1991-12-30 1993-06-29 Materials Research Corporation Extended lifetime collimator
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
WO1993018201A1 (en) 1992-03-02 1993-09-16 Varian Associates, Inc. Plasma implantation process and equipment
US5490910A (en) 1992-03-09 1996-02-13 Tulip Memory Systems, Inc. Circularly symmetric sputtering apparatus with hollow-cathode plasma devices
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5273610A (en) * 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5404079A (en) * 1992-08-13 1995-04-04 Matsushita Electric Industrial Co., Ltd. Plasma generating apparatus
WO1994006263A1 (en) 1992-09-01 1994-03-17 The University Of North Carolina At Chapel Hill High pressure magnetically assisted inductively coupled plasma
JP3266163B2 (ja) * 1992-10-14 2002-03-18 東京応化工業株式会社 プラズマ処理装置
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
AU5598194A (en) 1992-11-13 1994-06-08 Energy Conversion Devices Inc. Microwave apparatus for depositing thin films
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5289010A (en) 1992-12-08 1994-02-22 Wisconsin Alumni Research Foundation Ion purification for plasma ion implantation
US5308414A (en) * 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5374456A (en) 1992-12-23 1994-12-20 Hughes Aircraft Company Surface potential control in plasma processing of materials
US6136140A (en) 1993-01-12 2000-10-24 Tokyo Electron Limited Plasma processing apparatus
KR100238627B1 (ko) * 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
US5362353A (en) 1993-02-26 1994-11-08 Lsi Logic Corporation Faraday cage for barrel-style plasma etchers
TW249313B (zh) 1993-03-06 1995-06-11 Tokyo Electron Co
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5487785A (en) * 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5531834A (en) 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US5498290A (en) 1993-08-27 1996-03-12 Hughes Aircraft Company Confinement of secondary electrons in plasma ion processing
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH07106512A (ja) 1993-10-04 1995-04-21 Sharp Corp 分子イオン注入を用いたsimox処理方法
GB9321489D0 (en) * 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5431799A (en) 1993-10-29 1995-07-11 Applied Materials, Inc. Collimation hardware with RF bias rings to enhance sputter and/or substrate cavity ion generation efficiency
US5413955A (en) 1993-12-21 1995-05-09 Delco Electronics Corporation Method of bonding silicon wafers at temperatures below 500 degrees centigrade for sensor applications
DE4403125A1 (de) * 1994-02-02 1995-08-03 Fraunhofer Ges Forschung Vorrichtung zur Plasmaerzeugung
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5411592A (en) * 1994-06-06 1995-05-02 Ovonic Battery Company, Inc. Apparatus for deposition of thin-film, solid state batteries
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5661043A (en) 1994-07-25 1997-08-26 Rissman; Paul Forming a buried insulator layer using plasma source ion implantation
US5651868A (en) 1994-10-26 1997-07-29 International Business Machines Corporation Method and apparatus for coating thin film data storage disks
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5504328A (en) * 1994-12-09 1996-04-02 Sematech, Inc. Endpoint detection utilizing ultraviolet mass spectrometry
JP3426382B2 (ja) 1995-01-24 2003-07-14 アネルバ株式会社 プラズマ処理装置
DE69510032T2 (de) * 1995-03-31 2000-01-27 Ibm Verfahren und Gerät zur Überwachung des Trockenätzens eines dielektrischen Films bis zu einer gegebenen Dicke
JP2666768B2 (ja) * 1995-04-27 1997-10-22 日本電気株式会社 ドライエッチング方法及び装置
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5985032A (en) * 1995-05-17 1999-11-16 Matsushita Electric Industrial Co., Ltd. Semiconductor manufacturing apparatus
US5711812A (en) 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5686796A (en) 1995-12-20 1997-11-11 International Business Machines Corporation Ion implantation helicon plasma source with magnetic dipoles
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
EP0789506B1 (en) 1996-02-09 2004-12-29 Ulvac, Inc. Apparatus for generating magnetically neutral line discharge type plasma
US5683548A (en) 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
US5641969A (en) 1996-03-28 1997-06-24 Applied Materials, Inc. Ion implantation apparatus
US5710057A (en) 1996-07-12 1998-01-20 Kenney; Donald M. SOI fabrication method
US5911832A (en) 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5824602A (en) 1996-10-21 1998-10-20 The United States Of America As Represented By The United States Department Of Energy Helicon wave excitation to produce energetic electrons for manufacturing semiconductors
US6051073A (en) 1998-02-11 2000-04-18 Silicon Genesis Corporation Perforated shield for plasma immersion ion implantation
US6204607B1 (en) 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101728206B (zh) * 2004-11-24 2011-11-23 欧瑞康太阳能股份公司(特吕巴赫) 用于非常大面积基片的真空处理室
CN102598240A (zh) * 2009-10-28 2012-07-18 应用材料公司 垂直整合的处理腔室
CN102074445A (zh) * 2009-11-23 2011-05-25 周星工程股份有限公司 用于处理基板的装置
CN102792427A (zh) * 2010-03-31 2012-11-21 东京毅力科创株式会社 等离子体处理装置用电介质窗、等离子体处理装置和等离子体处理装置用电介质窗的安装方法

Also Published As

Publication number Publication date
AU6344996A (en) 1997-02-18
US20020029850A1 (en) 2002-03-14
US5653811A (en) 1997-08-05
WO1997004478A3 (en) 1997-03-20
EP0842307B1 (en) 2002-11-27
CA2227233A1 (en) 1997-02-06
KR19990029069A (ko) 1999-04-15
US6338313B1 (en) 2002-01-15
CA2227233C (en) 2001-10-30
AU718941B2 (en) 2000-05-04
JPH11510302A (ja) 1999-09-07
EP0842307A2 (en) 1998-05-20
US6632324B2 (en) 2003-10-14
EP0842307A4 (en) 1999-12-01
JP4128217B2 (ja) 2008-07-30
WO1997004478A2 (en) 1997-02-06
DE69625068D1 (de) 2003-01-09

Similar Documents

Publication Publication Date Title
CN1192788A (zh) 利用等离子体处理大面积衬底基片的系统
US9734990B2 (en) Plasma apparatus and substrate-processing apparatus
TWI391035B (zh) Plasma generation device, plasma control method and substrate manufacturing method (1)
US6186091B1 (en) Shielded platen design for plasma immersion ion implantation
CN1258805C (zh) 半导体处理室电极及其制作方法
EP1079671A2 (en) Antenna device for generating inductively coupled plasma
KR100507717B1 (ko) 플라즈마 처리 장치
CN1160479C (zh) 等离子体增强的化学处理反应器和方法
CN1507646A (zh) 具有多个绕组线圈的感应等离子处理器和控制等离子浓度的方法
CN101002509B (zh) 等离子处理单元
CN1901772A (zh) 处理基底的设备
CN1574199A (zh) 高密度等离子体加工设备
CN1652661A (zh) 等离子体产生设备及等离子体处理设备
US20080246406A1 (en) Helicon plasma source with permanent magnets
CN1181172A (zh) 等离子体处理装置和方法
KR100798352B1 (ko) 다중 배열된 방전실을 갖는 플라즈마 반응기 및 이를이용한 플라즈마 처리 시스템
JP3618333B2 (ja) プラズマ生成装置
US6713968B2 (en) Plasma processing apparatus
JP3117366B2 (ja) プラズマ処理装置
CN1226777C (zh) 等离子体装置及等离子体生成方法
US5726413A (en) Apparatus for generating a plasma for processing substrates
US5227202A (en) Method for chemical coating on opposite surfaces of workpieces
US20090183681A1 (en) Slotted Electrode and Plasma Apparatus Using the Same
US6404134B2 (en) Plasma processing system
KR20100008052A (ko) 화학기상증착 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
COR Change of bibliographic data

Free format text: CORRECT: APPLICANT; FROM: CHEN CONG TO: SILICON ORIGINATION CO., LTD.

CP03 Change of name, title or address

Address after: American California

Applicant after: Silicon founding company

Address before: Massachusetts, USA

Applicant before: Chen Cong

C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication