CN1199264C - 内插器及其制造方法 - Google Patents

内插器及其制造方法 Download PDF

Info

Publication number
CN1199264C
CN1199264C CNB008095671A CN00809567A CN1199264C CN 1199264 C CN1199264 C CN 1199264C CN B008095671 A CNB008095671 A CN B008095671A CN 00809567 A CN00809567 A CN 00809567A CN 1199264 C CN1199264 C CN 1199264C
Authority
CN
China
Prior art keywords
layer
interpolater
substrate
oxide layer
crystal seed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB008095671A
Other languages
English (en)
Other versions
CN1358331A (zh
Inventor
M·T·波尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN1358331A publication Critical patent/CN1358331A/zh
Application granted granted Critical
Publication of CN1199264C publication Critical patent/CN1199264C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/157Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49156Manufacturing circuit on or in base with selective destruction of conductive paths
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49162Manufacturing circuit on or in base by using wire as conductive path
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49165Manufacturing circuit on or in base by forming conductive walled aperture in base

Abstract

一种适合于将集成电路连接到支撑衬底的结构是一种内插器,其中该结构具有与该集成电路良好匹配的热膨胀特性。该集成电路和该内插器由具有基本上相同的热膨胀系数的主体组成。该内插器具有适于电气地并机械地耦合到集成电路的第一表面。该内插器具有适于电气地并机械地耦合到支撑衬底的第二表面。导电通孔提供在该内插器的该第一表面和该第二表面之间的信号通路。在内插器中可采用不同的电路元件。这些电路元件可以是有源元件、无源元件、或有源元件和无源元件的组合。

Description

内插器及其制造方法
技术领域
本发明涉及在集成电路和支撑衬底例如印刷电路板之间的连接。特别地,本发明涉及一种内插器,用于将集成电路耦合到支撑衬底。
背景技术
集成电路已经制造了许多年。常规上讲,这种制造包括将各种各样的有源电路元件和无源电路元件集成到一块称为管芯的半导体材料里,并将该管芯封装到陶器或塑料封装里。然后通过连接沿封装的外围排列的插脚将这些封装典型地粘附到印刷电路板。通过将各种各样的集成电路封装连接到印刷电路板就能形成电子系统。
随着半导体制造技术的发展,实质上导致了在每个集成电路上的晶体管数量的增加,相应地提高每个集成电路的功能成为可能。随着提高功能导致了必须增加在集成电路和集成电路作为其一部分的电子系统的其它部分之间的输入/输出(I/O)接线的数量。一种将编址增加的用于I/O接线的所需的适应设计是简单地将附加的插脚添加到封装。遗憾的是将插脚添加到封装会增加由封装消耗的面积。进一步的将编址增加的用于I/O接线所需的适应设计而不必消耗不希望的大量面积是网格插针阵列(PGA)和网格焊球阵列(BGA)封装的改进。在这种封装里,按二维阵列在封装的主表面实际部分上设置大量的I/O接线端子。这些PGA和BGA封装典型地包含一个集成电路管芯,并粘附到支撑衬底例如印刷电路板。
虽然PGA和BGA封装提供用于集成电路所需的大量的I/O接线的节省空间的解决方法,但是从制造它们的材料中典型地没有提供按照它们的各自的热膨胀系数与集成电路管芯的材料的良好匹配。
必须具有一种适合于电气和机械地将集成电路耦合到支撑衬底的结构,其中该结构具有与集成电路良好匹配的热膨胀特性。还需要一种制造这种结构的方法。
发明内容
简要地,适合于将集成电路连接到支撑衬底的一种结构是一种内插器,其中该结构的热膨胀特性与集成电路良好匹配。集成电路和内插器由基本上具有相同的热膨胀系数的主体构成。内插器具有适于电气地并且机械地与集成电路耦合的第一表面。内插器具有适于电气地并且机械地与支撑衬底耦合的第二表面。导电通孔在内插器的第一表面和第二表面之间提供信号通道。
在本发明的另一个方面,可以将不同的电路元件合并到内插器里。这些电路元件可以是有源元件、无源元件、或有源元件和无源元件的组合。
本发明提供了一种制造内插器的方法,包括在衬底的每个第一表面和第二表面上形成氧化层;布图第一表面的氧化层以便暴露该衬底的第一部分和第二部分;通过该暴露的衬底的第一部分进行各向同性腐蚀直到形成至少一个深通孔开口的第一部分;通过该暴露的衬底的第二部分进行各向异性腐蚀直到形成至少一个深通孔开口的第二部分;将阻挡层和晶种层溅射到至少一个深通孔开口的该第一和第二部分上;在该晶种层之上电镀导电材料直到形成至少一个深通孔;在该衬底的该第二表面之上形成通孔和互连线;在该衬底的第二层上形成蚀刻终止层;在蚀刻终止层上沉积氧化层;布图氧化层以便暴露蚀刻终止层的部分;腐蚀蚀刻终止层的暴露部分;在衬底的第二表面上沉积阻挡层和晶种层;以及在该晶种层之上电镀导电材料,其中所述内插器的衬底和内插器将被耦合到的集成电路的衬底包括相同的材料。
所述互连线可以电气耦合到至少一个深通孔。
所述氧化层可以被加热生长到厚度大约为0.5μm。
该方法进一步包括在衬底的第一表面上溅射阻挡层和晶种层之前在至少一个深通孔开口的内表面生长氧化层。
所述阻挡层的厚度可以在10-50nm的范围内。
所述晶种层的厚度可以在100-300nm的范围内。
该方法进一步包括在衬底的第一表面之上沉积铜层。
本发明还提供一种制造内插器的方法,包括:在衬底的第一表面之上形成第一组互连线;在衬底的第一表面之上形成第二组互连线;以及在第一组互连线和第二组互连线之间形成通孔,所述方法还包括:在衬底的第一表面上形成第一氧化层,在衬底的第二表面上形成第二氧化层;在第一氧化层上形成第一蚀刻终止层;布图该第一蚀刻终止层以便暴露第一蚀刻终止层的部分;腐蚀第一蚀刻终止层的暴露部分以形成沟槽;在衬底的第一表面上溅射阻挡层和晶种层;在该晶种层之上电镀导电材料;在衬底的第一表面上形成互连线;以及在衬底的第一表面上沉积第二蚀刻终止层,其中所述内插器的衬底和内插器将被耦合到的集成电路的衬底由相同的材料制成。
该方法进一步包括:在第二蚀刻终止层之上沉积第三氧化层;布图第三氧化层以便暴露将要去除的该第三氧化层的第一部分以便形成通孔开口;蚀刻该第三氧化层的第一部分;布图第三氧化层以便暴露将要去除的该第三氧化层的第二部分以便形成互连线;蚀刻该第三氧化层的第二部分;将阻挡层和晶种层溅射到衬底的第一表面上;以及在晶种层之上电镀导电材料。
该方法进一步包括:布图第二氧化层以便暴露将要去除的部分第二氧化层;蚀刻该第二氧化层的暴露部分以便形成深通孔开口;将阻挡层和晶种层溅射到深通孔开口中,以及在晶种层之上电镀导电材料。
所述深通孔开口可以由倾斜的侧壁形成。
所述第一蚀刻终止层的厚度大约是0.2μm。
在第二蚀刻终止层之上的第三氧化层的厚度大约是10μm。
本发明还提供一种电子组件,包括:具有硅衬底的管芯,该管芯包括具有第一组电气特性的第一多个绝缘栅场效应晶体管;包括第一表面、硅衬底、相对第二表面、互连线和导电通孔的内插器,第一表面通过焊料突起连接到该管芯,内插器包括具有第二组电气特性的第二多个绝缘栅场效应晶体管,第一组电气特性与第二组电气特性不同,并且电路衬底通过焊球连接到第二表面;其中内插器包括电路元件。
所述电路元件可以包括有源电路元件。
所述电路元件可以包括无源电路元件。
所述电路元件可以包括有源和无源电路元件。
所述有源电路元件可以包括至少一个场效应晶体管,并且无源电路元件包括至少一个电容器。
所述第一组电气特性可以包括第一栅介质击穿电压,所述第二组电气特性可以包括第二栅介质击穿电压并且第二栅介质击穿电压高于第一栅介质击穿电压。
所述管芯和内插器可以通过焊料突起电气耦合,并且管芯的第一电源节点耦合到配置在内插器上的电容器的第一终端,并且管芯的第二电源节点耦合到所述电容器的第二终端。
附图说明
图1是通过焊料凸起耦合到OLGA封装的硅基底集成电路管芯以及通过焊球耦合到印刷电路板的OLGA封装的侧视图。
图2是OLGA封装的剖面图。
图3是根据本发明的硅基底内插器的剖面图。
图4是显示大量接线端子的硅基底内插器的另一个剖面图。
图5是根据本发明的示出集成的去耦电容器的硅基底内插器的剖面图。
图6是根据本发明的示出集成的晶体管的硅基底内插器的剖面图。
图7-10显示根据本发明的第一说明实施例的制造硅基底内插器的不同的阶段,其中在芯片侧面互连结构形成之前形成深通孔。
图7是在其中已经蚀刻深通孔之后,内插器的剖面图。
图8是显示在深通孔的侧壁上形成绝缘层并且由导电的材料填充深通孔之后图7的内插器的剖面图。
图9是显示在进一步的金属化操作之后图8的内插器的剖面图。
图10是显示在更进一步的金属化操作之后图9的内插器的剖面图。
图11-14显示根据本发明的第二说明实施例的制造硅基底内插器的不同的阶段,其中在芯片侧面互连形成之后形成深通孔。
图11是具有在内插器的芯片侧面上形成的金属化的第一层的内插器的剖面图。
图12是显示在形成芯片侧面金属化的附加层之后图11的内插器的剖面图。
图13是显示在贯穿内插器形成深通孔和在深通孔的侧壁表面上形成绝缘层之后图12的内插器的剖面图。
图14是显示在用导电的材料填充深通孔之后图13的内插器的剖面图。
图15-16是在图7-10中说明的工艺和在图11-14中说明的工艺两个相同的工艺。
图15是根据本发明在背面已经抛光金属化的芯片侧面和底板侧面层并已经电镀之后的内插器的剖面图。
图16是显示在采用Pb/Sn布图形成芯片侧面焊料凸起和底板侧面焊球之后图15的内插器的剖面图。
图17是说明根据本发明的工艺的流程图。
图18-21显示根据本发明的第三说明实施例的制造硅基底内插器的不同的阶段,其中两个阶段工艺形成深通孔,在深通孔的第一部分中产生倾斜的侧壁。
图18是在其中已经蚀刻出具有倾斜的侧壁深通孔之后的内插器的剖面图。
图19是在深通孔的侧壁上形成绝缘层并且在深通孔中形成导电的材料之后显示的图18的内插器的剖面图。
图20是显示在进一步的金属化操作之后图19的内插器的剖面图。
图21是显示在更进一步的金属化操作之后图20的内插器的剖面图。
具体实施方式
概述
在硅集成电路和印刷电路板之间形成接线的最新方法包括封装或内插器的利用。这些封装和内插器在其它功能当中提供一个空间转变功能。也就是说,因为常规的制造集成电路和印刷电路板的工艺结果形成基本上不同的互连间距,因此要求封装和内插器连接到具有相对较大的间距的印刷电路板的I/O接线端子的集成电路的窄间距的I/O接线端子。由基本上不同于形成硅集成电路的材料形成典型的封装和内插器。与常规的封装和内插器接线方式有关的问题包括用于连接到集成电路和衬底所需的互连间距的差值,以及当它们穿过在集成电路和衬底之间的封装或内插器时在位于接线上的电容、电阻和电感上的限制。关于互连间距,用于目前制造的典型需要包括用于与集成电路面接的紧凑间距,一般小于200μ;并且用于接口到衬底例如印刷电路板的初始间距,大约1mm。
利用目前适用的技术,有机焊盘网格阵列(OLGA)封装不能用于制造晶体管。另外,OLGA封装的温度限制对形成具有高介电常数的电介质例如钛酸锶钡(BaSrTiO3)没有帮助。钛酸锶钡也称为BST。形成具有高介电常数的材料的电容器最适合用作去耦电容器。OLGA还在可以实现的互连间距的条件方面受限制。因为它们各自的热膨胀系数的失配,所以当硅集成电路晶片已经附着于OLGA封装衬底时需要大于200μ的C4凸起间距。根据本发明,用于集成电路管芯和内插器两者的硅晶片的利用基本上减少了这种差异,并且降低了C4凸起将额外承受的机械应力。这种机械应力的降低使较小的凸起和更紧凑间距的利用成为可能。按照目前的制造技术,在OLGA封装上的互连间距被限制在大约225μ或更大。
本发明的说明实施例采用硅基底互连技术制造内插器,依次可以采用OLGA或其它用于将硅基底集成电路连接到衬底例如印刷电路板的封装种类代替。根据本发明的内插器可以容易地获得紧凑的且初始的互连间距,以及用于形成在内插器上或内插器中的互连所需的电阻、电容和电感。从在芯片处的紧凑的互连间距到在印刷电路板、或支撑衬底的其它种类或电路衬底处的相对初始互连间距提供的空间转变功能有时还称为输出端数(fanout)。此外,本发明的实施例能将电路元件集成到内插器里。
用于形成内插器的硅衬底的利用允许将无源电路元件例如电容器和有源电路元件例如晶体管集成在内插器上。这些电路元件可以增加用在集成电路上的电路元件,重要的是可以与集成电路的那些元件分开地最佳化。集成到内插器中的电容器可以用作去耦电容器。
术语定义
术语,芯片、集成电路、单块器件、半导体器件和微电子器件经常在本领域可互换地使用。本发明适用于在本领域常规理解的所有的上述术语。
术语,金属线、迹线、导线、导体、信号通路和信号介质是全部相关的。上述列举的相关术语通常是可互换的,并且按具体到一般的次序出现。在本领域,金属线有时称为迹线、导线、线、互连或简单地称为金属。金属线,通常为铝(Al)、铜(Cu)或Al和Cu的合金,是提供用于耦合或互连电路的信号通路的导体。其它不同于金属的导体在微电子器件中实用。其它导体的实例是材料例如掺杂的多晶硅、掺杂的单晶硅(无论是否通过热扩散或离子注入获得的这种掺杂,常常简单地称作扩散)、钛(Ti)、钼(Mo)、钴(Co)、镍(Ni)和钨(W)以及高熔点金属硅化物。
触点和通孔这两个术语是指用于电连接不同互连平面的导体的结构。这些术语有时在本领域中用于说明在将完成的结构的绝缘体中的开口和完整的结构本身。对本公开来说,触点和通孔指的是完整的结构。
词语低介电常数材料称作具有比硅的氧化物的介电常数低的材料。例如,有机聚合物、纳米发泡材料(nanofoams)、包含有机聚合物的硅基绝缘体和含氟的硅氧化物,具有比二氧化硅低的介电常数。
字母k常常习惯于称作介电常数。同样地,在本领域采用的术语高-k和低-k分别称作高介电常数和低介电常数。
在本领域中采用的术语内层电介质理解为设置在给定的互连平面上的互连线之间的介电材料,也就是说,在相邻的互连线之间而不是在那些互连线的垂直之上或之下存在内层电介质。
外延层指的是单晶半导体材料层。
术语“栅极”与上下文有关并且当描述集成电路时以两种方式被采用。作为此处的应用,当在晶体管电路结构范围内采用时栅极指的是三引出端的FET的绝缘栅末端,并且当在逻辑门电路范围内采用时指的是用于实现一种随机逻辑函数的一种电路。当考虑半导体主体时可以将FET认为是四个引出端器件。
多晶硅是由任意晶向的微晶或晶域组成的硅的无孔硅形式。常常由硅源气体通过化学气相淀积或其它方法形成多晶体硅,并且具有包含大角度的晶粒边界、孪晶界或两者结合的结构。在本领域常常将多晶体硅称为多晶硅或有时更简单地称为多晶。
源/漏引出线端指的是FET的端子,在端子之间在电场的影响下发生导通,随后在由提供到栅极引出线的电压产生的电场的影响下使半导体表面反型。通常,制造出源和漏的引出线是几何对称的。将在此采用具有几何对称的源和漏引出线,这些引出线通常简单地称作源/漏引出线,并且这里使用该术语。设计者常常根据当在电路中操作FET时提供到那些末端的电压,将具体的源/漏引出线称为“源极”或“漏极”。
此处采用的术语垂直,意味着基本上垂直于目标的表面。
参照图1,显示一种常规配置,其中硅基底集成电路管芯102粘附到OLGA封装104。焊料凸起106用于提供在集成电路管芯102和OLGA封装104之间的电连接。焊料凸起106有时称为C4凸起,因为这种互连用于控制断裂芯片的连接(例如,C4)封装。OLGA封装104通过焊球110粘附到印刷电路板108。焊球108提供在OLGA封装104和印刷电路板108之间的电连接。利用这种方法通过OLGA封装104制造在集成电路管芯102和印刷电路板108之间的电连接。
图2是OLGA104的剖视图。能够看出焊料凸起106通过互连112电连接到焊球110。互连112一般为在一个或多个互连平面上的金属线。当采用超过一个互连平面时,通过使用通孔就典型地获得在各个层上的金属线之间的连接。
图3是根据本发明的一种内插器115的一个实施例的剖面图。内插器115包括主体部分116、焊料凸起106、焊球110、互连118、绝缘材料120和深通孔122。在本说明的实施例中,主体部分116是硅衬底。典型地该硅衬底类似于制造集成电路管芯102的衬底,该硅衬底将粘附到内插器115。可以由金属例如铜形成、并且可以是通过镶嵌(damascence)工艺、复式镶嵌金属工艺、减碎(subtractive meal)工艺或任何其它适合的形成导电互连的方法形成互连118。焊料凸起106适于连接到集成电路管芯102。焊球110适于连接到印刷电路板108。深通孔122是在内插器115的第一侧面和第二侧面之间的一种导电通路。整个具有焊料凸起106的内插器115的侧面可以称为芯片侧面,或称为上侧面或正面。整个具有焊球110的内插器115的侧面可以称为底侧面,或称为底部侧面或背面。
图4是根据本发明的内插器115的另一个剖面图。在这个图中,内插器115可以看得更清楚,可以包括大量的焊球作为内插器115的一部分。另外,能够看出该芯片侧面互连间距比底板侧面的互连间距更紧密。虽然通过本发明没有要求在芯片侧面和底板侧面互连的间距之间的特定关系,一般地芯片侧面互连的间距更紧凑,那就是说,比底板侧面互连的间距要小。
图5是根据本发明的内插器115的另一个剖面图。在这个图里,能够看出集成到内插器115中的电容器130和134。电容器130包括一对金属板和一个介质层132。金属板基本上与金属互连118相同。虽然典型的电容器130具有矩形平板,但是这种金属可以布图成任何所需的形状。电介质材料132可以是高介电常数材料例如钛酸锶钡。电容器134包括作为一个板极的衬底或主体部分116,和可以由导电材料例如、但不局限于、一种金属或掺杂的多晶硅形成的第二板极。介质层136可以是高介电常数材料或可以是硅的氧化物。通过本发明并不需要特殊的电介质材料或电介质厚度。通过将去耦电容器设置成比其它的常规的封装和内插器更靠近集成电路管芯,就基本上减少了与常规配置的引线有关的不需要的寄生电感。
图6是根据本发明的内插器115的另一个剖面图。在这个图里,能够看出集成到内插器115中的晶体管140。晶体管140是绝缘栅场效应晶体管(FET)并且包括源/漏引出线142、栅电极144、栅电介质145,如图6中所示。晶体管140可以是n-沟道FET或p-沟道FET。本领域普通技术人员和利用本公开的人员将承认在衬底116上可以制造n-沟道和p-沟道FET的复合结构。本发明不需要用于FET140的任何特殊的电特性或外形尺寸。本发明能将各种各样的无源电路元件和有源电路元件集成到内插器115里。
通过将不同的有源电路元件和无源电路元件集成到内插器里,在内插器里含有电路功能是可能的。例如,在内插器上可以包含静电放电(ESD)保护电路,从而减少在集成电路管芯上合并所有的这种保护电路的负载,该集成电路管芯将粘附到内插器。同样地,可以将其它种类的电路功能合并到内插器里。实例包含超高速缓冲存储电路、I/O缓冲电路、功率调整电路、电压电平移位电路,但不限于此。本领域普通技术人员和利用本公开的人员将承认可以将许多电路功能集成到内插器里,根据本发明的不同的实施例,该内插器提供有源电路元件和无源电路元件。
集成到内插器中的晶体管,可以是由与用于制造形成在集成电路管芯上的晶体管相同的制造工艺制造,但不是必须的。例如,在集成电路管芯上的晶体管、和由晶体管形成的电路,可以设计为在第一电压范围下工作;反之在内插器上的晶体管、和由它们形成的电路,可以设计为在第二电压范围下工作。相似地,在内插器上的电路元件的不同的电特性可以不同于集成晶片的电路元件的电特性。在内插器和集成电路管芯之间不同的场效应晶体管的电特性的实例包括,阈值电压、栅介质击穿电压、载流子迁移率、截止漏电流、结漏电流和结电容,但不限于此。因为这种电特性是晶体管的结构设计的重要功能,分别调整集成电路管芯和内插器的电路元件是可能的。例如,在内插器上的电路可以设计为比在集成电路管芯上的电路的操作电压高。
参照图7-10,描述本发明的实施工艺。在本说明的实施例中,在上侧面(即,芯片侧面)金属化操作之前形成穿过衬底的深通孔。
如图7中所示,硅衬底202具有一个二氧化硅(SiO2)层204和在相对表面形成的一个SiO2层206。在这个具体的实施例中,SiO2层204和206采用热生长、厚度为大约0.5μ。然后在SiO2层206上覆盖典型为大约0.2μ厚度的氮化硅(Si3N4)层208。Si3N4层208可以是通过等离子体增强化学气相淀积(PECVD)操作形成,然后在SiO2层204的暴露表面上形成并布图用于蚀刻深通孔的掩模层。然后蚀刻SiO2层204暴露部分,露出硅衬底202的对应部分。然后蚀刻硅衬底202的暴露部分直到形成深通孔开口209,如图7中所示。很清楚,尽管在图7中用于说明的目的显示一种深通孔开口,当根据本发明制造内插器时,一般形成大量的这种深通孔开口。当到达SiO2层206时,深通孔开口的蚀刻终止。换句话说,在深通孔开口209的形成期间SiO2层206作为蚀刻终止层。
参照图8,能够看出在形成深通孔开口209之后,蚀刻覆盖到深通孔开口209上的那部分SiO2层206。Si3N4层208作为蚀刻SiO2层206的蚀刻终止层。然后在深通孔开口209的内表面上生长氧化层210。结合图8介绍的在本发明的说明实施例中,氧化层210的厚度为大约0.5μ。氧化层210也可以称为侧壁氧化层。在形成氧化层210之后,将阻挡层和铜晶种层溅射淀积到深通孔开口209里。溅射的阻挡层可以是Ta或TaN,厚度在10-50nm范围内。溅射的晶种层是Cu,厚度范围为100-300nm。另外,铜晶种层可以通过化学气相淀积(CVD)形成。用于形成铜晶种层的CVD操作可以提供较好的侧壁覆盖。
然后电镀铜层212,结果深通孔209基本上用铜充满,并且在内插器的背面上设置了铜层。在工艺的这个阶段,内插器的背面包括SiO2层204、在SiO2层204上形成的阻挡层和铜晶种层,而且已经在其上电镀了铜。
现在参考图9,淀积SiO2层214直至厚度为大约5μ并设置覆盖到氮化硅层208。然后形成并布图覆盖到SiO2层214的掩膜层(未示出),典型地包括光刻胶。采用的布图是对应于将要在氧化层214和氮化物层208中形成的沟槽以便于镶嵌铜金属化操作。一旦形成布图的掩模层,就蚀刻氧化层214的暴露部分。这里随后暴露氮化物层208的部分。然后可以去除光刻胶掩模层。然后蚀刻氮化物层208暴露部分。然后在内插器的芯片侧面之上、包括通过蚀刻氧化层214和氮化物层208形成的沟槽里淀积铜阻挡层和铜晶种层。在铜晶种层之上电镀铜层215。铜层215基本上填充沟槽并覆盖在氧化层214之上淀积的阻挡层的表面。然后施行平面化操作,该平面化操作后抛光(polish back)铜层215以致从氧化层214的表面去掉过量的铜和阻挡层的对应衬垫部分。通过化学机械抛光(CMP)典型地完成这种平面化/后抛光操作。为了使抛光操作最佳化,抛光铜和阻挡层可以采用不同的化学料液。其次,在铜层215和氧化层214之上淀积氮化硅层216,如图9中所示。典型地通过PECVD操作形成氮化硅层216并形成厚度为大约0.1μ。
图10示出在形成并布图在内插器的上侧面上的辅助绝缘的和复式镶嵌导电层之后图9的结构。淀积氧化层218覆盖到氮化物层216上。氧化层218形成内层电介质(ILD)并且在该说明的实施例中形成的氧化层厚度为大约10μ。根据常规的复式镶嵌工艺,布图用于ILD通孔开口的掩模层,然后在氧化层218中蚀刻ILD通孔开口。然后去除ILD通孔开口掩模层。然后布图用于金属-2(M2)的沟槽的掩模层,并在氧化层218中蚀刻M2沟槽。然后去除M2沟槽掩模层,然后蚀刻部分氮化硅层216,即ILD通孔开口的底部暴露的部分,暴露铜的衬垫层。然后将铜阻挡层和铜晶种层溅射到M2沟槽和ILD通孔开口里。然后在铜晶种层之上电镀铜层220。铜层220填充ILD通孔开口和M2沟槽,并形成在氧化层218之上。
参照图11-14,描述本发明的另一个实施工艺。在本说明的实施例中,在上侧面(即,芯片侧面)金属化操作之后形成穿过衬底的深通孔。
如图11中所示,硅衬底202具有二氧化硅(SiO2)层204和在相对表面形成的二氧化硅(SiO2)层206。在这个具体的实施例中,SiO2层204和206采用热生长、厚度为大约0.5μ。然后形成典型为大约0.2μ厚度的氮化硅(Si3N4)层2 08并覆盖SiO2层206。Si3N4层208可以是通过等离子体增强化学气相淀积(PECVD)操作形成,然后可以形成SiO2层214作为内层电介质。在本说明的实施例中,SiO2层214淀积在Si3N4层208的上方、厚度大约为5μ。然后在SiO2层214之上形成并布图典型为光刻胶层的掩模层(未示出),以致暴露SiO2层214的要去除的那些部分以致按照镶嵌金属工艺形成沟槽。在布图光刻胶之后,蚀刻SiO2层214的暴露部分。氮化硅层208用作该SiO2蚀刻操作的蚀刻终止层。在SiO2蚀刻操作之后去除光刻胶。然后在内插器的芯片侧面上溅射淀积铜阻挡层和铜晶种层。阻挡层典型为一种材料例如Ta或TaN,该材料是导电的,呈现到铜的迁移阻挡层并作为用于铜的粘附层。然后在晶种层上电镀铜,以致由铜填充沟槽,同样在芯片侧面的剩余部分之上形成铜层。沟槽外部形成的部分铜被认为是额外的。然后施行化学机械抛光操作直到去除额外的铜。其结果是形成单独的铜互连线215,如图11中的剖面图所示。然后Si3N4层216淀积在内插器的芯片侧面的上方。典型地通过PECVD操作形成Si3N4层216,并典型地形成厚度大约为0.1μ。Si3N4层216用作随后的通孔形成操作的蚀刻终止层,以及作为防止铜迁移的阻挡层。
如上所述,因为在阻挡层上设置额外的铜,该阻挡层就可能具有不同的化学性能和机械性能,CMP条件包括,但不局限于,化学悬浮液、向下力、转速、温度等等,在铜层和阻挡层之间可以变化以便实现所需结果。
图12示出在施行进一步的工艺操作以便制造金属互连线的另外的平面之后的图11的结构。在该说明的实施例中,复式镶嵌金属工艺用于形成附加的互连线和在互连平面之间的通孔。本领域普通技术人员和利用本公开的人员将理解用这种方式可以制造出几个互连平面。在该说明的实施例中,SiO2层218沉积在Si3N4层216的上方、厚度大约为10μ,从而形成内层电介质(ILD)。然后在SiO2层218之上形成并布图典型为光刻胶层的第一掩模层(未示出),以致暴露要去除的SiO2层218的那些部分,以便形成用于复式镶嵌金属工艺的通孔开口。在布图光刻胶之后,蚀刻SiO2层218的暴露部分。氮化硅层216用作该SiO2蚀刻操作的蚀刻终止层。在SiO2蚀刻操作之后去除光刻胶。在SiO2层218之上形成并布图第二掩膜层(未示出),以致暴露SiO2层218的要刻蚀以形成用于金属互连线的沟槽的那些部分。沟槽蚀刻去除暴露的SiO2直到基本上符合所需的金属互连线的厚度的深度。然后去除第二掩模层。然后蚀刻氮化硅层216的在通孔开口的底部暴露的那些部分,从而暴露衬垫铜互连线215。然后在内插器的芯片侧面上溅射淀积铜阻挡层和铜晶种层。然后在晶种层上电镀铜,以致由铜填充通孔和沟槽,同样在芯片侧面的剩余部分之上形成铜层。沟槽外部形成的部分铜被认为是额外的。
图13示出在为形成深通孔开口209而施行进一步的工艺操作之后的图12的结构。在内插器的背面(例如,底板侧面)形成并布图掩膜层例如光刻胶(未示出),以至暴露氧化层204的要被去除的用于形成深通孔209的那些部分。然后蚀刻氧化层204的暴露的部分,从而暴露内插器的部分硅衬底或主体202。然后蚀刻深通孔开口209,该开口209穿过具有作为蚀刻终止层的氧化层206的硅衬底202。尽管在剖面图中示出,深通孔开口209不局限于任何具体的形状,当从背面表面看开口时,可以是圆形的、长方形的、或具有某些复杂的多边形状。在形成深通孔开口209之后,在暴露的内表面上形成SiO2层210,也称为深通孔开口209的侧壁。在该说明的实施例中,SiO2层210厚度大约为0.5μ,并且可以通过化学气相淀积(CVD)工艺淀积。然后蚀刻氧化层206的由深通孔209暴露的部分。在图13中可以看出,去除氧化层206的暴露部分就暴露氮化硅层208的对应部分。然后蚀刻氮化硅层208的暴露部分以致暴露铜层215的对应部分。
图14示出在为形成铜层212而施行进一步的工艺操作之后的图13的结构,该铜层填充深通孔开口209并且在内插器的背面上覆盖氧化层204。如图14中所示,通过蚀刻去除氮化硅层208的由深通孔开口209暴露的那些部分。然后将阻挡层和铜晶种层溅射淀积到深通孔开口209里。然后将铜电镀到深通孔开口209里和电镀到内插器的背面表面上。
图15-16说明与图7-10(深通孔的第一工艺)和图11-14(深通孔的最后工艺)相结合示出的井描述两者通用的工艺的工艺操作。
参照图15,用CMP去除在内插器的底侧面上的额外的铜。本领域普通技术人员将理解,可以采用两步CMP工艺,第一化学悬浮液用来去除铜并且第二化学悬浮液用来去除阻挡层。相似地,用CMP去除在内插器的芯片侧面上的额外的铜以及阻挡层的不需要部分。然后对剩余暴露的铜进行化学镀Ni/Au操作,以致在内插器的两个芯片侧面和底侧面形成Ni/Au层224。无电的化学处理在暴露的金属表面上提供选择性淀积。
图16示出在为形成丝网印刷低共熔点焊料而施行若干附加处理操作之后的图15的结构,其中所述焊料用于将集成电路晶片粘结到内插器,并且将内插器粘结到电路衬底。特别是,对图15中示出的结构在它的背面例如它的底侧面进行Pb/Sn溅射淀积操作。然后用常规的光刻方法,布图通过该溅射形成的Pb/Sn层,以便形成焊球初始结构226。随后,在内插器的芯片侧面上形成聚酰亚胺层228,如图16中的说明。然后用常规的光刻方法布图聚酰亚胺层228,直到暴露部分Ni/Au层224。施行另一个Pb/Sn溅射淀积操作直到制造覆盖内插器的上侧面例如芯片侧面的Pb/Sn层。然后布图Pb/Sn的芯片侧面层直到形成焊料凸起初始结构230,如图16中的说明。本领域普通技术人员和利用本公开的人员将承认确定的工艺操作的顺序是可以改变的并且仍可以获得所需的结构。认为工艺操作顺序的所有这些变化是在本发明的范围之内。
图17是根据本发明说明工艺的流程图。集成电路和内插器是耦合的302。根据本发明的原理,集成电路和内插器基本上具有相同的热膨胀系数。在具体的实施例中,内插器和集成电路具有衬底,也称为主体,由基本上相同的材料形成。举例来说,内插器和集成电路两者可以由硅衬底制造。在该情况下,内插器由材料例如硅制成,其中通过常规的半导体生产方法可以形成各种各样的电路元件,包括但不局限于电容器和晶体管。电路衬底例如印刷电路板和内插器同样是耦合的304。内插器在集成电路和电路衬底之间提供机械连接。另外,内插器提供穿过它的主体的导电的信号通道以使集成电路与电路衬底电气耦合。
结合图18-21描述本发明的另一个替换的实施例,图18-21显示制造硅基底内插器的不同的阶段,其中用两个阶段的工艺形成深通孔,结果在深通孔的第一部分中形成倾斜的侧壁。形成该内插器结构的工艺除了形成具有倾斜的而不是基本上垂直的它们的侧壁部分的深通孔之外,类似于结合图7-10示出的实施例描述的工艺。
参照图18,示出在已经蚀刻具有倾斜的侧壁的深通孔开口之后的内插器的剖面简图。更详细地,硅衬底202具有在它的每个主表面上热生长的二氧化硅层204、206,大约0.5μ的厚度。然后将氮化硅层淀积直到大约0.1μ的厚度并覆盖氧化层206。然后布图深通孔掩膜层以便涂敷氧化层204,除将要蚀刻以便形成深通孔开口的区域外。然后蚀刻氧化层204的暴露部分,从而暴露衬底202的部分。然后施行硅衬底202的各向同性腐蚀以便制造部分穿过硅衬底202的倾斜的侧壁,如图18中所示。然后施行各向异性腐蚀直到完成的深通孔开口409,如图18中所示。各向异性和各向同性腐蚀的结合制作氧化物端部410。
图19是表示在深通孔的侧壁上形成绝缘层并且在深通孔中形成导电材料之后的图18的内插器的剖面图。通过湿法腐蚀去除悬垂物410,目的是去除氧化层204的一半厚度。因为悬垂物410的两侧暴露于湿法腐蚀液,因此以氧化层204的两倍速率有效地腐蚀悬垂物。在除去悬垂物410之后,在深通孔侧壁的倾斜和垂直部分之上生长侧壁氧化物210直到厚度为大约0.5μ。然后将铜扩散阻挡层和晶种层溅射淀积到深通孔开口409里。然后电镀铜以致基本上填充深通孔开口409的具有基本上垂直侧壁部分,以便在深通孔409的倾斜侧壁上提供导电涂层和在氧化层204上提供导电层。铜沿着深通孔开口409的倾斜侧壁,因此形成凹槽型结构,如图19中所示。
图20-21示出形成的两个金属层和两个通孔层。如上所述,利用上面结合图9-10和图13-14描述的复式镶嵌金属工艺形成这些金属和通孔对中的每个。
结论
本发明的实施例提供一种适合于电气和机械地将集成电路管芯耦合到衬底的内插器,并进一步提供热膨胀特性的良好匹配、紧凑的互连节距并将有源电路元件和无源电路元件集成到内插器里。
本发明的具体的实施例的优点可以容易地将高介电常数材料集成到内插器中。这便于电容器的形成,该电容器可以用作在其它元件之中的去耦电容器。
本发明的具体的实施例的优点是可以容易地将场效应晶体管集成到内插器中。
本领域普通技术人员和利用本公开的人员将理解许多设计选择可能属于本发明的范围。例如,可以由不同于硅的材料形成集成电路管芯和内插器的主体。同样地,在内插器或集成电路之上,不同于铜的导电的材料可以用来形成不同的互连。另一种选择包括替代内插器上的铜阻挡层的粘附层,该内插器没有插入晶体管或具有在晶体管之间的大的空间。这种粘附层材料的实例包括Ti和Tin,但不限于此。进一步替换的实例是利用低-k材料作为内层电介质,包括掺杂氟的硅氧化物而不是SiO2
很清楚已经描述和说明了在部件和步骤的细节、材料和设置中的不同的其它变化,在不脱离本发明的在附加的权利要求书中表示的原理和范围的情况下,可以由本领域普通技术人员和利用本公开的人员做出不同的其它变化。

Claims (20)

1.一种制造内插器的方法,包括:
在一个衬底的第一表面和第二表面上分别形成氧化层;
布图该第一表面的氧化层以便暴露该衬底的第一部分和第二部分;
各向同性地蚀刻穿过所暴露的衬底第一部分以形成至少一个深通孔开口的第一部分;
各向异性地蚀刻穿过所暴露的衬底第二部分以形成上述至少一个深通孔开口的第二部分;
将阻挡层和晶种层溅射到上述至少一个深通孔开口的第一和第二部分上;
在该晶种层之上电镀导电材料以形成上述至少一个深通孔;
在所述衬底的所述第二表面之上形成通孔和互连线;
在所述衬底的所述第二表面之上形成蚀刻终止层;
在该蚀刻终止层上沉积氧化层;
布图该蚀刻终止层上的氧化层以便暴露该蚀刻终止层的部分;
蚀刻该终止层的暴露部分;
在所述衬底的第二表面上沉积阻挡层和晶种层;以及
在该第二表面上的晶种层之上电镀导电材料;
其中所述内插器的衬底和该内插器将被耦合到的一个集成电路的衬底包括相同的材料。
2.如权利要求1的方法,其中所述互连线电气耦合到所述至少一个深通孔。
3.如权利要求1的方法,其中所述蚀刻终止层上的氧化层热生长到厚度大约为0.5μm。
4.如权利要求1的方法,进一步包括在所述衬底的第一表面上溅射阻挡层和晶种层之前在所述至少一个深通孔开口的内表面生长一个氧化层。
5.如权利要求1的方法,其中在所述至少一个深通孔开口的第一和第二部分中的所述阻挡层的厚度在10-50nm的范围内。
6.如权利要求1的方法,其中在所述至少一个深通孔开口的第一和第二部分中的所述晶种层的厚度在100-300nm的范围内。
7.如权利要求1的方法,进一步包括在所述衬底的第一表面之上沉积一个铜层。
8.一种制造内插器的方法,包括:
在一个衬底的第一表面之上形成第一组互连线;
在该第一组互连线之上形成第二组互连线;以及
在所述第一组互连线和第二组互连线之间形成通孔,所述方法还包括:
在所述衬底的第一表面上形成第一氧化层,在所述衬底的第二表面上形成第二氧化层;
在该第一氧化层上形成第一蚀刻终止层;
布图该第一蚀刻终止层以便暴露该第一蚀刻终止层的部分;
蚀刻该第一蚀刻终止层的暴露部分以形成沟槽;
在所述衬底的第一表面上溅射阻挡层和晶种层;
在该晶种层之上电镀导电材料;
在所述晶种层上形成互连线;以及
在所述衬底的第一表面上沉积第二蚀刻终止层,其中所述内插器的衬底和该内插器将被耦合到的一个集成电路的衬底由相同的材料制成。
9.如权利要求8的方法,进一步包括:
在所述第二蚀刻终止层之上沉积第三氧化层;
布图该第三氧化层以便暴露将要去除的该第三氧化层的第一部分以便形成通孔开口;
蚀刻该第三氧化层的第一部分;
布图该第三氧化层以便暴露将要去除的该第三氧化层的第二部分以便形成互连线;
蚀刻该第三氧化层的第二部分;
将一个阻挡层和一个晶种层溅射到所述第三氧化层上;以及
在该晶种层之上电镀导电材料。
10.如权利要求8的方法,进一步包括:
布图所述第二氧化层以便暴露该第二氧化层将要去除的部分;
蚀刻该第二氧化层的暴露部分以便形成所述深通孔开口;
将一个阻挡层和一个晶种层溅射到所述深通孔开口中,以及
在该晶种层之上电镀导电材料。
11.如权利要求10的方法,其中所述深通孔开口由倾斜的侧壁形成。
12.如权利要求8的方法,其中所述第一蚀刻终止层的厚度大约是0.2μm。
13.如权利要求9的方法,其中在所述第二蚀刻终止层之上的第三氧化层的厚度大约是10μm。
14.一种电子组件,包括:
具有硅衬底的管芯,该管芯包括具有第一组电气特性的多个第一绝缘栅场效应晶体管;
包括一个第一表面、相对的第二表面、硅衬底、互连线和导电通孔的内插器,所述第一表面通过焊料突起连接到该管芯,该内插器包括具有第二组电气特性的多个第二绝缘栅场效应晶体管,所述第一组电气特性与第二组电气特性不同;以及
通过焊球连接到所述内插器的第二表面的一个电路衬底;
其中所述内插器包括电路元件。
15.如权利要求14的电子组件,其中所述电路元件由有源电路元件组成。
16.如权利要求14的电子组件,其中所述电路元件由无源电路元件组成。
17.如权利要求14的电子组件,其中所述电路元件由有源和无源电路元件组成。
18.如权利要求17的电子组件,其中所述有源电路元件包括至少一个场效应晶体管,并且所述无源电路元件包括至少一个电容器。
19.如权利要求14的电子组件,其中所述第一组电气特性包括第一栅介质击穿电压,所述第二组电气特性包括第二栅介质击穿电压,并且该第二栅介质击穿电压高于第一栅介质击穿电压。
20.如权利要求14的电子组件,其中所述管芯和内插器通过焊料突起电气耦合,并且所述管芯的第一电源节点耦合到配置在所述内插器上的电容器的第一端子,并且所述管芯的第二电源节点耦合到所述电容器的第二端子。
CNB008095671A 1999-06-28 2000-05-26 内插器及其制造方法 Expired - Fee Related CN1199264C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/340,530 US6617681B1 (en) 1999-06-28 1999-06-28 Interposer and method of making same
US09/340,530 1999-06-28

Publications (2)

Publication Number Publication Date
CN1358331A CN1358331A (zh) 2002-07-10
CN1199264C true CN1199264C (zh) 2005-04-27

Family

ID=23333787

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB008095671A Expired - Fee Related CN1199264C (zh) 1999-06-28 2000-05-26 内插器及其制造方法

Country Status (9)

Country Link
US (3) US6617681B1 (zh)
EP (1) EP1190449A1 (zh)
JP (1) JP5285829B2 (zh)
KR (1) KR20020016855A (zh)
CN (1) CN1199264C (zh)
AU (1) AU5166500A (zh)
IL (2) IL147304A0 (zh)
TW (1) TWI247563B (zh)
WO (1) WO2001001486A1 (zh)

Families Citing this family (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8352400B2 (en) 1991-12-23 2013-01-08 Hoffberg Steven M Adaptive pattern recognition based controller apparatus and method and human-factored interface therefore
GB2289983B (en) 1994-06-01 1996-10-16 Simage Oy Imaging devices,systems and methods
US9029793B2 (en) 1998-11-05 2015-05-12 Siemens Aktiengesellschaft Imaging device
US6495442B1 (en) 2000-10-18 2002-12-17 Magic Corporation Post passivation interconnection schemes on top of the IC chips
US7966078B2 (en) 1999-02-01 2011-06-21 Steven Hoffberg Network media appliance system and method
US6617681B1 (en) * 1999-06-28 2003-09-09 Intel Corporation Interposer and method of making same
JP2001044589A (ja) * 1999-07-30 2001-02-16 Nitto Denko Corp 回路基板
JP4454814B2 (ja) * 2000-08-29 2010-04-21 Necエレクトロニクス株式会社 樹脂封止型半導体装置及びその製造方法
US6593644B2 (en) * 2001-04-19 2003-07-15 International Business Machines Corporation System of a package fabricated on a semiconductor or dielectric wafer with wiring on one face, vias extending through the wafer, and external connections on the opposing face
US20020170897A1 (en) * 2001-05-21 2002-11-21 Hall Frank L. Methods for preparing ball grid array substrates via use of a laser
US7189595B2 (en) * 2001-05-31 2007-03-13 International Business Machines Corporation Method of manufacture of silicon based package and devices manufactured thereby
TW560017B (en) * 2001-07-12 2003-11-01 Hitachi Ltd Semiconductor connection substrate
US20030059976A1 (en) * 2001-09-24 2003-03-27 Nathan Richard J. Integrated package and methods for making same
US6580611B1 (en) * 2001-12-21 2003-06-17 Intel Corporation Dual-sided heat removal system
JP2003283086A (ja) * 2002-01-21 2003-10-03 Hitachi Cable Ltd 配線基板、配線基板の製造方法及び配線基板を用いた電子部品
US6960837B2 (en) * 2002-02-26 2005-11-01 International Business Machines Corporation Method of connecting core I/O pins to backside chip I/O pads
DE10215654A1 (de) * 2002-04-09 2003-11-06 Infineon Technologies Ag Elektronisches Bauteil mit mindestens einem Halbleiterchip und Flip-Chip-Kontakten sowie Verfahren zu seiner Herstellung
JP4285629B2 (ja) * 2002-04-25 2009-06-24 富士通株式会社 集積回路を搭載するインターポーザ基板の作製方法
TWI229435B (en) * 2002-06-18 2005-03-11 Sanyo Electric Co Manufacture of semiconductor device
US6933597B1 (en) * 2002-07-09 2005-08-23 National Semiconductor Corporation Spacer with passive components for use in multi-chip modules
US6902872B2 (en) * 2002-07-29 2005-06-07 Hewlett-Packard Development Company, L.P. Method of forming a through-substrate interconnect
US6593221B1 (en) * 2002-08-13 2003-07-15 Micron Technology, Inc. Selective passivation of exposed silicon
JP2004079701A (ja) * 2002-08-14 2004-03-11 Sony Corp 半導体装置及びその製造方法
JP2004095849A (ja) * 2002-08-30 2004-03-25 Fujikura Ltd 貫通電極付き半導体基板の製造方法、貫通電極付き半導体デバイスの製造方法
GB0224689D0 (en) 2002-10-23 2002-12-04 Simage Oy Formation of contacts on semiconductor substrates
AU2003276401A1 (en) 2002-10-25 2004-05-13 Goldpower Limited Circuit substrate and method
TW569416B (en) * 2002-12-19 2004-01-01 Via Tech Inc High density multi-chip module structure and manufacturing method thereof
US7056131B1 (en) * 2003-04-11 2006-06-06 Neoconix, Inc. Contact grid array system
US7758351B2 (en) * 2003-04-11 2010-07-20 Neoconix, Inc. Method and system for batch manufacturing of spring elements
US7597561B2 (en) * 2003-04-11 2009-10-06 Neoconix, Inc. Method and system for batch forming spring elements in three dimensions
US7113408B2 (en) * 2003-06-11 2006-09-26 Neoconix, Inc. Contact grid array formed on a printed circuit board
US7244125B2 (en) * 2003-12-08 2007-07-17 Neoconix, Inc. Connector for making electrical contact at semiconductor scales
US20050120553A1 (en) * 2003-12-08 2005-06-09 Brown Dirk D. Method for forming MEMS grid array connector
US6916181B2 (en) * 2003-06-11 2005-07-12 Neoconix, Inc. Remountable connector for land grid array packages
US8584353B2 (en) * 2003-04-11 2013-11-19 Neoconix, Inc. Method for fabricating a contact grid array
US7628617B2 (en) * 2003-06-11 2009-12-08 Neoconix, Inc. Structure and process for a contact grid array formed in a circuitized substrate
US20070020960A1 (en) * 2003-04-11 2007-01-25 Williams John D Contact grid array system
US7114961B2 (en) * 2003-04-11 2006-10-03 Neoconix, Inc. Electrical connector on a flexible carrier
US20100167561A1 (en) * 2003-04-11 2010-07-01 Neoconix, Inc. Structure and process for a contact grid array formed in a circuitized substrate
JP2004363380A (ja) * 2003-06-05 2004-12-24 Sanyo Electric Co Ltd 光半導体装置およびその製造方法
US7070419B2 (en) * 2003-06-11 2006-07-04 Neoconix Inc. Land grid array connector including heterogeneous contact elements
US6869290B2 (en) * 2003-06-11 2005-03-22 Neoconix, Inc. Circuitized connector for land grid array
WO2004114397A1 (en) 2003-06-20 2004-12-29 Koninklijke Philips Electronics N.V. Electronic device, assembly and methods of manufacturing an electronic device
TWI245381B (en) * 2003-08-14 2005-12-11 Via Tech Inc Electrical package and process thereof
US7566960B1 (en) 2003-10-31 2009-07-28 Xilinx, Inc. Interposing structure
US7233061B1 (en) 2003-10-31 2007-06-19 Xilinx, Inc Interposer for impedance matching
KR100513422B1 (ko) * 2003-11-13 2005-09-09 삼성전자주식회사 집적회로 모듈의 구조
US20050227510A1 (en) * 2004-04-09 2005-10-13 Brown Dirk D Small array contact with precision working range
JP3896112B2 (ja) 2003-12-25 2007-03-22 エルピーダメモリ株式会社 半導体集積回路装置
JP4587676B2 (ja) * 2004-01-29 2010-11-24 ルネサスエレクトロニクス株式会社 チップ積層構成の3次元半導体装置
US7025601B2 (en) * 2004-03-19 2006-04-11 Neoconix, Inc. Interposer and method for making same
WO2005091998A2 (en) * 2004-03-19 2005-10-06 Neoconix, Inc. Electrical connector in a flexible host
US7347698B2 (en) * 2004-03-19 2008-03-25 Neoconix, Inc. Deep drawn electrical contacts and method for making
US20050205988A1 (en) * 2004-03-19 2005-09-22 Epic Technology Inc. Die package with higher useable die contact pad area
JP4377269B2 (ja) * 2004-03-19 2009-12-02 Necエレクトロニクス株式会社 半導体装置
US7090503B2 (en) * 2004-03-19 2006-08-15 Neoconix, Inc. Interposer with compliant pins
JP4439976B2 (ja) 2004-03-31 2010-03-24 Necエレクトロニクス株式会社 半導体装置およびその製造方法
US7241680B2 (en) * 2004-04-30 2007-07-10 Intel Corporation Electronic packaging using conductive interposer connector
WO2005114729A1 (ja) * 2004-05-21 2005-12-01 Nec Corporation 半導体装置及び配線基板
WO2006003563A2 (en) * 2004-06-29 2006-01-12 Koninklijke Philips Electronics N.V. Light emitting diode module
JP4343044B2 (ja) * 2004-06-30 2009-10-14 新光電気工業株式会社 インターポーザ及びその製造方法並びに半導体装置
US20060000542A1 (en) * 2004-06-30 2006-01-05 Yongki Min Metal oxide ceramic thin film on base metal electrode
JP4865197B2 (ja) * 2004-06-30 2012-02-01 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20060000642A1 (en) * 2004-07-01 2006-01-05 Epic Technology Inc. Interposer with compliant pins
US7866038B2 (en) * 2004-07-06 2011-01-11 Tokyo Electron Limited Through substrate, interposer and manufacturing method of through substrate
US7354276B2 (en) * 2004-07-20 2008-04-08 Neoconix, Inc. Interposer with compliant pins
TWI250596B (en) * 2004-07-23 2006-03-01 Ind Tech Res Inst Wafer-level chip scale packaging method
US7413995B2 (en) * 2004-08-23 2008-08-19 Intel Corporation Etched interposer for integrated circuit devices
US7049208B2 (en) 2004-10-11 2006-05-23 Intel Corporation Method of manufacturing of thin based substrate
US7358444B2 (en) * 2004-10-13 2008-04-15 Intel Corporation Folded substrate with interposer package for integrated circuit devices
US7290315B2 (en) * 2004-10-21 2007-11-06 Intel Corporation Method for making a passive device structure
US20060091495A1 (en) * 2004-10-29 2006-05-04 Palanduz Cengiz A Ceramic thin film on base metal electrode
TWI246384B (en) * 2004-11-22 2005-12-21 Benq Corp Multi-layer printed circuit board layout and manufacturing method thereof
TWI313914B (en) * 2005-01-31 2009-08-21 Sanyo Electric Co Semiconductor device and a method for manufacturing thereof
US7160798B2 (en) * 2005-02-24 2007-01-09 Freescale Semiconductor, Inc. Method of making reinforced semiconductor package
US7629269B2 (en) * 2005-03-31 2009-12-08 Intel Corporation High-k thin film grain size control
US7375412B1 (en) * 2005-03-31 2008-05-20 Intel Corporation iTFC with optimized C(T)
US20060220177A1 (en) * 2005-03-31 2006-10-05 Palanduz Cengiz A Reduced porosity high-k thin film mixed grains for thin film capacitor applications
US7371676B2 (en) * 2005-04-08 2008-05-13 Micron Technology, Inc. Method for fabricating semiconductor components with through wire interconnects
US7292055B2 (en) * 2005-04-21 2007-11-06 Endicott Interconnect Technologies, Inc. Interposer for use with test apparatus
US7393770B2 (en) * 2005-05-19 2008-07-01 Micron Technology, Inc. Backside method for fabricating semiconductor components with conductive interconnects
US7510907B2 (en) * 2005-06-22 2009-03-31 Intel Corporation Through-wafer vias and surface metallization for coupling thereto
US7453144B2 (en) * 2005-06-29 2008-11-18 Intel Corporation Thin film capacitors and methods of making the same
US7495330B2 (en) * 2005-06-30 2009-02-24 Intel Corporation Substrate connector for integrated circuit devices
KR100712517B1 (ko) * 2005-07-14 2007-04-30 삼성전자주식회사 에어 갭 구조를 갖는 반도체 소자의 인터포저
US9601474B2 (en) * 2005-07-22 2017-03-21 Invensas Corporation Electrically stackable semiconductor wafer and chip packages
US7435627B2 (en) * 2005-08-11 2008-10-14 International Business Machines Corporation Techniques for providing decoupling capacitance
US20070050738A1 (en) * 2005-08-31 2007-03-01 Dittmann Larry E Customer designed interposer
TWI272728B (en) * 2005-09-02 2007-02-01 Touch Micro System Tech Three-dimensional interconnect interposer adapted for use in system in package and method of making the same
US7307348B2 (en) * 2005-12-07 2007-12-11 Micron Technology, Inc. Semiconductor components having through wire interconnects (TWI)
US7357644B2 (en) * 2005-12-12 2008-04-15 Neoconix, Inc. Connector having staggered contact architecture for enhanced working range
US7402912B2 (en) * 2005-12-15 2008-07-22 International Business Machines Corporation Method and power control structure for managing plurality of voltage islands
US8003479B2 (en) * 2006-03-27 2011-08-23 Intel Corporation Low temperature deposition and ultra fast annealing of integrated circuit thin film capacitor
US7759776B2 (en) * 2006-03-28 2010-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Space transformer having multi-layer pad structures
US20070246821A1 (en) * 2006-04-20 2007-10-25 Lu Szu W Utra-thin substrate package technology
US7659612B2 (en) 2006-04-24 2010-02-09 Micron Technology, Inc. Semiconductor components having encapsulated through wire interconnects (TWI)
US7510928B2 (en) * 2006-05-05 2009-03-31 Tru-Si Technologies, Inc. Dielectric trenches, nickel/tantalum oxide structures, and chemical mechanical polishing techniques
AT9551U1 (de) * 2006-05-16 2007-11-15 Austria Tech & System Tech Verfahren zum festlegen eines elektronischen bauteils auf einer leiterplatte sowie system bestehend aus einer leiterplatte und wenigstens einem elektronischen bauteil
JP5394617B2 (ja) * 2006-06-16 2014-01-22 新光電気工業株式会社 半導体装置及び半導体装置の製造方法及び基板
WO2008007258A2 (en) * 2006-06-20 2008-01-17 Nxp B.V. Power amplifier assembly
DE102006034679A1 (de) 2006-07-24 2008-01-31 Infineon Technologies Ag Halbleitermodul mit Leistungshalbleiterchip und passiven Bauelement sowie Verfahren zur Herstellung desselben
US7804177B2 (en) 2006-07-26 2010-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-based thin substrate and packaging schemes
US7473577B2 (en) * 2006-08-11 2009-01-06 International Business Machines Corporation Integrated chip carrier with compliant interconnect
JP5117698B2 (ja) * 2006-09-27 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置
US7989895B2 (en) * 2006-11-15 2011-08-02 Avx Corporation Integration using package stacking with multi-layer organic substrates
DE102007023666A1 (de) * 2006-12-01 2008-06-05 Infineon Technologies Ag Halbleiterbaustein und Verfahren zur Herstellung eines Halbleiterbausteins
JP2008160019A (ja) * 2006-12-26 2008-07-10 Shinko Electric Ind Co Ltd 電子部品
US7528492B2 (en) * 2007-05-24 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Test patterns for detecting misalignment of through-wafer vias
US8476735B2 (en) 2007-05-29 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Programmable semiconductor interposer for electronic package and method of forming
US7939941B2 (en) * 2007-06-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of through via before contact processing
US7982137B2 (en) * 2007-06-27 2011-07-19 Hamilton Sundstrand Corporation Circuit board with an attached die and intermediate interposer
JP2009021433A (ja) * 2007-07-12 2009-01-29 Fujikura Ltd 配線基板及びその製造方法
US7935408B2 (en) * 2007-10-26 2011-05-03 International Business Machines Corporation Substrate anchor structure and method
US8017451B2 (en) 2008-04-04 2011-09-13 The Charles Stark Draper Laboratory, Inc. Electronic modules and methods for forming the same
US8273603B2 (en) * 2008-04-04 2012-09-25 The Charles Stark Draper Laboratory, Inc. Interposers, electronic modules, and methods for forming the same
US8853830B2 (en) * 2008-05-14 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. System, structure, and method of manufacturing a semiconductor substrate stack
US9159679B2 (en) * 2008-09-15 2015-10-13 International Rectifier Corporation Semiconductor package with integrated passives and method for fabricating same
US8030780B2 (en) 2008-10-16 2011-10-04 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
JP5537016B2 (ja) * 2008-10-27 2014-07-02 株式会社東芝 半導体装置および半導体装置の製造方法
US7859099B2 (en) * 2008-12-11 2010-12-28 Stats Chippac Ltd. Integrated circuit packaging system having through silicon via with direct interconnects and method of manufacture thereof
US8907462B2 (en) * 2009-02-05 2014-12-09 Hewlett-Packard Development Company, L. P. Integrated circuit package
JP2009111433A (ja) * 2009-02-18 2009-05-21 Fujikura Ltd 貫通電極付き半導体基板の製造方法、貫通電極付き半導体デバイスの製造方法
TWI394253B (zh) * 2009-03-25 2013-04-21 Advanced Semiconductor Eng 具有凸塊之晶片及具有凸塊之晶片之封裝結構
US7936060B2 (en) * 2009-04-29 2011-05-03 International Business Machines Corporation Reworkable electronic device assembly and method
WO2010131391A1 (ja) * 2009-05-14 2010-11-18 パナソニック株式会社 半導体装置及びそれを有する電子機器
JP2011061132A (ja) * 2009-09-14 2011-03-24 Zycube:Kk インターポーザ
US8334202B2 (en) * 2009-11-03 2012-12-18 Infineon Technologies Ag Device fabricated using an electroplating process
JP5590869B2 (ja) * 2009-12-07 2014-09-17 新光電気工業株式会社 配線基板及びその製造方法並びに半導体パッケージ
JP5514559B2 (ja) 2010-01-12 2014-06-04 新光電気工業株式会社 配線基板及びその製造方法並びに半導体パッケージ
US10297550B2 (en) 2010-02-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC architecture with interposer and interconnect structure for bonding dies
US8324511B1 (en) * 2010-04-06 2012-12-04 Amkor Technology, Inc. Through via nub reveal method and structure
US9293366B2 (en) 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
US8913402B1 (en) 2010-05-20 2014-12-16 American Semiconductor, Inc. Triple-damascene interposer
JP5608430B2 (ja) * 2010-06-07 2014-10-15 新光電気工業株式会社 配線基板及び配線基板の製造方法
US8426961B2 (en) * 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
JP2011009781A (ja) * 2010-09-29 2011-01-13 Fujikura Ltd 貫通電極付き半導体デバイスの製造方法
JP2012089566A (ja) * 2010-10-15 2012-05-10 Elpida Memory Inc 半導体装置及びその製造方法、並びにデータ処理システム
JP5565272B2 (ja) * 2010-10-29 2014-08-06 富士通株式会社 貫通電極基板
US8710623B1 (en) 2010-11-18 2014-04-29 Xilinx, Inc. Integrated circuit having a discrete capacitor mounted on a semiconductor die
US9412708B2 (en) * 2011-01-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced ESD protection of integrated circuit in 3DIC package
US8373252B1 (en) * 2011-03-07 2013-02-12 Xilinx, Inc. Integrated circuit having capacitor on back surface
US8587127B2 (en) * 2011-06-15 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US8779553B2 (en) * 2011-06-16 2014-07-15 Xilinx, Inc. Stress-aware design for integrated circuits comprising a stress inducing structure and keep out zone
US8872345B2 (en) * 2011-07-07 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Forming grounded through-silicon vias in a semiconductor substrate
KR20130026920A (ko) * 2011-09-06 2013-03-14 삼성전자주식회사 질화물계 반도체 패키지 및 그의 제조 방법, 접합 기판
US9236278B2 (en) 2011-09-23 2016-01-12 Stats Chippac Ltd. Integrated circuit packaging system with a substrate embedded dummy-die paddle and method of manufacture thereof
US10475759B2 (en) * 2011-10-11 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure having dies with connectors of different sizes
US8641428B2 (en) 2011-12-02 2014-02-04 Neoconix, Inc. Electrical connector and method of making it
JP5810921B2 (ja) * 2012-01-06 2015-11-11 凸版印刷株式会社 半導体装置の製造方法
US20130288474A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Methods for fabricating dual damascene interconnect structures
KR101225450B1 (ko) * 2012-05-02 2013-01-24 (주) 이피웍스 다마신 실리콘 인터포저
US9275933B2 (en) 2012-06-19 2016-03-01 United Microelectronics Corp. Semiconductor device
KR101401988B1 (ko) * 2012-09-07 2014-05-30 주식회사 동부하이텍 반도체 패키지 및 반도체 패키지 형성 방법
JP2014060185A (ja) 2012-09-14 2014-04-03 Toshiba Corp 半導体装置の製造方法および半導体装置
US8803287B2 (en) * 2012-10-17 2014-08-12 Texas Instruments Deutschland Gmbh Electronic device comprising a semiconductor structure having an integrated circuit back end capacitor and thin film resistor and method of manufacturing the same
TW201417225A (zh) * 2012-10-25 2014-05-01 Ind Tech Res Inst 封裝基板及其製法
KR101366461B1 (ko) * 2012-11-20 2014-02-26 앰코 테크놀로지 코리아 주식회사 반도체 디바이스 및 그 제조 방법
US9799592B2 (en) 2013-11-19 2017-10-24 Amkor Technology, Inc. Semicondutor device with through-silicon via-less deep wells
US9680273B2 (en) 2013-03-15 2017-06-13 Neoconix, Inc Electrical connector with electrical contacts protected by a layer of compressible material and method of making it
US9679615B2 (en) 2013-03-15 2017-06-13 Micron Technology, Inc. Flexible memory system with a controller and a stack of memory
US9304272B2 (en) * 2013-03-15 2016-04-05 Compass Electro Optical Systems Ltd. EO device for processing data signals
US9214433B2 (en) * 2013-05-21 2015-12-15 Xilinx, Inc. Charge damage protection on an interposer for a stacked die assembly
US9581639B2 (en) 2013-12-28 2017-02-28 Intel Corporation Organic space transformer attachment and assembly
US9510454B2 (en) 2014-02-28 2016-11-29 Qualcomm Incorporated Integrated interposer with embedded active devices
US9632251B2 (en) 2014-04-02 2017-04-25 International Business Machines Corporation Integration of photonic, electronic, and sensor devices with SOI VLSI microprocessor technology
EP3035385A1 (en) 2014-12-16 2016-06-22 IMEC vzw Semiconductor interposer comprising a schottky diode and a method for fabricating the interposer
JP2017073472A (ja) 2015-10-07 2017-04-13 株式会社ディスコ 半導体装置の製造方法
KR20170046344A (ko) 2015-10-21 2017-05-02 삼성전자주식회사 반도체 장치 및 이를 포함하는 반도체 패키지
SG11201809883QA (en) * 2016-05-10 2018-12-28 Agency Science Tech & Res Fan-out wafer-level packaging method and the package produced thereof
US9960328B2 (en) 2016-09-06 2018-05-01 Amkor Technology, Inc. Semiconductor device and manufacturing method thereof
JP6790771B2 (ja) * 2016-12-01 2020-11-25 株式会社村田製作所 コンデンサの実装構造
JP6779574B2 (ja) 2016-12-14 2020-11-04 株式会社ディスコ インターポーザの製造方法
JP6808282B2 (ja) 2016-12-14 2021-01-06 株式会社ディスコ インターポーザの製造方法
US10383225B1 (en) 2018-08-10 2019-08-13 Seagate Technology Llc Interposer with offset-stacked traces
US11289427B2 (en) * 2019-06-11 2022-03-29 Intel Corporation Multi-faceted integrated-circuit dice and packages
US11315831B2 (en) 2019-07-22 2022-04-26 International Business Machines Corporation Dual redistribution layer structure
US11715895B2 (en) 2020-07-09 2023-08-01 Seagate Technology Llc Methods for making electrical connectors with an electrical interposer

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3577037A (en) * 1968-07-05 1971-05-04 Ibm Diffused electrical connector apparatus and method of making same
US3648131A (en) * 1969-11-07 1972-03-07 Ibm Hourglass-shaped conductive connection through semiconductor structures
US4074342A (en) * 1974-12-20 1978-02-14 International Business Machines Corporation Electrical package for lsi devices and assembly process therefor
US4125933A (en) * 1976-07-08 1978-11-21 Burroughs Corporation IGFET Integrated circuit memory cell
US4349862A (en) * 1980-08-11 1982-09-14 International Business Machines Corporation Capacitive chip carrier and multilayer ceramic capacitors
US4394712A (en) 1981-03-18 1983-07-19 General Electric Company Alignment-enhancing feed-through conductors for stackable silicon-on-sapphire wafers
DE3600169A1 (de) * 1985-01-07 1986-07-10 Canon K.K., Tokio/Tokyo Maskenstruktur zur lithographie, verfahren zu ihrer herstellung und lithographisches verfahren
US4866048A (en) * 1985-08-02 1989-09-12 Leo Pharmaceutical Products Ltd. Novel vitamin D analogues
US4866507A (en) * 1986-05-19 1989-09-12 International Business Machines Corporation Module for packaging semiconductor integrated circuit chips on a base substrate
US4811082A (en) * 1986-11-12 1989-03-07 International Business Machines Corporation High performance integrated circuit packaging structure
US5136471A (en) * 1987-02-26 1992-08-04 Nec Corporation Laminate wiring board
US5191224A (en) * 1987-04-22 1993-03-02 Hitachi, Ltd. Wafer scale of full wafer memory system, packaging method thereof, and wafer processing method employed therein
US5191404A (en) * 1989-12-20 1993-03-02 Digital Equipment Corporation High density memory array packaging
US5063177A (en) * 1990-10-04 1991-11-05 Comsat Method of packaging microwave semiconductor components and integrated circuits
US5258648A (en) * 1991-06-27 1993-11-02 Motorola, Inc. Composite flip chip semiconductor device with an interposer having test contacts formed along its periphery
JPH0563137A (ja) * 1991-08-30 1993-03-12 Fujitsu Ltd 半導体装置
JPH05183019A (ja) 1991-12-27 1993-07-23 Hitachi Ltd 半導体装置およびその製造方法
US5473120A (en) 1992-04-27 1995-12-05 Tokuyama Corporation Multilayer board and fabrication method thereof
DE69330630T2 (de) 1992-05-15 2002-06-13 Irvine Sensors Corp Nichtleitende randschicht für integrierten stapel von ic chips
US5371654A (en) 1992-10-19 1994-12-06 International Business Machines Corporation Three dimensional high performance interconnection package
US5413489A (en) * 1993-04-27 1995-05-09 Aptix Corporation Integrated socket and IC package assembly
JP3195474B2 (ja) * 1993-09-20 2001-08-06 富士通株式会社 半導体装置
EP0666595B1 (de) 1994-02-07 1998-08-19 Siemens Aktiengesellschaft Verfahren zur Herstellung einer kubisch integrierten Schaltungsanordnung
US5567654A (en) * 1994-09-28 1996-10-22 International Business Machines Corporation Method and workpiece for connecting a thin layer to a monolithic electronic module's surface and associated module packaging
US5530288A (en) * 1994-10-12 1996-06-25 International Business Machines Corporation Passive interposer including at least one passive electronic component
JPH08148563A (ja) * 1994-11-22 1996-06-07 Nec Corp 半導体装置の多層配線構造体の形成方法
US5640167A (en) * 1995-01-27 1997-06-17 Ford Motor Company Vehicle window glass antenna arrangement
JP3186941B2 (ja) * 1995-02-07 2001-07-11 シャープ株式会社 半導体チップおよびマルチチップ半導体モジュール
US5574630A (en) * 1995-05-11 1996-11-12 International Business Machines Corporation Laminated electronic package including a power/ground assembly
US5635767A (en) * 1995-06-02 1997-06-03 Motorola, Inc. Semiconductor device having built-in high frequency bypass capacitor
JPH0997833A (ja) * 1995-07-22 1997-04-08 Ricoh Co Ltd 半導体装置とその製造方法
JP3387282B2 (ja) * 1995-08-03 2003-03-17 日産自動車株式会社 半導体装置の構造及びその製造方法
US5756395A (en) 1995-08-18 1998-05-26 Lsi Logic Corporation Process for forming metal interconnect structures for use with integrated circuit devices to form integrated circuit structures
US5623160A (en) * 1995-09-14 1997-04-22 Liberkowski; Janusz B. Signal-routing or interconnect substrate, structure and apparatus
JP3465464B2 (ja) * 1996-02-06 2003-11-10 富士通株式会社 半導体チップキャリヤ
JPH09232507A (ja) * 1996-02-20 1997-09-05 Yamaha Corp 半導体集積回路およびその製造方法
US5644167A (en) * 1996-03-01 1997-07-01 National Semiconductor Corporation Integrated circuit package assemblies including an electrostatic discharge interposer
US6198136B1 (en) * 1996-03-19 2001-03-06 International Business Machines Corporation Support chips for buffer circuits
JP2809191B2 (ja) * 1996-04-30 1998-10-08 日本電気株式会社 半導体チップの実装方法
US5777383A (en) * 1996-05-09 1998-07-07 Lsi Logic Corporation Semiconductor chip package with interconnect layers and routing and testing methods
JP3692186B2 (ja) * 1996-09-09 2005-09-07 沖電気工業株式会社 半導体装置
JPH10209371A (ja) * 1997-01-17 1998-08-07 Mitsubishi Electric Corp Icメモリ
JP3718940B2 (ja) * 1997-01-27 2005-11-24 株式会社村田製作所 フリップチップセラミック基板
JPH1167971A (ja) * 1997-05-30 1999-03-09 St Microelectron Inc 向上させた基板をベースとした集積回路パッケージ
US5790384A (en) * 1997-06-26 1998-08-04 International Business Machines Corporation Bare die multiple dies for direct attach
JP3867875B2 (ja) * 1997-09-17 2007-01-17 ソニー株式会社 半導体装置
US6052287A (en) * 1997-12-09 2000-04-18 Sandia Corporation Silicon ball grid array chip carrier
IT1299401B1 (it) * 1998-03-27 2000-03-16 Optikon 2000 Spa Procedimento di ottimizzazione del pilotaggio di un attuatore piezoelettrico, in particolare per dispositivi facoemulsificatori,
US6544167B2 (en) * 1998-05-01 2003-04-08 Correstore, Inc. Ventricular restoration patch
US6175160B1 (en) * 1999-01-08 2001-01-16 Intel Corporation Flip-chip having an on-chip cache memory
US6617681B1 (en) * 1999-06-28 2003-09-09 Intel Corporation Interposer and method of making same

Also Published As

Publication number Publication date
JP5285829B2 (ja) 2013-09-11
WO2001001486A1 (en) 2001-01-04
EP1190449A1 (en) 2002-03-27
IL147304A (en) 2007-02-11
TWI247563B (en) 2006-01-11
KR20020016855A (ko) 2002-03-06
US6671947B2 (en) 2004-01-06
IL147304A0 (en) 2002-08-14
US6982225B2 (en) 2006-01-03
CN1358331A (zh) 2002-07-10
US20020081838A1 (en) 2002-06-27
US20050017333A1 (en) 2005-01-27
AU5166500A (en) 2001-01-31
US6617681B1 (en) 2003-09-09
JP2003503855A (ja) 2003-01-28

Similar Documents

Publication Publication Date Title
CN1199264C (zh) 内插器及其制造方法
US7436069B2 (en) Semiconductor device, having a through electrode semiconductor module employing thereof and method for manufacturing semiconductor device having a through electrode
CN102832165B (zh) 经过改进的用于双镶嵌工艺的间隙填充方法
KR101055711B1 (ko) 이중 배선된 집적 회로 칩
CN101510536B (zh) 半导体装置及半导体装置的制造方法
US6468894B1 (en) Metal interconnection structure with dummy vias
US8110875B2 (en) Structure for charge dissipation during fabrication of integrated circuits and isolation thereof
CN1298054C (zh) 存储器与逻辑电路混合形成于一芯片的半导体器件及其制法
CN1645607A (zh) 半导体器件及其制造方法
US20120161129A1 (en) Method and apparatus of fabricating a pad structure for a semiconductor device
US11670501B2 (en) Semiconductor device structure with resistive elements
CN1551353A (zh) 包括金属互连和金属电阻器的半导体器件及其制造方法
CN1707788A (zh) 半导体器件及其制造方法
CN1347146A (zh) 具有绝缘体上硅结构的半导体器件及其制造方法
US7323736B2 (en) Method to form both high and low-k materials over the same dielectric region, and their application in mixed mode circuits
CN1507055A (zh) 集成电路电容器
CN1118095C (zh) 利用化学机械抛光工艺的半导体器件制造方法
CN1750249A (zh) 集成电路中的半导体装置及其制造方法
CN1809919A (zh) 电子器件、组件及制造电子器件的方法
CN1247384A (zh) 半导体器件及其制造方法
CN1957465A (zh) 半导体器件及配线基板
JP2004320018A (ja) 銅技術相互接続構造を使用する集積回路デバイス用のアルミニウム・パッド電力バスおよび信号ルーティング技術
US6399471B1 (en) Assorted aluminum wiring design to enhance chip-level performance for deep sub-micron application
CN1604334A (zh) 半导体器件及其制作方法
KR20100026399A (ko) 반도체 소자의 금속배선 및 그 형성방법

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20050427

Termination date: 20170526