CN1204702A - 衬底上淀积材料层的工艺及电镀系统 - Google Patents

衬底上淀积材料层的工艺及电镀系统 Download PDF

Info

Publication number
CN1204702A
CN1204702A CN98108369A CN98108369A CN1204702A CN 1204702 A CN1204702 A CN 1204702A CN 98108369 A CN98108369 A CN 98108369A CN 98108369 A CN98108369 A CN 98108369A CN 1204702 A CN1204702 A CN 1204702A
Authority
CN
China
Prior art keywords
electrode
current potential
substrate
technology
metallic element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN98108369A
Other languages
English (en)
Other versions
CN1143906C (zh
Inventor
辛迪·里德西玛·辛普森
马修·T·赫里克
格雷戈尔·S·埃瑟林顿
詹姆斯·德里克·莱格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Vlsi Technology Co ltd
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Publication of CN1204702A publication Critical patent/CN1204702A/zh
Application granted granted Critical
Publication of CN1143906C publication Critical patent/CN1143906C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/008Current shielding devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/66Electroplating: Baths therefor from melts
    • C25D3/665Electroplating: Baths therefor from melts from ionic liquids
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/04Electroplating with moving electrodes
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S204/00Chemistry: electrical and wave energy
    • Y10S204/07Current distribution within the bath

Abstract

电镀系统(30)和工艺使衬底(20)表面上的电流密度在电镀过程中更均匀,得到更均匀或经修整地淀积导电材料。电流密度修正器(364和37)降低了衬底(20)边缘附近的电流密度,电镀变得更为均匀或可被修整,使衬底(20)中心附近镀敷稍多的材料。此系统还可加以修正,使电流密度修正器部位(364)上的材料可被清除而无需卸下盖(35)的任何部分。减少了设备停机时间,提高了设备寿命并缓解了颗粒问题。

Description

衬底上淀积材料层的工艺及电镀系统
本发明一般涉及到在衬底上淀积涂层的工艺与系统,更确切地说是涉及到在衬底上电镀含金属的层的工艺和系统。
现今的半导体器件要求越来越高的工作电流密度,同时却仍存在着电迁移或其它可靠性问题。铜正被研究来替代现今的铝或铝铜金属化。在衬底上淀积铜的一种最有前景的方法是采用电镀之类的涂敷方法。
图1示出了现有技术电镀系统10的剖面图。系统10包含一个带有出口部102的工作室11。此系统还包含带有用来接收电镀液的入口部112和扩散器13的一个槽12(容器)。阳极14位于槽12与扩散器13之间。此系统10还包含一个带有转盘151的盖15,而夹销152是系统10的阴极,通常由镀铂的钛制成。在系统10的工作过程中,电镀液19通过入口部112进入槽12,在阳极14的作用下流动,离子在此处从阳极14溶入电镀液19。电镀液19继续向上流过扩散器13到达衬底20。电镀液19最终漫过槽12的侧壁,向下流入槽12的壁与工作室11的壁之间,且通过出口部102。阳极14和夹销152被偏置以便对衬底20进行镀敷。
在这种现有技术系统10的工作过程中,通常发生图2所示的不均匀淀积。如图2所示,半导体器件衬底20有一个可以是绝缘体、导体或绝缘体与导体的组合体的基底材料22,其上覆盖有导电籽晶层24。电镀材料26被镀在籽晶层24上。注意衬底20被朝下安装在系统10中。在图2中,衬底已被向上转使层26朝向图2的顶部。如图2所示,电镀材料26的淀积通常在衬底20的边缘附近更厚而中心附近更薄。这种不均匀淀积会引起问题,特别是若要对电镀材料26进行化学机械抛光时问题更大。抛光清除材料时一般在衬底中心附近较快而在衬底边缘附近较慢。衬底20边缘附近的电镀材料26较厚与边缘附近较低的抛光速率相结合,加重了抛光之后电镀材料26的不均匀性。在抛光过程中,由于不理想的抛光选择性而清除了过多的下部基底材料22,或在衬底20的边缘附近留下一圈残余材料,其中二者都是不可取的。
在电镀印刷电路板衬底的过程中,采用了限流阴极板(robberplate),此限流阴极板被附着于电路板上,并借助于切去带有限流阴极板的电路板部分而被破坏性地清除。
有必要建立一种系统,此系统应能更均匀地淀积且能够在衬底中央附近镀上比其边缘稍多的材料以补偿衬底中央附近常见的过度抛光。
本发明用举例的方法来加以说明,且不局限于附图,其中相同的参考号表示相同的元件:
图1示出了现有技术电镀系统的剖面图;
图2示出了用现有技术方法在衬底上已镀敷材料之后的半导体衬底部分的剖面图;
图3示出了根据本发明实施例的电镀系统的剖面图;
图4示出了电镀头的俯视图,说明了根据本发明实施例的衬底与夹销之间的关系;
图5示出了用本发明实施例镀敷材料之后的半导体器件衬底部分的剖面图;以及
图6示出了根据本发明另一实施例的带有阳极设计的电镀系统的剖面图。
熟练人员知道,各图中的各个元件是为简单和清晰而示出的而且没有必要按比例绘出。例如,为了有助于加深对本发明各实施例的理解,图中的某些元件的尺寸相对于其它元件进行了夸大。
一种新的电镀系统和工艺使电镀过程中半导体器件衬底表面上的电流密度更均匀,从而可得到导电材料的更均匀或被修整的淀积。电流密度修正器使衬底边缘附近的电流密度减小,若不这样则此处的镀敷速率将最高。借助于减小衬底边缘附近的电流密度,电镀变得更为均匀或可以修整,以在衬底中央附近镀敷稍多的材料。此系统还可修改,使夹销结构上电流密度修正器的材料可被清除而无需拆卸盖的任何部分或从系统移去弧形电流密度修正器。这种原位清洗减少了设备停机时间,提高了设备寿命并减少了颗粒数。
图3示出了根据本发明实施例的电镀系统30的剖面图。系统30相似于系统10,但系统30包含带有夹具部位362(阴极或第二电极)和弧形电流密度修正器部位364(第一装置)的夹具结构36以及环形电流密度修正器37(根据实施例的不同而为第一装置或第二装置)。夹具结构36是导电的。系统30包含一个带有出口部302的工作室31和一个带有用来接收电镀液的入口部312的槽32。在槽32中,扩散器33使通过槽32的电镀液(离子液体)39更呈片流。阳极34(第一电极)位于槽32与扩散器33之间。阳极34通常包含将被镀在半导体器件衬底20上的材料。
系统30还包含一个带有转盘351和夹具结构36的盖35以及一个环形修正器37。转盘351、扩散器33、槽32和工作室31包含诸如聚乙烯、碳氟化合物(即特氟隆TeflonTM)之类的不导电材料。这些材料降低了任何电流传导或任何与电镀液的不利反应的可能性。阳极14、夹具结构36和环形修正器37、诸如导电籽晶层24的衬底20上的任何导电层应该是与电镀液39相接触的仅有的导电材料。
图4示出了用夹具结构36固定在转盘351上的半导体器件衬底20的俯视图。每个结构36包含一个夹具部位362和一个电流密度修正器部位364。夹具部位362和电流密度修正器部位364是导电的。部位364至少1mm宽且比位于衬底20与阳极34之间的部位362来说至少更向着阳极34延伸1mm。在这一具体实施例中,每个部位364的弧长在约5-50mm范围内,通常为25mm。每个弧形修正器部位364的高度在约5-15mm范围内,通常为10mm。每个部位364的厚度在约2-6mm范围内。环形修正器37是导电的且其位置使结构36与环形修正器37之间有一个约为5-15mm的间隙。与部位364相似,修正器37进一步向阳极34延伸。修正器37的圆周大于部位362宽度之和。换言之,修正器37“宽于”部位362。
环形修正器37的高度通常在约5-25mm范围内,而厚度在10-15μm范围内。在一个实施例中,环形修正器37位于槽32顶部附近。环形修正器位于扩散器39与槽32顶部之间沿槽32的任何地方。通常,环形修正器37被附着于槽32。环形修正器37可以是连续环,也可沿槽32的壁分成段。在一个具体实施例中,结构36和环形修正器37二者都用与被电镀到衬底20上的材料相同的材料制成以减小电镀液39的沾污可能性。若要镀铜,则结构36、环形修正器37和阳极34用铜制成。但在变通实施例中,也可用不同的材料。系统30的阴极(第二电极)包含夹具部位362。部位364和环形修正器37是系统30的不同类型的电流密度修正器,且与衬底20分开一段距离。
下面讨论电镀铜的一个具体例子。虽然给出了很多细节,但这些信息只是为了说明问题而不是限定本发明的范围。在系统30的工作中,电镀液39经由入口部312进入槽32。电镀液包含铜(Cu)、硫酸铜(Cu2SO4)、硫酸(H2SO4)、诸如来自HCl的氯化物离子。电镀液39流过阳极34,来自阳极34的离子在此处溶入电镀液39。电镀液39继续向上渡过扩散器33到达衬底20。电镀液39最终漫过槽32的壁,向下流到槽32的壁与工作室31的壁之间,并通过出口部302。
在工艺的第一部分,借助于在至少一部分阳极34上,具体地说(但不局限于)是直接面对衬底36的阳极34的部位上形成氧化铜类型的薄膜而对阳极34进行调整。调整之后,在半导体衬底接触到电镀液39之前,添加剂被加到电镀液39中。
导电籽晶层制作在衬底20的主表面上(器件侧)。在此例中,衬底20是一个圆形晶片。导电籽晶层24对衬底20上的镀敷起促进作用。导电籽晶层24通常包含一个含有诸如钛、钽、氮化钛、氮化钽之类材料的难熔金属。然后将带有导电籽晶层24的衬底20安装在转盘351上并用结构36的夹具部位362固定就位。然后降低盖35,使结构36的一部分和籽晶层24与电镀液39相接触。应注意保持衬底20的背侧(未暴露)表面不要接触到电镀液39。
在电镀过程中,对阳极34、结构36和环形修正器37加偏置以淀积电镀材料层56。虽然阳极34、结构36和环形修正器37可取正的或负的偏压极性或者电学上接地,但阳极44处于比结构36和环形修正器37更正的电位。在一个具体实施例中,环形修正器37和结构36二者都处于大致相同的电位。在另一实施例中,环形修正器37被置成使结构36上的电位处于阳极34电位与环形修正器37电位之间。偏置条件可在电镀过程中保持基本恒定或随时间变化(亦即,脉冲(方波)、锯齿、正弦之类)。本说明书中所用的偏置不包含电浮置此元件,但可包含将系统元件之一置于地电位。
对于二个实施例,对结构36和环形修正器37进行偏置有助于降低边缘处的电流密度,反过来又比之不采用电流密度修正器时降低了衬底20边缘附近的电镀速率。电镀一直进行到形成了所需厚度的电镀材料56。在一个实施例中,这一厚度通常在约6000-15000埃范围内。与现有技术不同的是,此电镀材料的厚度更均匀或如图5所示在衬底20的中央可稍厚。注意在图5中,已将衬底20倒转过来使电镀材料56朝着图5的顶部。对电流密度修正器的电位进行了调节以获得所希望的均匀度结果。层56可淀积成衬底中心点上以及离衬底边缘10mm以内的点上的层56厚度之差不大于中心点上层56厚度的5%。在电镀过程中,除了特别指出者外,工作参数都是本技术常规使用的。
不能用像现有技术电镀过程中与印刷电路板相接触的取样器所做的那样从衬底20破坏性地清除电流密度修正器。在衬底20以晶片形式存在的情况下,还必须执行后续加工。若电流密度修正器与衬底20相接触并破坏性地被清除,则由于衬底20可能不具有大体圆的形状而几乎不可能执行后续的加工步骤。破裂的衬底可能产生颗粒,具有尖锐的边缘,并在后续加工步骤中很可能进一步破碎,这就降低了成品率。
在电镀之后再进行加工以形成大致完工的器件。这些步骤可包括对层56进行化学机械抛光、如有需要时制作额外的绝缘互连层以及在最上层的互连层上制作钝化层。若层56被用于焊料凸块,则层56在衬底中心点上的厚度在约40-160μm的范围内且用腐蚀方法进行图形化。
在完成电镀之后,可电镀不同的衬底,或可借助于清除至少一部分在衬底20上淀积电镀层56时淀积在结构36上的镀敷材料来清洗结构36。可用不同的方法来实现清洗。在一个实施例中,借助于将结构36偏置于比阳极34更正的电位,可进行此清洗。在另一实施例中,结构36被偏置于比环形修正器37更正的电位。在此具体实施例中,阳极34电浮置。若阳极34电浮置,则调节过程中阳极34上产生的薄膜在清洗加工过程中将基本上保持不受干扰。若不允许阳极34浮置,则薄膜必受影响从而需要调整。在清洗步骤之后,可加工额外的衬底。
系统30也可用来电镀其它材料,包括金和镍。此外,此系统还可用来淀积合金。例如在半导体器件中用作网格焊球阵列的导电凸块。此导电凸块通常包含一种铅锡合金。铅的氧化势为+0.126V,而锡的氧化势为+0.136。因此,锡比铅更易被氧化。阳极34应包含更易氧化的金属元素而不是其它金属元素。否则,在电镀衬底之后,阳极34可能成坑。在此具体例子中,阳极34应包含锡而不是铅。电镀液39将包含处于元素(还原)态和离子(氧化)态二者中的铅和锡。可改变淀积参数(特别是电镀液中的铅和锡的浓度)以及阳极34、结构36与环形修正器37的偏置条件来修正合金的组成。此合金可具有基本均匀或缓变(不连续的或连续的)的组成。
在另一实施例中,系统30被用来在衬底20上淀积其它的电活性材料。在这一应用中,材料可能荷负电,因此,衬底20和结构36现变成阳极,原先的阳极34变成阴极。以这种方式,电镀液39中的电流方向基本上反过来了。
本发明包括其它的实施例。在一个具体的实施例中,结构36被修改成夹具部位362和部位364是分立的部分。此时,部位364与夹具部位362以单独元件的形式附着于转盘。在其它的实施例中,部位364永久性地附着于夹具部位362,或者是可随时从夹具部位取下的可移去部位。
在电镀过程中,结构36可完全地或部分地浸入在电镀液39中。一个或二个电流密度修正器的形状应与衬底20的边缘的形状匹配。例如,部位364是弧形部位,且沿那些弧形部位364的内边缘的所有点离衬底20都基本上距离相等。若衬底20是带直边的矩形,则弧形修正器部位当具有面向衬底20的内边缘且基本上平行于衬底的相应的边。
环形修改器37的变通设计也是可能的。在另一实施例中,环形修正器37延伸于槽32顶部以上。例如,环形修正器37可包含城堡形的边缘,使电镀液39可在城堡形边之间流动而漫出槽32。以这种方式,电镀液39不与环形修正器37的上部相接触。当分段式环形修正器用于电镀时,最可能采用这种形式。与前述实施例相似,环形修正器37的形状通常应与槽32大致相同,后者通常与衬底20的形状匹配。在圆形衬底20的情况下,环形修正器37和槽32具有圆的形状。若衬底20是矩形的,则环形修正器37和槽32也具有矩形形状。
在另一实施例中,只有环形修正器37部位是导电的。在一个具体实施例中,一段环形修正器37其上半部导电而环形修正器37的相邻部位可能是下半部导电。在又一实施例中,所有的上部或所有的下部或者它们的任一组合是导电的。在任何情况下,环形修正器37的定位应使电镀的均匀性最优化。
系统30可只用结构36或环形修正器37作为电流密度修正器而工作。采用二种电流密度修正器则可提高更好地控制电镀过程中的电流密度的能力,因而可对衬底20上电镀材料56的厚度变化进行更好的控制。
在再一实施例中,可修改阳极形状以优化电流密度,使晶片表面上更均匀。如图6所示,锥形阳极64有一个锥形的边缘。这改变了衬底20附近的电流密度。显然,其它的形状也是可能。
在前述的说明中,已参照具体的实施例描述了本发明。但本技术领域的一般熟练人员知道,可作出各种修改和改变而不超越下列权利要求所确定的本发明的范围。因此,本说明书及附图被认为是示范性的而不是限制性的,且所有这些修改都包括在本发明的范围之中。在权利要求中,方法与功能条款(若有的话)覆盖了此处所述的执行所述功能的各种结构。此方法与功能条件还覆盖执行所述功能的结构等效物和等效的结构。

Claims (16)

1.一种在衬底上淀积材料层(56)的工艺,它包含下列步骤:
将衬底(20)置入电镀系统(30)中,此系统包含:容器(32);容器(32)中的第一电极(34);电连接于衬底(20)的第二电极(36);导体或电流密度修正器的第一装置(364,37),其中的第一装置(364,37)与衬底(20)隔开一段距离,该装置宽度大于第二电极(362)的宽度,且比第二电极(362)更向第一电极(34)延伸;离子液体(39),其中的离子液体(39)接触第一电极(34)、第二电极(362)、衬底(20)和第一装置(364,37);
将第一电极(34)置于第一电位,第二电极(362)置于第二电位,第一装置(364,37)置于第三电位,其中材料层(56)淀积在衬底(20)上,且第一电位不同于第二电位和第三电位;以及
从电镀系统(30)移去衬底(20)。
2.权利要求1的工艺,其中将第一电极(34)置于第一电位的步骤包含将第一电极(34)置于第一电位、第二电极(362)置于第二电位、第一装置(364,37)置于第三电位的步骤,其中的第二和第三电位基本上为同一电位。
3.权利要求1的工艺,其中将第一电极(34)置于第一电位的步骤包含将第一电极(34)置于第一电位、第二电极(362)置于第二电位、第一装置(37)置于第三电位的步骤,其中的第一、第二和第三电位各不相同;且第二电位在第一与第三电位之间。
4.权利要求1的工艺,其中置入衬底(20)的步骤包含对衬底(20)进行定位,该衬底的边靠近于具有相似于此边部分的形状的第一装置(364,37)。
5.权利要求1的工艺,其中将第一电极(34)置于第一电位的步骤包含产生一个随时间周期性变化的脉冲电势差。
6.权利要求1的工艺,其中的第一电极(34)的剖面形状至少是局部锥形。
7.权利要求1的工艺,其中将第一电极(34)置于第一电位的步骤在更靠近衬底(20)中心的第一点上使层(56)淀积至第一厚度,而在更靠近衬底(20)边缘的第二点上使层(56)淀积至不厚于第一厚度的第二厚度。
8.权利要求1的工艺,还包含至少清除一部分在将第一电极(34)置于第一电位的步骤中淀积在第二电极(362)上的材料层,其中第一装置(37)被置于比第二电极(362)更低的电位。
9.权利要求1的工艺,还包含在将第一电极(34)置于第一电位的步骤中将衬底(20)和第一电极(34)彼此相对旋转的步骤。
10.权利要求1的工艺,其中将第一电极(34)置于第一电位的步骤淀积包含第一金属元素和不同于第一金属元素的第二金属元素的合金材料层(56)。
11.权利要求10的工艺,其中的置入步骤包含将衬底(20)置入电镀系统,其中:
第一电极(34)含有第一金属元素而不含有第二金属元素;
第一金属元素的氧化势高于第二金属元素;
离子液体(39)包含第二金属元素的离子。
12.权利要求1的工艺,其中的衬底(20)包含半导体器件衬底(20)。
13.权利要求1的工艺,其中的第一装置(37)具有环形形状且附着于容器(32)。
14.权利要求13的工艺,其中的第一装置被分段。
15.权利要求1的工艺,还包含在将第一电极(34)置于第一电位的步骤中,相对于第一电极(34)旋转第一装置(364)的步骤。
16.权利要求1的工艺,其中:
电镀系统(30)还包含与衬底(20)和第一装置(364)分隔开一段距离的第二装置(37);且
此工艺还包含借助电浮置第一电极(34)并将第二电极(362)和第一装置(364)置于第一电位而第二装置(37)置于比第一电位低的第二电位,从而从第二电极(362)和第一装置(364)清除至少一部分材料的步骤,其中该步骤在移去衬底的步骤之后执行。
CNB981083692A 1997-05-14 1998-05-13 在衬底上淀积材料层的工艺 Expired - Lifetime CN1143906C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/856,459 US6174425B1 (en) 1997-05-14 1997-05-14 Process for depositing a layer of material over a substrate
US856459 1997-05-14

Publications (2)

Publication Number Publication Date
CN1204702A true CN1204702A (zh) 1999-01-13
CN1143906C CN1143906C (zh) 2004-03-31

Family

ID=25323684

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB981083692A Expired - Lifetime CN1143906C (zh) 1997-05-14 1998-05-13 在衬底上淀积材料层的工艺

Country Status (13)

Country Link
US (3) US6174425B1 (zh)
JP (1) JP3326112B2 (zh)
KR (1) KR100329454B1 (zh)
CN (1) CN1143906C (zh)
BR (1) BR9801617A (zh)
DE (1) DE19820878B4 (zh)
FR (1) FR2763343B1 (zh)
GB (2) GB2325242A (zh)
IT (1) IT1299444B1 (zh)
MY (1) MY126502A (zh)
NL (1) NL1009157C2 (zh)
SG (1) SG71111A1 (zh)
TW (1) TW372330B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101248220B (zh) * 2005-04-04 2011-02-09 法国原子能委员会 表面处理电极
CN101724869B (zh) * 2009-12-18 2011-06-22 北京有色金属研究总院 一种离子液体添加剂在瓦特电镀镍溶液中的应用
CN102439202A (zh) * 2009-05-22 2012-05-02 瑞纳股份有限公司 用于控制电化学表面过程的方法和装置
CN101457379B (zh) * 2007-12-14 2012-05-30 盛美半导体设备(上海)有限公司 在半导体工件上电镀金属的电镀装置
CN102570108A (zh) * 2010-09-28 2012-07-11 泰科电子荷兰公司 具有防腐蚀的电连接器
CN106531626A (zh) * 2016-11-08 2017-03-22 湖南文理学院 一种改善多孔硅径向物理微结构均匀性的新方法

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6599412B1 (en) * 1997-09-30 2003-07-29 Semitool, Inc. In-situ cleaning processes for semiconductor electroplating electrodes
US6174425B1 (en) 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
WO1999016936A1 (en) * 1997-09-30 1999-04-08 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6936153B1 (en) * 1997-09-30 2005-08-30 Semitool, Inc. Semiconductor plating system workpiece support having workpiece-engaging electrode with pre-conditioned contact face
US6921468B2 (en) * 1997-09-30 2005-07-26 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6126798A (en) * 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
TWI223678B (en) * 1998-03-20 2004-11-11 Semitool Inc Process for applying a metal structure to a workpiece, the treated workpiece and a solution for electroplating copper
DE69929967T2 (de) 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6251235B1 (en) 1999-03-30 2001-06-26 Nutool, Inc. Apparatus for forming an electrical contact with a semiconductor substrate
US7427337B2 (en) 1998-12-01 2008-09-23 Novellus Systems, Inc. System for electropolishing and electrochemical mechanical polishing
US6551484B2 (en) * 1999-04-08 2003-04-22 Applied Materials, Inc. Reverse voltage bias for electro-chemical plating system and method
US6585876B2 (en) * 1999-04-08 2003-07-01 Applied Materials Inc. Flow diffuser to be used in electro-chemical plating system and method
US6368475B1 (en) * 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
JP4219562B2 (ja) * 1999-04-13 2009-02-04 セミトゥール・インコーポレイテッド ワークピースを電気化学的に処理するためのシステム
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7585398B2 (en) * 1999-04-13 2009-09-08 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6297155B1 (en) * 1999-05-03 2001-10-02 Motorola Inc. Method for forming a copper layer over a semiconductor wafer
US6413858B1 (en) * 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6217727B1 (en) * 1999-08-30 2001-04-17 Micron Technology, Inc. Electroplating apparatus and method
US20020000380A1 (en) * 1999-10-28 2002-01-03 Lyndon W. Graham Method, chemistry, and apparatus for noble metal electroplating on a microelectronic workpiece
US6231743B1 (en) 2000-01-03 2001-05-15 Motorola, Inc. Method for forming a semiconductor device
US6547937B1 (en) * 2000-01-03 2003-04-15 Semitool, Inc. Microelectronic workpiece processing tool including a processing reactor having a paddle assembly for agitation of a processing fluid proximate to the workpiece
US6454916B1 (en) * 2000-01-05 2002-09-24 Advanced Micro Devices, Inc. Selective electroplating with direct contact chemical polishing
US8308931B2 (en) * 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US8475636B2 (en) * 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
US6913680B1 (en) * 2000-05-02 2005-07-05 Applied Materials, Inc. Method of application of electrical biasing to enhance metal deposition
JP2001316887A (ja) * 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理装置
EP1337693A2 (en) 2000-05-23 2003-08-27 Applied Materials, Inc. Method and apparatus to overcome anomalies in copper seed layers and to tune for feature size and aspect ratio
JP3379755B2 (ja) * 2000-05-24 2003-02-24 インターナショナル・ビジネス・マシーンズ・コーポレーション 金属めっき装置
WO2001090434A2 (en) * 2000-05-24 2001-11-29 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US7094131B2 (en) 2000-08-30 2006-08-22 Micron Technology, Inc. Microelectronic substrate having conductive material with blunt cornered apertures, and associated methods for removing conductive material
US7192335B2 (en) 2002-08-29 2007-03-20 Micron Technology, Inc. Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US7134934B2 (en) * 2000-08-30 2006-11-14 Micron Technology, Inc. Methods and apparatus for electrically detecting characteristics of a microelectronic substrate and/or polishing medium
US7160176B2 (en) * 2000-08-30 2007-01-09 Micron Technology, Inc. Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US7129160B2 (en) * 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
US7078308B2 (en) * 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US7153410B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for electrochemical-mechanical processing of microelectronic workpieces
US7074113B1 (en) 2000-08-30 2006-07-11 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7112121B2 (en) 2000-08-30 2006-09-26 Micron Technology, Inc. Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US7220166B2 (en) * 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US7153195B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
EP1470268A2 (en) * 2000-10-03 2004-10-27 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
JP2002173794A (ja) * 2000-12-05 2002-06-21 Electroplating Eng Of Japan Co カップ式めっき装置
US20040020780A1 (en) * 2001-01-18 2004-02-05 Hey H. Peter W. Immersion bias for use in electro-chemical plating system
US6932896B2 (en) * 2001-03-30 2005-08-23 Nutool, Inc. Method and apparatus for avoiding particle accumulation in electrodeposition
DE10134680A1 (de) * 2001-07-20 2003-02-06 Endress & Hauser Gmbh & Co Kg Schaltungsanrdnung für einen kapazitiven Sensor
US6751391B2 (en) * 2001-07-24 2004-06-15 Agilent Technologies, Inc. Optical systems incorporating waveguides and methods of manufacture
US6630360B2 (en) * 2002-01-10 2003-10-07 Advanced Micro Devices, Inc. Advanced process control (APC) of copper thickness for chemical mechanical planarization (CMP) optimization
US7854828B2 (en) * 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US6911136B2 (en) * 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
US7247223B2 (en) * 2002-05-29 2007-07-24 Semitool, Inc. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
US20040192066A1 (en) * 2003-02-18 2004-09-30 Applied Materials, Inc. Method for immersing a substrate
US20040206628A1 (en) * 2003-04-18 2004-10-21 Applied Materials, Inc. Electrical bias during wafer exit from electrolyte bath
US20040222101A1 (en) * 2003-04-18 2004-11-11 Applied Materials, Inc. Contact ring spin during idle time and deplate for defect reduction
US20050026416A1 (en) * 2003-07-31 2005-02-03 International Business Machines Corporation Encapsulated pin structure for improved reliability of wafer
US7112122B2 (en) * 2003-09-17 2006-09-26 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7150820B2 (en) * 2003-09-22 2006-12-19 Semitool, Inc. Thiourea- and cyanide-free bath and process for electrolytic etching of gold
US20050067274A1 (en) * 2003-09-30 2005-03-31 Shao-Yu Ting [electroplating apparatus]
US7135357B2 (en) * 2003-10-06 2006-11-14 E. I. Du Pont De Nemours And Company Process for making an organic electronic device having a roughened surface heat sink
US7153777B2 (en) 2004-02-20 2006-12-26 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
US20060043534A1 (en) * 2004-08-26 2006-03-02 Kirby Kyle K Microfeature dies with porous regions, and associated methods and systems
US7566391B2 (en) * 2004-09-01 2009-07-28 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
US20060175201A1 (en) * 2005-02-07 2006-08-10 Hooman Hafezi Immersion process for electroplating applications
TW200641189A (en) * 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US20060273309A1 (en) * 2005-06-03 2006-12-07 Jian Wang Workpiece including electronic components and conductive members
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US20090114542A1 (en) * 2007-11-06 2009-05-07 Spansion Llc Process of forming an electronic device including depositing a conductive layer over a seed layer
US7749884B2 (en) * 2008-05-06 2010-07-06 Astrowatt, Inc. Method of forming an electronic device using a separation-enhancing species
WO2009143026A2 (en) * 2008-05-17 2009-11-26 Astrowatt, Inc. Method of forming an electronic device using a separation technique
TWI404833B (zh) * 2011-01-26 2013-08-11 Zhen Ding Technology Co Ltd 電鍍系統及電鍍方法
TWI550139B (zh) 2011-04-04 2016-09-21 諾菲勒斯系統公司 用於裁整均勻輪廓之電鍍裝置
US8575025B2 (en) 2011-07-28 2013-11-05 Hewlett-Packard Development Company, L.P. Templated circuitry fabrication
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9567685B2 (en) 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9689082B2 (en) * 2015-04-14 2017-06-27 Applied Materials, Inc. Electroplating wafers having a notch
US9988733B2 (en) 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
EP3344151A1 (en) * 2015-09-03 2018-07-11 McCormack, Brian James Stool collection device and stool sampling device
WO2018013874A1 (en) 2016-07-13 2018-01-18 Alligant Scientific, LLC Electrochemical methods, devices and compositions

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2751340A (en) 1952-10-17 1956-06-19 Clevite Corp Method of plating
US2859166A (en) 1955-09-15 1958-11-04 Pennsalt Chemicals Corp Shielding means for effecting uniform plating of lead dioxide in the formation of lead dioxide electrodes
US3880725A (en) * 1974-04-10 1975-04-29 Rca Corp Predetermined thickness profiles through electroplating
JPS5412845A (en) 1977-06-30 1979-01-30 Ricoh Co Ltd Multicolor copier
US4148707A (en) 1977-07-08 1979-04-10 Heritage Silversmiths Limited Electrochemical finishing of stainless steel
JPS54128945A (en) 1978-03-30 1979-10-05 Sumitomo Metal Ind Ltd Electroplating method
US4420382A (en) 1980-01-18 1983-12-13 Alcan International Limited Method for controlling end effect on anodes used for cathodic protection and other applications
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
GB2089838A (en) 1980-12-22 1982-06-30 Alcan Int Ltd Jigs for electrochemical treatment of elongated workpieces
US4421627A (en) 1982-05-24 1983-12-20 Lincoln Plating Company Article holder for electroplating process
US4466864A (en) * 1983-12-16 1984-08-21 At&T Technologies, Inc. Methods of and apparatus for electroplating preselected surface regions of electrical articles
US4720329A (en) 1984-09-17 1988-01-19 Microsurface Technology Corp. Apparatus and method for the electrolytic plating of layers onto computer memory hard discs
US4678545A (en) 1986-06-12 1987-07-07 Galik George M Printed circuit board fine line plating
GB8809750D0 (en) 1988-04-25 1988-06-02 Beckswift Ltd Electrical apparatus
US5230743A (en) 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US4879007B1 (en) 1988-12-12 1999-05-25 Process Automation Int L Ltd Shield for plating bath
US5368711A (en) * 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5135636A (en) * 1990-10-12 1992-08-04 Microelectronics And Computer Technology Corporation Electroplating method
SE467976B (sv) 1991-02-20 1992-10-12 Dcm Innovation Ab Anordning foer elektroplaetering, vid framstaellning av matriser foer tillverkning av t ex cd-skivor samt foerfarande foer tillverkning av matriser medelst anordningen
US5149419A (en) 1991-07-18 1992-09-22 Eastman Kodak Company Method for fabricating long array orifice plates
US5312532A (en) 1993-01-15 1994-05-17 International Business Machines Corporation Multi-compartment eletroplating system
US5332487A (en) 1993-04-22 1994-07-26 Digital Equipment Corporation Method and plating apparatus
GB9325297D0 (en) 1993-12-10 1994-02-16 Process Automation Internation Improvements in or relating to clamps and the use thereof
FR2725215B1 (fr) 1994-09-29 1996-11-22 Lorraine Laminage Cellule d'electrodeposition en continu d'alliages metalliques
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
DE19547948C1 (de) 1995-12-21 1996-11-21 Atotech Deutschland Gmbh Verfahren und Schaltungsanordnung zur Erzeugung von Strompulsen zur elektrolytischen Metallabscheidung
US5662788A (en) * 1996-06-03 1997-09-02 Micron Technology, Inc. Method for forming a metallization layer
US5980706A (en) * 1996-07-15 1999-11-09 Semitool, Inc. Electrode semiconductor workpiece holder
US6174425B1 (en) 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101248220B (zh) * 2005-04-04 2011-02-09 法国原子能委员会 表面处理电极
CN101457379B (zh) * 2007-12-14 2012-05-30 盛美半导体设备(上海)有限公司 在半导体工件上电镀金属的电镀装置
CN102439202A (zh) * 2009-05-22 2012-05-02 瑞纳股份有限公司 用于控制电化学表面过程的方法和装置
CN101724869B (zh) * 2009-12-18 2011-06-22 北京有色金属研究总院 一种离子液体添加剂在瓦特电镀镍溶液中的应用
CN102570108A (zh) * 2010-09-28 2012-07-11 泰科电子荷兰公司 具有防腐蚀的电连接器
CN102570108B (zh) * 2010-09-28 2016-05-18 泰科电子荷兰公司 具有防腐蚀的电连接器
CN106531626A (zh) * 2016-11-08 2017-03-22 湖南文理学院 一种改善多孔硅径向物理微结构均匀性的新方法

Also Published As

Publication number Publication date
JPH10330991A (ja) 1998-12-15
FR2763343A1 (fr) 1998-11-20
NL1009157C2 (nl) 2000-01-10
GB2325242A (en) 1998-11-18
KR100329454B1 (ko) 2002-08-28
DE19820878A1 (de) 1998-11-19
SG71111A1 (en) 2000-03-21
FR2763343B1 (fr) 2000-11-24
TW372330B (en) 1999-10-21
ITRM980277A1 (it) 1999-10-29
ITRM980277A0 (it) 1998-04-29
MX9803339A (es) 1998-12-31
GB9809856D0 (en) 1998-07-08
CN1143906C (zh) 2004-03-31
US6500324B1 (en) 2002-12-31
US7323094B2 (en) 2008-01-29
US20020195347A1 (en) 2002-12-26
IT1299444B1 (it) 2000-03-16
NL1009157A1 (nl) 1998-11-17
KR19980087024A (ko) 1998-12-05
GB0111315D0 (en) 2001-07-04
DE19820878B4 (de) 2011-03-03
BR9801617A (pt) 1999-06-08
JP3326112B2 (ja) 2002-09-17
MY126502A (en) 2006-10-31
US6174425B1 (en) 2001-01-16

Similar Documents

Publication Publication Date Title
CN1143906C (zh) 在衬底上淀积材料层的工艺
US11542630B2 (en) Cleaning electroplating substrate holders using reverse current deplating
US6627052B2 (en) Electroplating apparatus with vertical electrical contact
US6228231B1 (en) Electroplating workpiece fixture having liquid gap spacer
US6071388A (en) Electroplating workpiece fixture having liquid gap spacer
US7435323B2 (en) Method for controlling thickness uniformity of electroplated layers
US9593431B2 (en) Electroplating systems
US6942780B2 (en) Method and apparatus for processing a substrate with minimal edge exclusion
US6989084B2 (en) Semiconductor wafer plating cell assembly
US6579430B2 (en) Semiconductor wafer plating cathode assembly
CN1714177A (zh) 由接触环造型控制的电镀均匀性
US6217734B1 (en) Electroplating electrical contacts
US6768194B2 (en) Electrode for electroplating planar structures
MXPA98003339A (en) Process for the deposition of a layer of material on a substrate and system of electrodeposic

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: FREEDOM SEMICONDUCTORS CO.

Free format text: FORMER OWNER: MOTOROLA, INC.

Effective date: 20040820

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20040820

Address after: Texas in the United States

Patentee after: FreeScale Semiconductor

Address before: Illinois Instrunment

Patentee before: Motorola, Inc.

C56 Change in the name or address of the patentee

Owner name: FISICAL SEMICONDUCTOR INC.

Free format text: FORMER NAME: FREEDOM SEMICONDUCTOR CORP.

CP01 Change in the name or title of a patent holder

Address after: Texas in the United States

Patentee after: FREESCALE SEMICONDUCTOR, Inc.

Address before: Texas in the United States

Patentee before: FreeScale Semiconductor

CP01 Change in the name or title of a patent holder

Address after: Texas in the United States

Patentee after: NXP USA, Inc.

Address before: Texas in the United States

Patentee before: FREESCALE SEMICONDUCTOR, Inc.

CP01 Change in the name or title of a patent holder
TR01 Transfer of patent right

Effective date of registration: 20180123

Address after: Delaware

Patentee after: VLSI Technology Co.,Ltd.

Address before: Texas in the United States

Patentee before: NXP USA, Inc.

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20180125

Address after: Delaware

Patentee after: VLSI Technology Co.,Ltd.

Address before: Texas in the United States

Patentee before: NXP USA, Inc.

TR01 Transfer of patent right
CX01 Expiry of patent term

Granted publication date: 20040331

CX01 Expiry of patent term