CN1232291A - 铜互连结构及其制作方法 - Google Patents

铜互连结构及其制作方法 Download PDF

Info

Publication number
CN1232291A
CN1232291A CN99104925A CN99104925A CN1232291A CN 1232291 A CN1232291 A CN 1232291A CN 99104925 A CN99104925 A CN 99104925A CN 99104925 A CN99104925 A CN 99104925A CN 1232291 A CN1232291 A CN 1232291A
Authority
CN
China
Prior art keywords
copper
layer
make
connection
window
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN99104925A
Other languages
English (en)
Other versions
CN1127132C (zh
Inventor
拉比尔·伊斯拉姆
阿乌格里诺斯·V·格拉特斯
克文·卢卡斯
斯坦利·M·费里皮克
拉纳思·温卡特拉曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Publication of CN1232291A publication Critical patent/CN1232291A/zh
Application granted granted Critical
Publication of CN1127132C publication Critical patent/CN1127132C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

在一个实施例中,用在半导体衬底(10)上淀积介电层(28)的方法制作铜互连结构。然后对此介电层(28)进行图形化以形成互连窗口(29)。再在互连窗口(29)中制作铜层(34)。然后清除一部分铜层(34)以便在互连窗口(29)中形成铜互连(39)。再在铜互连(39)上制作铜势垒层(40)。借助于将铜互连(39)的暴露表面暴露于只用氨作为源气体而产生的等离子体,改善了铜势垒层(40)与铜互连(39)之间的粘附性。

Description

铜互连结构及其制作方法
本发明一般涉及到半导体器件,更具体地说是涉及到半导体器件中的铜互连结构及其制作方法。
在半导体工业中,通常是用铝制作导电互连。但为了满足新型半导体器件对速度的要求,需要电阻比铝更低的导电互连。由于铜的电阻比较低,最近已提出用铜来代替传统的铝互连。铜不象铝,在目前用来制造半导体器件的很多材料中,铜是非常容易迁移的。因此,在半导体器件中使用铜互连时,要求使用铜的势垒层以防止半导体器件中不希望有的铜扩散。然而,势垒层对铜互连的粘附性是成问题的,常常引起半导体器件失效。因此,需要有一种合金化工艺能够使半导体器件更可靠地用铜互连加以制造。
图1-7剖面图示出了根据本发明实施例的工艺步骤。
图1所示是根据本发明实施例的半导体器件结构部分5。此半导体器件结构包含半导体衬底10、场隔离区12、晶体管14、导电塞24、介电层22、腐蚀停止层26和介电层28。晶体管14包含源/漏区16、栅介电层18和栅电极20。在一个实施例中,半导体衬底10是单晶硅衬底。作为变通,半导体衬底10可以是绝缘体上硅衬底、蓝宝石上硅衬底之类。
在一个实施例中,场隔离区12是用常规腐蚀和化学机械抛光技术制作的沟槽隔离区,作为变通,场隔离区12可以是用诸如硅的局部氧化(LOCOS)、多晶缓冲LOCOS(PBL)、多晶硅包封局部氧化(PELOX)之类的常规技术制作的场氧化区。
在一个实施例中,栅介电层18是用对半导体衬底10的一部分进行热氧化而制作的热氧化硅层。作为变通,栅介电层18可以是氮化硅层、氮氧化硅层、化学汽相淀积的二氧化硅层、氮化氧化物层、或它们的组合。
在一个实施例中,栅电极20是多晶硅层。作为变通,栅电极20可以是钨或钼之类的金属层、氮化钛或氮化钨之类的金属氮化物层、或它们的组合。此外,栅电极20可以是多晶硅层上的多硅化物(polycide)层,包含诸如硅化钨、硅化钛或硅化钴之类的金属硅化物层。
在一个实施例中,介电层22是用TEOS作为源气体制作的等离子体淀积的氧化物层。作为变通,介电层22可以是氮化硅层、PSG层、BPSG层、SOG层、氮氧化硅层、聚酰亚胺层、或它们的组合。
在一个实施例中,用钛/氮化钛势垒层和钨接触填充来制作导电塞24。在淀积钨部分之后,用常规腐蚀或化学机械抛光技术清除下方的钛/氮化钛势垒层以形成导电塞24。作为变通,可以用多晶硅作为接触填充材料来制作导电塞24。
在一个实施例中,腐蚀停止层26是用常规等离子体淀积技术制作的氮氧化硅层。作为变通,腐蚀停止层26可以是等离子体淀积的氮化硅层、氮化硼层等。
在一个实施例中,介电层28是用TEOS作为源气体制作的等离子体淀积的氧化物层。作为变通,介电层28可以是氮化硅层、PSG层、BPSG层、SOG层、氮氧化硅层、聚酰亚胺层等等。此外,上述各个介电材料的组合也可以用来制作介电层28。
在图2中,清除了部分介电层28和部分腐蚀停止层26,以便暴露部分导电塞24并制作互连窗口29。然后在互连窗口29中制作导电势垒层30。在一个实施例中,导电势垒层30是氮化钽层。作为变通,导电势垒层30可以是氮化钛层、氮化钨层、氮化钽硅层、钽层、钛钨层(TiW)等等。可以用常规溅射或化学汽相淀积技术来淀积导电势垒层30。
然后在导电势垒层30上制作第一铜层32。如图2所示,第一铜层32的厚度不足以填充互连窗口29。在一个实施例中,用溅射淀积工艺来淀积第一铜层32。作为变通,可以用化学汽相淀积工艺来制作第一铜层32。
然后,用电镀工艺在第一铜层32上制作第二铜层34。如图2所示,第二铜层的厚度足以填充互连窗口29。在一个实施例中,用含有铜(Cu)、硫酸铜(Cu2SO4)、硫酸(H2SO4)、和诸如来自盐酸(HCl)的氯离子的电解液来淀积第二铜层34。在此特定的实施例中,如授予本申请受让人的美国专利申请08/856459所述,为了改善铜电镀的均匀性,在铜电镀过程中,对半导体衬底边沿附近的电流密度进行了修正。此处将美国专利申请08/856459的主要内容列为参考。作为变通,可以用其他电镀技术和其他电镀溶液来制作第二铜层34。此外,可以用诸如化学汽相淀积之类的其他技术来制作第二铜层34。
在图3中,为了在互连窗口29中制作铜互连39,清除了部分第二铜层34、第一铜层32和导电势垒层30,其中铜互连39包含导电势垒层30的剩余部分36、第一铜层32的剩余部分37和第二铜层34的剩余部分38。在导电势垒层30包含钛、钨或钽的特定实施例中,可以如授予本申请受让人的美国专利申请08/954190所述,用使用含有过氧化氢、柠檬酸铵、氧化铝、1,2,4-三唑和去离子水的抛光胶的化学机械抛光工艺来制作铜互连39。此处将美国专利申请08/954190的主要内容列为参考。作为变通,可以用诸如离子束研磨、反应离子束刻蚀和等离子体腐蚀之类的常规技术,或用腐蚀与抛光技术的组合来制作铜互连39。
然后在铜互连39上制作含有硅和氮的铜势垒层40。铜势垒层40被用来防止铜互连39中的铜原子扩散进入随后淀积在铜互连39上的介电层中。在一个实施例中,铜势垒层40对365nm或更短的光刻胶曝光波长的吸收系数(k)在大约0.0-0.15的范围内。更具体地说,铜势垒层40在365nm和248nm的光刻胶曝光波长下的吸收系数(k)在大约0.0-0.15的范围内。
为了改善铜互连39与铜势垒层40之间的粘附性,铜互连39被暴露于含氢的无硅的等离子体中。用馈送到等离子体室的一种或多种无硅源气体来产生含氢的无硅等离子体。例如,在一个实施例中,只用氨(NH3)作为产生主要由氢和氮组成的等离子体的源气体来产生含氢的无硅等离子体。在此特定的实施例中,主要由氢和氮组成的等离子体在下列条件下产生:淀积压力约为8.0乇;氨的流速约为400sccm;射频功率约为200W;间距约为650密尔;而淀积温度约为400℃。作为变通,可以只用氢(H2)作为源气体,或用氢与诸如氮(N2)、氦(He)或氩(Ar)之类的惰性源气体的组合来产生含氢的无硅等离子体。据信,等离子体工艺从铜互连39的暴露表面清除了能够使铜势垒层40与铜互连39之间的粘附性变差的氧化铜。具体地说,据信等离子体中的氢与铜互连表面上的氧化铜反应,形成挥发性水而被抽走,而等离子体中的氮借助于撞击铜表面而有助于氧化铜的还原。此外,借助于在与随后淀积铜势垒层相同的工作室中执行清洗工序,清洗过的铜表面在淀积之前不再暴露于空气因而不再被氧化。必须指出的是,上述的等离子体工序改善了粘附性而不使铜互连的电阻变差,也不使相邻铜互连之间的漏电流变大。
在一个实施例中,铜势垒层40是氮氧化硅(SixOyNz)层。在此特定的实施例中,在安装有DXZ工作室的Applied Materials Centure等离子体淀积系统中,采用下列淀积条件来制作铜势垒层40:淀积压力约为5.0乇;硅烷流速约为73sccm;笑气流速约为92sccm;氮气流速约为3900sccm;射频功率约为500W;间距约为475密尔;淀积温度约为400℃。在约为365nm的光刻胶曝光波长下,上述氮氧化硅层的折射率约为1.66而吸收系数约为0.0。
在一个变通实施例中,铜势垒层40是等离子体淀积的氮化硅(SixNy)。在此特定的实施例中,在安装有DXZ工作室的AppliedMaterials Centure等离子体淀积系统中,采用下列淀积条件来制作铜势垒层40:淀积压力约为5.0乇;硅烷流速约为100sccm;氨流速约为140sccm;氮气流速约为4000sccm;射频功率约为450W;间距约为610密尔;淀积温度约为400℃。在约为365nm的光刻胶曝光波长下,上述氮化硅层的折射率约为2.05而吸收系数约为0.0。
必须指出的是,由于粘附于铜互连39,且由于对铜互连39的电阻或相邻的镶嵌铜互连之间的漏电流没有不利的影响,故铜势垒层40可以与镶嵌的铜金属化可靠地集成。具体地说,已经发现,在相距约为2400埃的镶嵌铜互连之间的漏电流,在被铜势垒层40覆盖时,小于1毫微安。这样,本发明也使得能够用铜互连来制造漏电流小的半导体器件。
在一个实施例中,在临近铜势垒层40处制作含硅和氮的抗反射层41。抗反射层的厚度范围为大约5nm到大约100nm。抗反射层41对365nm或更短的光刻胶曝光波长的吸收系数(k)在大约0.2-1.0的范围内。具体地说,抗反射层41在365nm和248nm的光刻胶曝光波长下的吸收系数(k)在大约0.2-1.0的范围内。于是,对于相同的光刻胶曝光波长,铜势垒层40的吸收系数就小于抗反射层41的吸收系数。
在一个实施例中,抗反射层41是氮氧化硅(SixOyNz)层。在此特定的实施例中,在安装有DXZ工作室的Applied Materials Centure等离子体淀积系统中,采用下列淀积条件来制作抗反射层41:淀积压力约为5.0乇;硅烷流速约为300sccm;笑气流速约为92sccm;氮气流速约为3900sccm;射频功率约为520W;间距约为475密尔;淀积温度约为400℃。在约为365nm的光刻胶曝光波长下,上述氮氧化硅层的折射率约为2.8而吸收系数约为0.3。
应该理解的是,借助于调整各自的淀积工艺,可以分别剪裁铜势垒层40和抗反射层41的光学性能。例如,若将上面讨论的用来淀积抗反射层41的硅烷的流速改变成约为330sccm,则在约为365nm的光刻胶曝光波长下,氮氧化硅层的吸收系数约为0.40。由于较高的硅烷流速提高了氮氧化硅层中的硅浓度,故抗反射层41的吸收系数增大。同样,降低硅烷流速会降低氮氧化硅层中的硅浓度,从而降低吸收系数。因此,能够独立地剪裁抗反射层41和铜势垒层40的光学性能。例如,能够将铜势垒层40制成其硅浓度低于抗反射层41的硅浓度,从而对于相同的光刻胶曝光波长,铜势垒层40可以具有比抗反射层41更小的吸收系数。此外,应该指出的是,硅、氧和氮之外的组分可以包括在用来制作铜势垒层40和抗反射层41的氮氧化硅层中。而且,硅和氮之外的组分可以包括在用来制作铜势垒层40的氮化硅层中。例如,在这些氮化物层中可以有氢。
在抗反射层41上制作层间介电层48。在一个实施例中,如图4所示,层间介电层48包含介电层42、腐蚀停止层44和介电层46。
介电层42可以是用TEOS作为源气体淀积的等离子体淀积氧化物层。作为变通,介电层42可以是PSG层、BPSG层、SOG层、聚酰亚胺层、低介电常数绝缘体等等。
腐蚀停止层44可以是等离子体淀积的氮氧化硅层。作为变通,腐蚀停止层44可以是等离子体淀积的氮化硅层、氮化硼层等等。
介电层46可以是用TEOS作为源气体制作的等离子体淀积的氧化物层。作为变通,介电层46可以是PSG层、BPSG层、SOG层、聚酰亚胺层、低介电常数绝缘体等等。应该理解的是,层间介电层48不必用不同的介电材料来制作。例如,可以用诸如等离子体淀积的氧化物、PSG、BPSG、SOG、聚酰亚胺、低介电常数绝缘体之类的单一介电材料来制作层间介电层48。
然后在介电层48上制作光刻胶掩模51。用具有选定的光刻胶曝光波长,例如365nm或248nm的电磁辐照来制作光刻胶掩模51。需要指出的是,抗反射层41降低了光刻胶掩模51中在高反射性铜互连39上的光刻胶被光刻曝光时能够出现的反射切口。然后如图5所示,用光刻胶掩模51来使部分下方介电层48图形化。更具体地说,部分介电层46和腐蚀停止层44被清除,以便在介电层48中形成互连窗口52。在形成互连窗口52之后,清除光刻胶掩模51。
在图6中,在介电层48上制作光刻胶掩模53。用具有选定的光刻胶曝光波长(例如365nm或248nm)的电磁辐照来制作光刻胶掩模53。需要指出的是,抗反射层41降低了光刻胶掩模53中在高反射性铜互连39上的光刻胶被光刻曝光时能够出现的反射切口。然后如图6所示,用光刻胶掩模53来使部分下方介电层48图形化。更具体地说,部分介电层42、抗反射层41和铜势垒层40被清除,以便形成暴露部分铜互连39的通道窗口54。这也导致在介电层48中形成双重镶嵌窗口50,其中双重镶嵌窗口50包含互连部分52和通道部分54。在介电层48中制作双重镶嵌窗口50之后,清除光刻胶掩模53。
然后,在图7中,在双重镶嵌窗口50中制作第二导电势垒层。在一个实施例中,第二导电势垒层是氮化钽层。作为变通,第二导电势垒层可以是氮化钛层、氮化钨层、氮化钽硅层、钽层、钛钨层(TiW)等等。可以用常规溅射或化学汽相淀积技术来淀积第二导电势垒层。
然后,在第二导电势垒层上制作第三铜层。如图7所示,第三铜层的厚度不足以填充双重镶嵌窗口50。在一个实施例中,用溅射淀积工艺来制作第三铜层。作为变通,可以用化学汽相淀积工艺来制作第三铜层。
然后用电镀工艺来制作第三铜层上的第四铜层。第四铜层的厚度足以填充双重镶嵌窗口50。在一个实施例中,用含有铜(Cu)、硫酸铜(Cu2SO4)、硫酸(H2SO4)、和诸如来自盐酸(HCl)的氯离子的电解液来淀积第四铜层。在此特定的实施例中,如授予本申请受让人的美国专利申请08/856459所述,为了改善铜电镀的均匀性,在铜电镀过程中,对半导体衬底边沿附近的电流密度进行了修正。此处将美国专利申请08/856459的主要内容列为参考。作为变通,可以用其他电镀技术和其他电镀溶液来制作第四铜层。此外,可以用诸如化学汽相淀积之类的其他技术来制作第四铜层。
为了在双重镶嵌窗口50中制作铜互连62,清除部分第四铜层、第三铜层和第二导电势垒层,其中铜互连62包含第二导电势垒层的剩余部分57、第三铜层的剩余部分59和第四铜层的剩余部分60。在第二导电势垒层包含钛、钨或钽的特定实施例中,可以如授予本申请受让人的美国专利申请08/954190所述,用使用含有过氧化氢、柠檬酸铵、氧化铝、l,2,4-三唑和去离子水的抛光胶的化学机械抛光工艺来制作铜互连62。此处将美国专利申请08/954190的主要内容列为参考。作为变通,可以用诸如离子束研磨、反应离子束刻蚀和等离子体腐蚀之类的常规技术,或用腐蚀与抛光技术的组合来制作铜互连62。
然后,如前面图3所述,在铜互连62上制作含有硅和氮的铜势垒层64。若不需要更多的互连层,则铜势垒层64用作器件的最后钝化层,并且通过它随后制作键合焊点窗口(未示出)。作为变通,若需要更多的互连层,则重复图3到图7所述的步骤。
于是,根据本发明,显然已经提供了一种能够用铜互连可靠地制造半导体器件的合金化工艺。虽然参照具体的实施例已经描述了本发明,但不意味着本发明局限于这些实施例。本技术领域熟练人员知道,可以作出各种修正和改变而不超越本发明的构思与范围。因此,本发明包含所附权利要求范围内的所有改变和修正。

Claims (5)

1.一种在半导体器件中制作铜互连结构的方法,其特征是下列步骤:
提供半导体衬底;
在半导体衬底上制作介电层;
对介电层进行图形化,以便在介电层中制作窗口;
在半导体衬底上制作铜层,此铜层位于窗口中;
对铜层进行抛光,以便在窗口中制作铜互连,此铜互连具有上表面;
将铜互连暴露于以氢为特征的等离子体,以便从铜互连的上表面清除氧化铜并形成清洁的铜表面;以及
在清洁的铜表面上制作铜势垒层,其中在制作铜势垒层之前,清洁的铜表面不再被氧化。
2.一种在半导体器件中制作铜互连结构的方法,其特征是下列步骤:
提供半导体衬底;
在半导体衬底上制作介电层;
对介电层进行图形化,以便在介电层中制作窗口;
在半导体衬底上制作铜层,此铜层位于窗口中;
对铜层进行抛光,以便在窗口中制作铜互连,此铜互连具有上表面;
从铜互连的上表面清除氧化铜以形成清洁的铜表面;以及
在清洁的铜表面上制作铜势垒层。
3.一种在半导体器件中制作铜互连结构的方法,其特征是下列步骤:
提供半导体衬底;
在半导体衬底上制作介电层;
对介电层进行图形化,以便在介电层中制作窗口;
在半导体衬底上制作铜层,此铜层位于窗口中;
对铜层进行抛光,以便在窗口中制作铜互连,此铜互连具有上表面;
将铜互连暴露于以氢和氮为特征的等离子体,以便从铜互连的上表面清除氧化铜并形成清洁的铜表面;以及
在清洁的铜表面上制作铜势垒层,其中在制作铜势垒层之前,清洁的铜表面不再被氧化。
4.一种在半导体器件中制作铜互连结构的方法,其特征是下列步骤:
提供半导体衬底;
在半导体衬底上制作介电层;
对介电层进行图形化,以便在介电层中制作窗口;
在半导体衬底上制作铜层,此铜层位于窗口中;
对铜层进行抛光,以便在窗口中制作铜互连,此铜互连具有上表面;
将铜互连暴露于以氢为特征的无硅等离子体,以便从铜互连的上表面清除氧化铜并形成清洁的铜表面;以及
在清洁的铜表面上制作铜势垒层,其中暴露铜互连的步骤和制作铜势垒层的步骤在同一个工作室中进行。
5.一种在半导体器件中制作铜互连结构的方法,其特征是下列步骤:
提供半导体衬底;
在半导体衬底上制作介电层;
对介电层进行图形化,以便在介电层中制作窗口;
在半导体衬底上制作铜层,此铜层位于窗口中;
对铜层进行抛光,以便在窗口中制作铜互连,此铜互连具有上表面;
将铜互连暴露于以氢和氮为特征的等离子体,以便从铜互连的上表面清除氧化铜并形成清洁的铜表面;以及
在清洁的铜表面上制作以硅和氮为特征的铜势垒层,其中暴露铜互连的步骤和制作铜势垒层的步骤在同一个工作室中进行。
CN99104925A 1998-04-06 1999-04-06 铜互连结构及其制作方法 Expired - Fee Related CN1127132C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/055,510 US6174810B1 (en) 1998-04-06 1998-04-06 Copper interconnect structure and method of formation
US055510 1998-04-06

Publications (2)

Publication Number Publication Date
CN1232291A true CN1232291A (zh) 1999-10-20
CN1127132C CN1127132C (zh) 2003-11-05

Family

ID=21998333

Family Applications (1)

Application Number Title Priority Date Filing Date
CN99104925A Expired - Fee Related CN1127132C (zh) 1998-04-06 1999-04-06 铜互连结构及其制作方法

Country Status (6)

Country Link
US (1) US6174810B1 (zh)
JP (1) JP4302231B2 (zh)
KR (1) KR100531561B1 (zh)
CN (1) CN1127132C (zh)
SG (1) SG74130A1 (zh)
TW (1) TW418495B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100392853C (zh) * 2003-08-04 2008-06-04 三星电子株式会社 无孔隙金属互连结构及其形成方法
US7419847B2 (en) 2004-12-29 2008-09-02 Dongbu Electronics Co., Ltd. Method for forming metal interconnection of semiconductor device
CN100490092C (zh) * 2005-03-14 2009-05-20 株式会社爱发科 选择性W-CVD法及Cu多层布线制作法
US7795131B2 (en) 2006-12-15 2010-09-14 Touch Micro-System Technology Inc. Method of fabricating metal interconnects and inter-metal dielectric layer thereof
CN101123210B (zh) * 2006-08-10 2013-04-17 中芯国际集成电路制造(上海)有限公司 金属互连层的制造方法

Families Citing this family (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6492266B1 (en) * 1998-07-09 2002-12-10 Advanced Micro Devices, Inc. Method of forming reliable capped copper interconnects
JP4014738B2 (ja) * 1998-09-15 2007-11-28 株式会社東芝 半導体ウェーハの製造方法
JP2000150647A (ja) * 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US7405149B1 (en) * 1998-12-21 2008-07-29 Megica Corporation Post passivation method for semiconductor chip or wafer
US7381642B2 (en) * 2004-09-23 2008-06-03 Megica Corporation Top layers of metal for integrated circuits
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US6107188A (en) * 1999-08-16 2000-08-22 Taiwan Semiconductor Manufacturing Company Passivation method for copper process
US6423625B1 (en) * 1999-08-30 2002-07-23 Taiwan Semiconductor Manufacturing Company Ltd. Method of improving the bondability between Au wires and Cu bonding pads
US6734110B1 (en) * 1999-10-14 2004-05-11 Taiwan Semiconductor Manufacturing Company Damascene method employing composite etch stop layer
JP3348785B2 (ja) 1999-11-25 2002-11-20 日本電気株式会社 半導体装置及びその製造方法
JP2001176967A (ja) * 1999-12-21 2001-06-29 Nec Corp 半導体装置及びその製造方法
US6383925B1 (en) * 2000-02-04 2002-05-07 Advanced Micro Devices, Inc. Method of improving adhesion of capping layers to cooper interconnects
US6492267B1 (en) * 2000-02-11 2002-12-10 Micron Technology, Inc. Low temperature nitride used as Cu barrier layer
US6613671B1 (en) * 2000-03-03 2003-09-02 Micron Technology, Inc. Conductive connection forming methods, oxidation reducing methods, and integrated circuits formed thereby
US7061111B2 (en) * 2000-04-11 2006-06-13 Micron Technology, Inc. Interconnect structure for use in an integrated circuit
JP3664939B2 (ja) * 2000-04-14 2005-06-29 富士通株式会社 Cmosイメージセンサ及びその製造方法
US6287960B1 (en) * 2000-05-08 2001-09-11 Motorola, Inc. Self aligned dual inlaid patterning and etching
US6294460B1 (en) * 2000-05-31 2001-09-25 Advanced Micro Devices, Inc. Semiconductor manufacturing method using a high extinction coefficient dielectric photomask
US6348406B1 (en) * 2000-05-31 2002-02-19 Advanced Micro Devices, Inc. Method for using a low dielectric constant layer as a semiconductor anti-reflective coating
US7122900B2 (en) 2000-06-26 2006-10-17 Renesas Technology Corp. Semiconductor device and method manufacturing the same
US6576550B1 (en) * 2000-06-30 2003-06-10 Infineon, Ag ‘Via first’ dual damascene process for copper metallization
US6596631B1 (en) * 2000-07-26 2003-07-22 Advanced Micro Devices, Inc. Method of forming copper interconnect capping layers with improved interface and adhesion
US6689682B1 (en) * 2000-08-11 2004-02-10 Advanced Micro Devices, Inc. Multilayer anti-reflective coating for semiconductor lithography
JP2002110679A (ja) 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
US6348410B1 (en) * 2000-11-02 2002-02-19 Advanced Micro Devices, Inc. Low temperature hillock suppression method in integrated circuit interconnects
US6500754B1 (en) * 2000-11-02 2002-12-31 Advanced Micro Devices, Inc. Anneal hillock suppression method in integrated circuit interconnects
DE10059143B4 (de) * 2000-11-29 2006-12-28 Advanced Micro Devices, Inc., Sunnyvale Oberflächenbehandlungs- und Deckschichtverfahren zur Herstellung einer Kupfergrenzfläche in einem Halbleiterbauteil
JP2002198370A (ja) * 2000-12-26 2002-07-12 Mitsubishi Electric Corp 半導体装置および半導体装置の製造方法
US7172960B2 (en) * 2000-12-27 2007-02-06 Intel Corporation Multi-layer film stack for extinction of substrate reflections during patterning
US6576982B1 (en) * 2001-02-06 2003-06-10 Advanced Micro Devices, Inc. Use of sion for preventing copper contamination of dielectric layer
JP4535629B2 (ja) * 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6432822B1 (en) * 2001-05-02 2002-08-13 Advanced Micro Devices, Inc. Method of improving electromigration resistance of capped Cu
US6562416B2 (en) * 2001-05-02 2003-05-13 Advanced Micro Devices, Inc. Method of forming low resistance vias
JP5023413B2 (ja) * 2001-05-11 2012-09-12 ソニー株式会社 半導体装置およびその製造方法
JP2003017564A (ja) * 2001-07-04 2003-01-17 Fujitsu Ltd 半導体装置およびその製造方法
US6429128B1 (en) * 2001-07-12 2002-08-06 Advanced Micro Devices, Inc. Method of forming nitride capped Cu lines with reduced electromigration along the Cu/nitride interface
JP2003068848A (ja) * 2001-08-29 2003-03-07 Fujitsu Ltd 半導体装置及びその製造方法
US6727176B2 (en) * 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
CN1220258C (zh) * 2001-12-27 2005-09-21 松下电器产业株式会社 布线结构的形成方法
CN1220259C (zh) * 2001-12-27 2005-09-21 松下电器产业株式会社 布线结构的形成方法
CN1198331C (zh) * 2001-12-27 2005-04-20 松下电器产业株式会社 布线结构的形成方法
CN1207773C (zh) * 2001-12-27 2005-06-22 松下电器产业株式会社 布线结构的形成方法
US20030134495A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Integration scheme for advanced BEOL metallization including low-k cap layer and method thereof
US6709971B2 (en) 2002-01-30 2004-03-23 Intel Corporation Interconnect structures in a semiconductor device and processes of formation
US6656840B2 (en) * 2002-04-29 2003-12-02 Applied Materials Inc. Method for forming silicon containing layers on a substrate
US7687917B2 (en) 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
JP5117755B2 (ja) * 2002-05-08 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置
JP2003347299A (ja) * 2002-05-24 2003-12-05 Renesas Technology Corp 半導体集積回路装置の製造方法
KR100904613B1 (ko) * 2002-12-23 2009-06-25 매그나칩 반도체 유한회사 구리 배선의 캐핑층 형성 방법
US7056826B2 (en) * 2003-01-07 2006-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming copper interconnects
KR100508094B1 (ko) * 2003-06-26 2005-08-17 삼성전자주식회사 커패시터를 구비하는 반도체 소자 및 그 형성 방법
US7078336B2 (en) * 2003-11-19 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabricating a copper barrier layer with low dielectric constant and leakage current
US7074701B2 (en) * 2003-11-21 2006-07-11 Taiwan Semiconductor Manufacturing Company Method of forming a borderless contact opening featuring a composite tri-layer etch stop material
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
JP4339152B2 (ja) * 2004-03-08 2009-10-07 富士通マイクロエレクトロニクス株式会社 配線構造の形成方法
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7192855B2 (en) * 2005-04-15 2007-03-20 Freescale Semiconductor, Inc. PECVD nitride film
JP4535505B2 (ja) * 2006-02-10 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
TWI340427B (en) * 2007-02-06 2011-04-11 Touch Micro System Tech Method of fabricating micro mechanical moving member and metal interconnects thereof
US7863196B2 (en) * 2007-05-10 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned dielectric cap
DE102007057686B4 (de) * 2007-11-30 2011-07-28 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Verfahren und Halbleiterbauelement mit einer Schutzschicht zum Reduzieren der Verspannungsrelaxation in einer Doppelverspannungsbeschichtungstechnik
JP5135002B2 (ja) * 2008-02-28 2013-01-30 ルネサスエレクトロニクス株式会社 半導体装置
US20100240220A1 (en) * 2009-03-20 2010-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Process for stripping photoresist and removing dielectric liner
WO2010143609A1 (ja) * 2009-06-12 2010-12-16 株式会社アルバック 電子装置の形成方法、電子装置、半導体装置及びトランジスタ
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN104241194B (zh) * 2013-06-20 2017-10-27 中芯国际集成电路制造(上海)有限公司 半导体互连结构及其制作方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) * 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11705414B2 (en) * 2017-10-05 2023-07-18 Texas Instruments Incorporated Structure and method for semiconductor packaging
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS596540A (ja) 1982-07-05 1984-01-13 Toshiba Corp 半導体装置の製造方法
JP2544396B2 (ja) * 1987-08-25 1996-10-16 株式会社日立製作所 半導体集積回路装置の製造方法
JP2839579B2 (ja) * 1989-10-02 1998-12-16 株式会社東芝 半導体装置及びその製造方法
US5677111A (en) * 1991-12-20 1997-10-14 Sony Corporation Process for production of micropattern utilizing antireflection film
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
TW363146B (en) 1992-08-20 1999-07-01 Sony Corp An anti-reflective layer and a method of forming a photoresist pattern
JP3342164B2 (ja) 1993-04-16 2002-11-05 三菱電機株式会社 半導体装置およびその製造方法
US5378659A (en) 1993-07-06 1995-01-03 Motorola Inc. Method and structure for forming an integrated circuit pattern on a semiconductor substrate
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
KR100366910B1 (ko) * 1994-04-05 2003-03-04 소니 가부시끼 가이샤 반도체장치의제조방법
US5744376A (en) * 1996-04-08 1998-04-28 Chartered Semiconductor Manufacturing Pte, Ltd Method of manufacturing copper interconnect with top barrier layer
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6071813A (en) * 1997-10-20 2000-06-06 Advanced Micro Devices, Inc. Method and system for electrical coupling to copper interconnects

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100392853C (zh) * 2003-08-04 2008-06-04 三星电子株式会社 无孔隙金属互连结构及其形成方法
US7419847B2 (en) 2004-12-29 2008-09-02 Dongbu Electronics Co., Ltd. Method for forming metal interconnection of semiconductor device
CN100490092C (zh) * 2005-03-14 2009-05-20 株式会社爱发科 选择性W-CVD法及Cu多层布线制作法
CN101123210B (zh) * 2006-08-10 2013-04-17 中芯国际集成电路制造(上海)有限公司 金属互连层的制造方法
US7795131B2 (en) 2006-12-15 2010-09-14 Touch Micro-System Technology Inc. Method of fabricating metal interconnects and inter-metal dielectric layer thereof

Also Published As

Publication number Publication date
US6174810B1 (en) 2001-01-16
CN1127132C (zh) 2003-11-05
SG74130A1 (en) 2000-07-18
JPH11330246A (ja) 1999-11-30
KR100531561B1 (ko) 2005-11-29
KR19990082886A (ko) 1999-11-25
TW418495B (en) 2001-01-11
JP4302231B2 (ja) 2009-07-22

Similar Documents

Publication Publication Date Title
CN1127132C (zh) 铜互连结构及其制作方法
US6461675B2 (en) Method for forming a copper film on a substrate
US5572072A (en) Semiconductor device having a multi-layer metallization structure
US5670421A (en) Process for forming multilayer wiring
KR0184279B1 (ko) 금속 또는 금속실리사이드막의 형성방법
US6255733B1 (en) Metal-alloy interconnections for integrated circuits
US4732801A (en) Graded oxide/nitride via structure and method of fabrication therefor
US6522013B1 (en) Punch-through via with conformal barrier liner
JP3325720B2 (ja) 半導体装置とその製造方法
US6274932B1 (en) Semiconductor device having metal interconnection comprising metal silicide and four conductive layers
KR100421826B1 (ko) 반도체 장치 및 그 제조방법
US6709971B2 (en) Interconnect structures in a semiconductor device and processes of formation
US6337290B1 (en) Semiconductor device having fluorine-added carbon dielectric film and method of fabricating the same
JPH09321045A (ja) 半導体装置およびその製造方法
KR100365061B1 (ko) 반도체소자및반도체소자제조방법
Gonohe Tungsten Nitride Deposition by Thermal Chemical Vapor Deposition as Barrier Metal for Cu Interconnection
JPH0922907A (ja) 埋め込み導電層の形成方法
KR100289515B1 (ko) 베리어 메탈층 및 그 형성방법
US7642655B2 (en) Semiconductor device and method of manufacture thereof
KR100399066B1 (ko) 반도체소자의 알루미늄 합금 박막 제조 방법
US6309963B1 (en) Method for manufacturing semiconductor device
KR100286253B1 (ko) 질소플라즈마를 이용한 선택적 금속박막 증착방법 및 그를 이용한 다층금속 연결배선 방법
KR100272859B1 (ko) 반도체 소자의 금속 배선 및 그 제조 방법
JPH11354470A (ja) バリヤメタル層及びその形成方法
TW452921B (en) Method for forming etching stop layer in dual damascene processing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: FREEDOM SEMICONDUCTORS CO.

Free format text: FORMER OWNER: MOTOROLA, INC.

Effective date: 20040813

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20040813

Address after: Texas in the United States

Patentee after: FreeScale Semiconductor

Address before: Illinois Instrunment

Patentee before: Motorola, Inc.

C56 Change in the name or address of the patentee

Owner name: FISICAL SEMICONDUCTOR INC.

Free format text: FORMER NAME: FREEDOM SEMICONDUCTOR CORP.

CP01 Change in the name or title of a patent holder

Address after: Texas in the United States

Patentee after: FREESCALE SEMICONDUCTOR, Inc.

Address before: Texas in the United States

Patentee before: FreeScale Semiconductor

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20031105

Termination date: 20150406

EXPY Termination of patent right or utility model