CN1249786C - 用于工件的等离子体清洗的方法和装置 - Google Patents

用于工件的等离子体清洗的方法和装置 Download PDF

Info

Publication number
CN1249786C
CN1249786C CNB018064345A CN01806434A CN1249786C CN 1249786 C CN1249786 C CN 1249786C CN B018064345 A CNB018064345 A CN B018064345A CN 01806434 A CN01806434 A CN 01806434A CN 1249786 C CN1249786 C CN 1249786C
Authority
CN
China
Prior art keywords
workpiece
chamber
plasma
interior zone
plasma cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB018064345A
Other languages
English (en)
Other versions
CN1423833A (zh
Inventor
柳连俊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1423833A publication Critical patent/CN1423833A/zh
Application granted granted Critical
Publication of CN1249786C publication Critical patent/CN1249786C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

一种在有内部区域(30)的等离子体清洁室(20)中对工件(W)进行等离子体清洁的方法和装置。该方法的第一步骤是将工件装入等离子体室的内部区域。下一步骤是将等离子体清洁室的内部区域抽真空至预定压力,并以氢气作为环境气体。下一步骤是由氢气形成离子密度为1010至1013m-3范围且离子能量低于30eV的等离子体。最后步骤是将工件暴露在等离子体中预定时间。本发明的装置优选是包括第一和第二真空处理室(20和120),其中,该第一室根据本发明方法进行工件的等离子体清洁,而该第二室执行另外的处理步骤,例如沉积金属。

Description

用于工件的等离子体清洗的方法和装置
本发明要求申请日为2000年4月25日的美国临时申请No.60/199354的优先权。
技术领域
本发明涉及工件的预加工,尤其是涉及利用基于氢的等离子体对工件表面的清洗。
背景技术
在半导体装置例如集成电路(IC)的制造中,一个基本步骤是形成电互连或“触点”的步骤。形成低电阻触点涉及以下步骤:提供半导体工件,例如硅片;清洁工件表面;将金属例如钛选择沉积在表面上;以及使金属热退火。当金属是钛时,退火处理导致形成硅化钛,这将消耗一些底层的硅。
不幸的是,当最小电路尺寸减小时,利用金属形成电触点将出现问题。这主要因为当触点的大小(即“线宽”)为1微米或更小时,金属-硅(例如硅化钛)触点的电阻将显著增加。还有问题是,当线宽尺寸小于1微米时,装置的结深(junction depth)减小到只有几百埃。因为形成硅化物将消耗一些底层硅,结深减小至几百埃意味着该结的完整性有危险。
已经提出通过采用金属钴来作为解决关于基于钛的触点的上述问题,并用在0.25微米以下的制造方法中。不过,在形成触点时采用钴引起了附加问题。例如,钴不会与氧化硅或其它任何类似的表面污染物反应,该表面污染物例如水和C-F聚合物。因此,在进行钴沉积之前,晶片表面必须远比其它金属-硅触点所要求的更清洁,该其它金属-硅例如硅化钛。
目前,在半导体的制造中采用两种技术来在用钴形成触点之前清洁工件表面。一种方法是以各种化学溶剂清洁晶片,包括通过氟化氢(HF)浸泡来清洁该晶片的最后步骤。尽管该方法对于很多清洁处理都有效(尤其是该处理涉及0.5微米技术或更高),但是HF对于0.25微米技术并不足够可靠。而且,该化学药品明显对操作者和技术人员的健康有害。而且,进行处理的工件必须从HF浸泡槽传送到沉积反应器。在该传送步骤中,工件暴露在空气中,空气将氧化暴露表面,从而降低装置性能和减小耐处理性。
用于在钴沉积之前清洁工件表面的第二种方法涉及用氩离子对工件表面进行喷溅。为了能有效,离子的能量必须合适高。不幸的是,采用该高能离子将产生问题。例如,在这样的高能量下进行喷溅可能导致氩包含到硅中,该离子可能导致产生几百埃深的晶体缺陷。其它问题包括硅自身的腐蚀、溅开材料的重新沉积和表面污染物渗透到硅内部。
已经提出采用氢等离子体作为一种清洁表面的方法。因为氢等离子体的化学副产品基本为气体,清洁处理将非常有效。不过,当对用氢等离子体清洁晶片进行研究时,出现了几个问题。例如,当采用平行板反应离子蚀刻(RIE)系统时,由于在等离子体源中产生的高离子能,导致严重的硅腐蚀和使氢扩散到硅内部。当采用微波激励下游等离子体时,由于氢原子团能量较低和在从该源向晶片表面传送的过程中原子团浓度降低,从而导致天然氧化物和其它污染物的除去率明显减小。
发明内容
本发明涉及工件的预加工,尤其是涉及用氢基等离子体对工件表面的清洁。
本发明提供了一种在有内部区域的等离子体清洁室中对工件进行等离子体清洁的方法,包括以下步骤:将工件装入所述室的内部区域;将所述室的内部区域抽吸至1至500mTorr范围的压力,并使环境气体包括氢气;由所述环境气体形成等离子体,该等离子体包括离子反应物质,其离子密度为1010至1013cm-3且离子能量低于30eV;以及将工件暴露在所述等离子体中,以便清洗该工件。
本发明的第一方面是一种在有内部区域的等离子体清洁室中对工件进行等离子体清洁的方法。该方法的第一步骤是将工件装入等离子体室的内部区域。下一步骤是将等离子体清洁室的内部区域抽真空至预定压力,并以氢气作为环境气体。下一步骤是由氢气形成离子密度为1010至1013cm-3范围,优选是密度大于1012cm-3,且离子能量低于30eV,优选是在10至15eV范围内的等离子体。最后步骤是将工件暴露在等离子体中预定时间。
本发明的第二方面涉及上述方法,还包括以下步骤:在清洁晶片后,将工件从等离子体清洁室传送到处理室,然后对工件执行处理步骤。该处理步骤例如可以是沉积金属。
本发明的第三方面涉及一种集成工件处理装置,用于对工件进行等离子体清洁和然后处理工件。该装置包括第一真空处理室,用于利用具有高离子密度、低离子能量和低等离子体势能的等离子体来对工件进行等离子体清洁。该第一真空处理室包括安装于其中的工件支架。该装置还包括第二真空处理室,用于执行从以下组中选定的处理,该组包括:工件的CVD、PVD、喷溅和蚀刻。该第二处理室也安装有工件支架。该装置中还包括连接该第一和第二室的真空传送室。该传送室的大小为使得工件可以在室之间传递。传送室的目的是防止工件在第一真空处理室中进行清洁之后暴露于污染物(例如氧气或水蒸气等)中。
附图说明
图1是本发明的等离子体清洁系统的示意图,表示了处理室的组合使用,该处理室用于当工件在等离子体清洁室中清洁后对该工件进行处理。
图2是图1中所示的系统的等离子体清洁室的近视透视图。
具体实施方式
本发明涉及工件的预加工,尤其是涉及用氢基等离子体对工件表面进行清洁。本发明尤其适用于这样的工件预加工,其中,在制造半导体装置的处理过程中,低电阻金属硅化物触点将形成于硅工件(晶片)上。
下面参考图1,图中表示了一个等离子体清洁系统12,该等离子体清洁系统12为ESRF等离子体反应器形式(尽管也可以使用其它反应器,例如中性循环等离子体(NLP)反应器、超高频(UHF)等离子体反应器等)。系统12包括作为真空处理室的等离子体清洁室20,用于对工件W进行等离子体清洁,该工件W例如硅晶片。工件W有上表面WS。腔室20有侧壁22、上壁24和下壁26,它们封闭了一个能维持氢等离子体36的内部区域30。该氢等离子体36有较高的离子密度和较低的离子能量,产生于低电压(电势)。这样的等离子体特征将使透入工件表面WS的离子减至最少,这是本发明的关键方面。腔室20在内部区域30中包括工件支架40,该工件支架40布置为靠近下壁26,用于当工件在腔室20中进行处理时支承该工件。工件支架40优选是包括一个用于垂直运动的提升部件(未示出),以便将工件支架40定位成用于工件更换和将部件定位在相对于等离子体的最佳位置,以便进行处理。该最佳位置是能够获得可接受的清洁处理速度和清洁处理的空间均匀性的位置。
参考图2,在一个优选实施例中,等离子体清洁系统12的腔室20包括一感应线圈50,该感应线圈50缠绕在腔室侧壁22上,从而环绕内部区域30。感应线圈50可以是螺旋谐振器(即四分之一波或半波谐振器),其中,一个线圈端头50E(图1中所示)接地,相对线圈端头断开。线圈50通过匹配网络MN1与腔室RF电源60电连接。对于螺旋谐振器,匹配网络MN1将连接到大致靠近接地端头50E的分接头位置。后者用于使传递给等离子体36的RF功率最大。
接地静电屏蔽62(也称为E-屏蔽或Faraday屏蔽)在感应线圈50和腔室壁22之间,该接地静电屏蔽62包括一个接地导体板,该接地导体板有狭槽62S,各狭槽62S都有底部62B和顶部62T。狭槽62S与腔室20的旋转轴线A平行,并通常等间距。狭槽62S的宽度例如为2mm-6mm。优选是,由全部狭槽62S覆盖的总面积将为屏蔽62的总面积的0.2至5%。E-屏蔽62通过限制狭槽62S的面积而使得线圈50和等离子体36之间的耦合电容减至最小,由该线圈产生的磁场能够与等离子体耦合。
参考图1,系统12还包括工件支架RF电源70,该工件支架RF电源70通过匹配网络MN2与工件支架40电连接,以便向工件供给偏压功率。
等离子体清洁系统12还包括气源系统80,该气源系统80通过气体管道82与等离子体清洁室20气体连通。气源系统80包括一氢气源86,用于产生氢气等离子体36。优选是,只有氢气用于该清洁处理。不过,其它气体,尤其是惰性气体也可以用作稀释气体。例如,氦气可以用作稀释气体。不过,因为它的较高电离能,它可能提高电子能分布函数的“尾巴”,这不利于该处理。而且,氩气可以用作稀释气体。不过,由于它的质量,它的缺点是增大了对敏感触点表面的离子轰击。气源系统80还调节氢气流向腔室内部区域30的流量。气源系统80还使合适气体与第二处理室连接,如下面所述。
等离子体清洁系统12还包括真空泵系统90,该真空泵系统90与腔室20气体连接,以便将内部区域30抽真空到至少大约1-100mTorr。等离子体清洁系统12中还包括工件运送和机器人系统94,它将工件W送向工件支架40和将工件从工件支架40上取出。
RF电源60和70、气源系统80、真空泵系统90和工件运送和机器人系统94都与主控制系统100电连接和受该主控制系统100控制。
在优选实施例中,主控制系统100是一计算机,该计算机有:存储器MU,该存储器MU有随机存取存储器(RAM)和只读存储器(ROM);中心处理器CPU(例如Intel公司的PENTIUMTM处理器);以及硬盘HD,所有部分都电连接。硬盘HD作为第二可计算机读取的存储媒介,例如可以是用于存储信息的硬盘驱动器,该信息与控制系统184用于实现本发明的指令相对应,如下面所述。控制系统100优选是也包括磁盘驱动器DD,该磁盘驱动器DD与硬盘HD、存储器MU以及中心处理器CPU电连接,其中,该磁盘驱动器能够接受和读出(甚至写入)可计算机读取的媒介CRM,例如软盘或光盘,与控制系统100用于实现本发明的指令相对应的信息储存在该可计算机读取的媒介CRM上。还优选是,主控制系统100有数据采集和控制能力。优选的控制系统100是计算机,例如DELL PRECISIONWORKSTATION 610TM,由Dallas,Texas的Dell公司可购得。
继续参考图1,在本发明的优选实施例中,等离子体清洁系统12与工件处理系统112可操作地连通,以便使工件在等离子体清洁系统中清洁之后进行进一步处理。工件处理系统112包括处理室120,该处理室120作为第二真空处理室,用于对工件W进行所希望的处理,例如化学蒸气沉积(CVD)、物理蒸气沉积(PVD)、蚀刻或喷溅。腔室120有侧壁122、上壁124和下壁126,它们封闭内部区域130。腔室120在区域130中包括一工件支架140,该工件支架140布置成靠近下壁126,用于在对工件进行处理时支承该工件W。工件支架140包括一加热器142和与该加热器电连接的加热器电源144。工件支架140优选可进行调节,这样,工件W能处于内部区域130内的不同位置。
工件处理系统112还包括第二气源系统180,该第二气源系统180通过空气管道182与处理室120气体连接。也可选择,气源80还可用于向腔室120供给气体。还包括真空泵系统190,该真空泵系统190与腔室120气体连接,并能够产生至少大约1-100mTorr的真空。也可选择,真空泵系统90还可以与腔室120连接,并用于控制内部区域30中的压力。
加热器电源144、气源系统180和真空泵系统190也与控制系统100电连接,并由该控制系统100控制。
在本优选实施例中,系统12和112通过穿过各腔室侧壁22和122的真空传送(“封闭装入”)室150相互连接。在本优选实施例中,工件运送和机器人系统94还在腔室20和120之间运送工件W,且还在工件在腔室120中处理后将该工件从工件支架140上取下。这能够将工件W从腔室20传递给腔室120,同时不会受到环境污染。
还有,如图所示,控制系统100与各系统160a、160b、...160n电子连接,这些系统160a、160b、...160n可以是用于分段电极(未示出)的各段的普通单独电源。
在上述优选实施例中,等离子体清洁系统12和工件处理系统112的组合与附件一起构成了集成工件处理装置。系统12和112的所有单独部件和系统可以由已知的、市场可购得的部件和系统构成,或者能够根据本领域技术人员已有的知识构成。
工作方法
继续参考图1,控制系统100使得工件运送和机器人系统94将工件装在等离子体清洁室20中的工件支架40上。控制系统100再向真空系统90发送电子信号,以便对等离子体清洁室20的内部区域30抽真空。随后,控制系统100向气源系统80发出信号,以便将流量受到控制的、只包括或主要包括氢气的气体引入腔室20的内部区域30中,同时使该腔室内部保持合适压力。当腔室内部区域30达到合适压力时,控制系统100向RF电源60发出信号,以便激励气体,以便在内部区域30中形成等离子体。
优选是,用于清洁处理的气体只包括氢气。不过,其它气体,尤其是惰性气体也可以用作稀释气体,只要该气体组分不会在清洁处理过程中引入任何不希望的化学物质。例如,氦气可以用作稀释气体,尽管因为它的较高电离能,它可能引起电子能分布函数的“尾巴”,这不利于该处理。而且,氩气可以用作稀释气体,尽管由于它的质量,它的缺点是增大了对敏感触点表面的离子轰击。
如上所述,等离子体36形成为有较高的离子密度(例如从1010至1013cm-3,优选是大约1012cm-3),较低的离子能量(例如低于30eV,优选是根据RF偏压功率(bias power),大约为10至15eV)。本发明人发现,该类型的等离子体非常适于从具有重要外形例如触点的基片上清洁污染物,例如氧化物、有机残余物、蚀刻聚合物、重金属原子和水分子等。
尤其是,等离子体36以如下方式清洁工件W。如上所述,本发明的关键方面是由于采用E屏蔽62而引起的低等离子体势能。这意味着当不从工件RF电源70向工件W施加偏压时,等离子体36中到达工件的离子和电子的能量将非常低。因此,当没有通过工件RF电源70施加的外部偏压时,工件表面WS的离子轰击大幅度减小。调节施加在工件支架40上的偏压将增大到达基片表面WS的离子的动能。此外,改变由RF电源60的RF功率大小将改变等离子体36中的离子密度,同时使离子的能量保持在基本相同的水平(根据RF偏压功率,通常在大约5至30eV)。这能够用于控制等离子体36的各向异性蚀刻特征。这样,等离子体36可以调节成使“蚀刻强度”(即离子能量)-足以清洁工件表面WS,同时不会明显蚀刻该表面-与合适方向性(即各向异性蚀刻,沿垂直于工件表面的方向)有恰好平衡的组合。
涉及从形成于工件W中的高纵横比触点的底部处的敏感表面上除去污染物的化学和机械处理可以归类成等离子体增强蚀刻。在本发明的优选实施例中,利用氢的化学性质来使受到H+和H2 +离子浴的薄层污染物挥发。可用于调节该处理的主要独立处理参数包括气体种类、气体流量、腔室气体压力、RF电源和RF偏压功率(或工件保持器的峰值-峰值电压)。然后,调节上述独立处理参数,以便使离子密度和离子能量在上述范围中,其中,对它们进行微调,以便优化处理。清洁处理的工作参数的优选范围为:10至1000sccm的氢气,优选是大约200sccm;1至500mTorr的腔室压力,优选是10至100mTorr;1至5kW RF电源,优选是在50mTorr时为3kW;以及0至20伏的在工件保持器(或夹盘)上的峰值-峰值RF偏压。通常,感应线圈和夹盘偏压的RF频率都为例如13.56MHz。
在结束清洁处理时,控制系统100向晶片运送和机器人系统94发送电子信号,该晶片运送和机器人系统94响应该信号,以便将工件W从工件支架40上取下。这时,工件W能够通过真空传送室150而由晶片运送和机器人系统94传送给处理室120中的晶片支架140。在本发明的优选实施例中,工件W是形成有图案表面(例如触点区域)的半导体晶片,它已在等离子体清洁室20中进行了清洁。而且,处理室120优选是能够沉积金属层,以便在制造半导体装置的处理过程中形成低电阻金属-硅化物电连接(例如触点)。在处理室112中进行的任何操作都可以以本领域技术人员已知的方式进行。
尽管上述等离子体清洁系统12结合ESRF等离子体反应器进行介绍,但是应当知道,其它能够形成高密度、低势能的等离子体的可选系统也适于实现本发明的处理,这些可选系统包括中性循环等离子体(以线圈形式的Faraday屏蔽部分在反应器内)、超高频等离子体或感应耦合等离子体(ICP)系统。
实际上,由该详细说明可知道本发明的许多特征和优点,因此,附加的权利要求将覆盖所述处理中根据本发明的真正精神和范围的所有这些特征和优点。而且,因为本领域技术人员能够很容易地进行多种变化和改变,因此,本发明并不局限于所示和所述的确切结构和操作。而且,本发明的方法和装置与用于半导体领域的相关装置和方法一样,实际上很复杂,通常需要通过由经验确定的合适工作参数或通过计算机模拟来优化实施,以便对给定用途进行最佳设计。因此,所有合适的变化形式和等价物都将落入本发明的精神和范围内。

Claims (14)

1.一种在有内部区域的等离子体清洁室中对工件进行等离子体清洁的方法,包括以下步骤:
将工件装入所述室的内部区域;
将所述室的内部区域抽吸至1至500mTorr范围的压力,并使环境气体包括氢气;
由所述环境气体形成等离子体,该等离子体包括离子反应物质,其离子密度为1010至1013cm-3且离子能量低于30eV;以及
将工件暴露在所述等离子体中,以便清洗该工件。
2.根据权利要求1所述的方法,还包括:
将工件从等离子体清洁室传送到处理室;以及
在该处理室中对工件进行处理。
3.根据权利要求2所述的方法,其中:所述处理包括沉积金属。
4.根据权利要求1所述的方法,其中:在所述形成步骤中,离子密度为1012cm-3至1013cm-3,离子能量在10至15eV之间。
5.根据权利要求1所述的方法,其中:所述形成等离子体的步骤包括向所述室的内部区域供给在1kW至5kW之间的范围内的RF功率。
6.根据权利要求5所述的方法,其中:供给等离子体的RF功率大小为3kW,且预定压力为50mTorr。
7.根据权利要求1所述的方法,还包括:使氢气以在10至1000sccm之间的速度流入所述室的内部区域。
8.根据权利要求1所述的方法,其中:该预定压力在10至100mTorr的范围内。
9.根据权利要求1所述的方法,其中:所述装载步骤包括将工件置于工件保持器上,所述方法还包括将峰值-峰值不大于20V的RF电压施加在工件保持器上。
10.根据权利要求1所述的方法,其中:该环境气体还包括氦气和氩气中的至少一种。
11.根据权利要求1所述的方法,其中:该环境气体由氢气组成。
12.根据权利要求2所述的方法,其中,所述传送步骤包括:
通过无污染的环境来传送该工件。
13.根据权利要求2所述的方法,其中:所述处理室是喷溅室、PVD室、CVD室和蚀刻室中的一种。
14.根据权利要求1所述的方法,其中,所述等离子体从工件上除去至少一种材料,该材料从以下组的材料中选择:氧化物、水、有机残余物、蚀刻聚合物和重金属原子。
CNB018064345A 2000-04-25 2001-04-23 用于工件的等离子体清洗的方法和装置 Expired - Lifetime CN1249786C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US19935400P 2000-04-25 2000-04-25
US60/199,354 2000-04-25

Publications (2)

Publication Number Publication Date
CN1423833A CN1423833A (zh) 2003-06-11
CN1249786C true CN1249786C (zh) 2006-04-05

Family

ID=22737179

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018064345A Expired - Lifetime CN1249786C (zh) 2000-04-25 2001-04-23 用于工件的等离子体清洗的方法和装置

Country Status (7)

Country Link
US (1) US6776170B2 (zh)
JP (1) JP2003535458A (zh)
KR (1) KR100856451B1 (zh)
CN (1) CN1249786C (zh)
AU (1) AU2001259119A1 (zh)
TW (1) TW492060B (zh)
WO (1) WO2001082355A2 (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7014887B1 (en) * 1999-09-02 2006-03-21 Applied Materials, Inc. Sequential sputter and reactive precleans of vias and contacts
WO2002059933A2 (en) * 2001-01-22 2002-08-01 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
JP2002237486A (ja) * 2001-02-08 2002-08-23 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
AU2003261342A1 (en) * 2002-08-02 2004-02-23 E.A. Fischione Instruments, Inc. Methods and apparatus for preparing specimens for microscopy
US6992011B2 (en) * 2003-01-15 2006-01-31 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
US8101025B2 (en) 2003-05-27 2012-01-24 Applied Materials, Inc. Method for controlling corrosion of a substrate
JP2004363332A (ja) * 2003-06-05 2004-12-24 Nec Yamagata Ltd プラズマcvd装置
FR2865420B1 (fr) 2004-01-28 2007-09-14 Saint Gobain Procede de nettoyage d'un substrat
US7241361B2 (en) 2004-02-20 2007-07-10 Fei Company Magnetically enhanced, inductively coupled plasma source for a focused ion beam system
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US7049209B1 (en) * 2005-04-01 2006-05-23 International Business Machines Corporation De-fluorination of wafer surface and related structure
CN100362633C (zh) * 2005-12-02 2008-01-16 北京北方微电子基地设备工艺研究中心有限责任公司 一种去除刻蚀工艺后硅片表面颗粒的等离子体清洗方法
US7846257B2 (en) * 2005-12-14 2010-12-07 Tokyo Electron Limited Method for cleaning substrate processing apparatus, substrate processing apparatus, program and recording medium having program recorded therein
EP2081700A1 (en) * 2006-10-27 2009-07-29 Oerlikon Trading AG, Trübbach Method and apparatus for manufacturing cleaned substrates or clean substrates which are further processed
JP5160341B2 (ja) * 2008-08-20 2013-03-13 東京エレクトロン株式会社 基板処理装置、基板処理方法、基板処理プログラム、及び基板処理プログラムを記録したコンピュータ読み取り可能な記録媒体
US8900471B2 (en) * 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
CN104148334A (zh) * 2014-07-02 2014-11-19 太仓华德石太工业设备有限公司 一种针对工业局部清洁的碳氢化合物/等离子体的清洁方法
GB201502453D0 (en) * 2015-02-13 2015-04-01 Spts Technologies Ltd Plasma producing apparatus
US9595448B2 (en) * 2015-06-29 2017-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning plasma processing chamber and substrate
US9824893B1 (en) * 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10544519B2 (en) 2017-08-25 2020-01-28 Aixtron Se Method and apparatus for surface preparation prior to epitaxial deposition
CN107599727B (zh) * 2017-09-29 2024-02-06 苏州市职业大学 用于核雕工艺品包浆的等离子体发生设备及包浆处理方法
US11404245B2 (en) 2018-02-28 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. DC bias in plasma process
CN115565867A (zh) 2019-06-27 2023-01-03 朗姆研究公司 交替蚀刻与钝化工艺
CN113245306A (zh) * 2021-05-08 2021-08-13 河北博威集成电路有限公司 一种GaN微波芯片的等离子体清洗方法
KR20220155457A (ko) 2021-05-13 2022-11-23 주식회사 디에이피 플라즈마세정장치
US11688588B1 (en) * 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
US11869747B1 (en) 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront
CN117696566A (zh) * 2024-02-06 2024-03-15 江苏芯梦半导体设备有限公司 半导体存储容器的清洁方法及清洁系统

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4662977A (en) * 1986-05-05 1987-05-05 University Patents, Inc. Neutral particle surface alteration
JPH01196819A (ja) * 1988-02-02 1989-08-08 Matsushita Electric Ind Co Ltd 半導体集積回路装置の製造方法
JPH0774147A (ja) * 1993-07-05 1995-03-17 Sony Corp ドライエッチング方法およびドライエッチング装置
JPH07243064A (ja) * 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
JP3329128B2 (ja) * 1995-03-28 2002-09-30 ソニー株式会社 半導体装置の製造方法
US5882538A (en) * 1995-08-28 1999-03-16 Georgia Tech Research Corporation Method and apparatus for low energy electron enhanced etching of substrates
JP3380948B2 (ja) * 1995-09-19 2003-02-24 ソニー株式会社 ヘリコン波プラズマ装置およびこれを用いたプラズマ処理方法
KR100250519B1 (ko) * 1997-08-30 2000-04-01 황철주 반도체 소자의 제조방법
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
JP4228424B2 (ja) * 1998-09-04 2009-02-25 ソニー株式会社 半導体装置の製造方法
JP3956499B2 (ja) * 1998-09-07 2007-08-08 ソニー株式会社 半導体装置の製造方法
JP3619030B2 (ja) * 1998-11-12 2005-02-09 キヤノン株式会社 プラズマ処理装置及び処理方法

Also Published As

Publication number Publication date
WO2001082355A2 (en) 2001-11-01
KR100856451B1 (ko) 2008-09-04
WO2001082355A3 (en) 2002-03-21
US20030047191A1 (en) 2003-03-13
KR20030007457A (ko) 2003-01-23
TW492060B (en) 2002-06-21
JP2003535458A (ja) 2003-11-25
AU2001259119A1 (en) 2001-11-07
CN1423833A (zh) 2003-06-11
US6776170B2 (en) 2004-08-17

Similar Documents

Publication Publication Date Title
CN1249786C (zh) 用于工件的等离子体清洗的方法和装置
JP4673290B2 (ja) 水素含有ラジカルによる未変性酸化物の洗浄
JP2642849B2 (ja) 薄膜の製造方法および製造装置
JP4690308B2 (ja) 高温水素含有プラズマによるチャンバ及びウェーハ表面から物質を除去する方法及び装置
US5507874A (en) Method of cleaning of an electrostatic chuck in plasma reactors
TW558764B (en) Monitoring process for oxide removal
US7320942B2 (en) Method for removal of metallic residue after plasma etching of a metal layer
KR20200043449A (ko) 에피택셜 증착 이전의 표면 준비를 위한 방법 및 장치
US5405491A (en) Plasma etching process
US20060048893A1 (en) Atmospheric pressure plasma processing reactor
JPH09186143A (ja) プラズマチャンバ表面から副生成物をクリーニングするための方法及び装置
KR20020062367A (ko) 플라즈마 반응 챔버의 세정 및 조절 방법
WO2006076085A2 (en) Low-pressure removal of photoresist and etch residue
US20010050265A1 (en) Reduction of metal oxide in a dual frequency etch chamber
EP0790642A2 (en) Method and apparatus for removing contaminant particles from surfaces in semiconductor processing equipment
JP4656364B2 (ja) プラズマ処理方法
US20030062333A1 (en) Method and apparatus for cleaning substrates
CN110010466B (zh) 蚀刻方法
TW201604319A (zh) 乾式洗淨方法及電漿處理裝置
CN112921404B (zh) 半导体刻蚀设备和碳化硅晶片的刻蚀方法
US5228940A (en) Fine pattern forming apparatus
US20040200576A1 (en) Method and apparatus for plasma cleaning of workpieces
JP7220603B2 (ja) 膜をエッチングする方法及びプラズマ処理装置
EP1076355A2 (en) Method and apparatus for cleaning a chamber configured for copper deposition
JP2000164712A (ja) 電子装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20060405