CN1254940A - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN1254940A
CN1254940A CN99121306A CN99121306A CN1254940A CN 1254940 A CN1254940 A CN 1254940A CN 99121306 A CN99121306 A CN 99121306A CN 99121306 A CN99121306 A CN 99121306A CN 1254940 A CN1254940 A CN 1254940A
Authority
CN
China
Prior art keywords
semiconductor film
film
crystallization
described semiconductor
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN99121306A
Other languages
English (en)
Other versions
CN1160759C (zh
Inventor
张宏勇
大沼英人
竹村保彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP5204774A external-priority patent/JP2789417B2/ja
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN1254940A publication Critical patent/CN1254940A/zh
Application granted granted Critical
Publication of CN1160759C publication Critical patent/CN1160759C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/322Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
    • H01L21/3221Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections of silicon bodies, e.g. for gettering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02672Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using crystallisation enhancing elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • H01L27/1274Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor
    • H01L27/1277Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor using a crystallisation promoting species, e.g. local introduction of Ni catalyst
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/004Annealing, incoherent light
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/016Catalyst
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/06Gettering

Abstract

将图形转移到经退火而结晶的硅膜上之后,经强射线短时间辐照使硅膜退火。具体地讲,在退火结晶工艺中,将促进结晶化的元素如镍掺入其内。用强射线辐照也使退火未结晶的区区结晶,形成致密的硅膜。在掺入促进结晶化的金属元素之后,在含卤化物的气氛中对退火而结晶的硅膜进行强射线辐照,完成光的短时间辐照。在卤化气氛中经强射线辐照或加热使硅膜表面氧化,在硅膜上形成氧化膜,然后腐蚀掉氧化膜。结果去掉硅膜中的镍。

Description

半导体器件及其制造方法
本发明涉及一种制造半导体器件的方法,通过在由玻璃或类似物制成的绝缘衬底上或各种衬底上形成的绝缘膜上淀积非单晶硅(下文称Si)膜制备半导体器件,如薄膜晶体管(TFT)、薄膜二极管(TFD)、以及包括晶体管和二极管的薄膜集成电路,特别是用于有源液晶显示器(LCD)的薄膜集成电路。
近来已开发出一种半导体器件,其中的TFT被装配在由玻璃或类似物制成的一种绝缘衬底上,如有源液晶显示器,和一图象传感器,其中的TFT被用于驱动用象素。
一般薄膜Si半导体被用于上述器件中使用的TFT。上述薄膜Si半导体包括两种类型半导体,它们是非晶Si半导体(a-Si)和结晶Si半导体。非晶Si半导体被使用得最普遍,因为它易于在低温用汽相工艺制造,而且适于批量生产;但其导电性比结晶Si半导体低。
所以,人们强烈要求产生一种由结晶Si半导体来制作TFT的制作方法,可得到下文将述的高速特性。作为一种结晶Si半导体,多晶硅,微晶硅,包括结晶成分的非晶硅以及介于结晶和非晶中间状态的半导非晶硅都是公知的。
作为薄膜结晶Si半导体的制作方法,下列方法是公知:
(1)直接淀积结晶膜。
(2)首先淀积非晶半导体膜,然后用激光束能量使其结晶。
(3)首先淀积非晶半导体膜,然后长时间施加热能(退火)使其结晶。
然而,用1)所述的方法在一个衬底的所有表面上形成有良好的半导电特性的膜,在技术上也是困难的。该法还有一个成本问题,低廉价格的玻璃衬底是不能使用的,因为膜的形成温度是600℃或更高。用此法在低温淀积其有良好特性的膜是困难的。当晶体垂直于衬底生长时,用此方法形成膜不适于具有均匀电导率的TFT。
例如,若将现今使用最普遍的准分子激光器用于2)所述的方法,该法也有生产量低的问题,因为激光束辐照的面积小。该法还有另一个问题,激光的稳定性不足以在大面积衬底的所有表面上形成均匀的膜。还有,该法还要求在真空中用激光辐照和加热衬底,以使结晶完好。所以该法也存在着生产量有限的问题。
与(1)和(2)所述方法相比,(3)所述方法有其优越性,可用该法加工大面积的衬底。然而,此法也要求600℃或更高的温度,加热在其上形成非晶膜的衬底。若使用低廉的玻璃衬底,加热温度必定降低。特别是在现在,LCD屏变得愈来愈大,所以,对于这种大屏幕来说要求使用大尺寸的玻璃衬底,若使用大尺寸的玻璃衬底,则存在一个严重的问题,即制作半导体器件必不可少的加热工艺将引起收缩和挠曲,这将降低掩模对准的精确度。特别是,使用现今应用得最普遍的由Corning公司生产的由No 7059玻璃制造的衬底,在593℃的温度就发生挠曲,由于加热在现有结晶工艺中会发生严重的形变。在常规工艺中结晶所要的加热时间超过20~30小时,所以既要求降低加热温度,又要求缩短加热时间。
本发明之目的在于提供解决上述问题的途径。详述之,其目的在于提供一种在较低的加热温度获得良好结晶的工艺,换言之,减低玻璃衬底在使用一种靠加热使由非晶硅制成的膜结晶的方法中的作用。
本发明的另一个目的在于提供一种降低或去掉已加入到硅膜中的金属元素(催化金属元素)以促进结晶化的途径。
按本发明的第一种工艺,在一种包含10~90%的氯气,诸如氯化氢(HCl)、四氯化碳(CCl4)及四氯化硅(SiCl4)或氟气,诸如三氟化氮(NF3)及六氟化二碳(C2F6)的环境气氛中,用强光辐照在被促进结晶化的金属元素结晶的硅膜表面,选择加热结晶的硅膜。在用强光辐照过程中,用微波或高频激发产生的等离子体具有促进反应的效果。
如果根据本发明辐照强光束,如介于近红外线和可见光之间的光,最好波长为0.5~4μm的光(例如峰值波长为1.3μm的红外线),只要求光束辐照10~1000秒的较短时间,加热硅膜表面,直至900~1200℃。因上述波长的光被硅膜吸收,而基本上不被衬底吸收,若光束只辐照上述的短时间,能对Si膜选择加热,而对衬底不起作用。
尤其是可见光,特别是0.5μm或更短波长的光被本征或基本上本征的非晶硅吸收得很好,能转变为热。波长为0.5~4μm的近红外线或可见辐射能有效地被本征或基本上本征只含1017cm-3或更低的磷或硼的结晶硅膜吸收,能转变为热。另一方面波长为10μm或更长的远红外辐射被玻璃衬底吸收,能转变为热。然而,若大多数光是波长为4μm或更短的光,仅有很少一点光被玻璃吸收。即,波长为0.5~4μm的近红外线或可见辐射适宜用来选择加热在玻璃衬底上形成的结晶Si膜。
若使用的是波长短于上述光的紫外线,它们不仅被Si膜吸收,同时也被大多数衬底材料吸收,因面,辐照光的最合适的时间应更短。例如,对波长为248nm的光,则要求上述时间为1秒或更短。若上述光辐照时间长于上述时间,光将被衬底吸收很多,这将引起衬底的形变。如上所述,光的总量必须这样来选择,用光辐照极短的时间即能使硅膜表面的温度暂时超过1000℃。由于Si膜表面的温度的瞬时上升或下降使第一次辐照不能完全氧化Si膜。所以,要求多次辐照。在此情况下,所形成的氧化膜的厚度与辐照次数有关。
用准分子激光器一类的脉冲振荡激光作光源,以其紫外线辐照上述的极短时间是理想的。各种准分子激光器发射脉宽为100ns或更短的激光。可使用一种等效于激光的光。
当衬底温度为600℃或更低时,最好为400℃或更低,同时根据本发明用强光束辐照衬底上形成的膜,可增强氧化作用。
在上述高温下,Si膜中的一种金属元素将与环境气氛中的氯气或氟气反应,尽管这种反应进行的时间极短,便在Si膜表面已形成金属氯化物或氟化物。因其沸点低,而蒸发到环境气氛中,减低了硅膜中此种金属元素的浓度。还有,在强光辐照工艺完成后,也可用纯水清洗Si膜表面,完全去掉所形成的金属氯化物或氟化物。用上述工艺还可以同时去掉Si膜中所含的其余元素,例如碱性元素,如钠和钾。
在此类退火中,Si膜往往起皮,因Si膜和衬底的热膨胀系不同及所说Si膜表面和所说的衬底和所说的Si膜间的界面之间的温度差异所致。若膜覆盖整个衬底或衬底的大面积上,膜的起皮尤为明显。因而,最好的将膜分割成适宜的小面积,充分拉开膜与膜之间的间距,不吸收过量的热来防止膜的起皮。因为根据上述方法可防止经Si膜使整个衬底被加热,可把衬底因热而收缩限制到最小程度。
因辐照强光使膜的温度上升,更促进了Si膜的结晶化,这是本发明的第二位的效果。人们观察到,在由添加的镍使Si膜结晶过程中,针状晶体不是在膜厚的方向而是沿衬底表面的方向生长。上述针状晶体的宽度大约是Si膜厚度的0.5~3倍,横向即沿侧边方向不怎么生长。因而,在晶体之间留下非晶区或程度正好与它们相同的结晶区。在上述非晶区内,甚至经长时间退火也未完全结晶化,若将此类半导体用于TFT,则完全会发生不能增强TFT特性的问题。
根据本发明采用强光束辐照工艺可获得600℃或更高的高温,本发明有助于促进上述仅在低程度结晶的面积的进一步结晶化。即,这是因为根据本发明,晶体沿针状晶体侧面外延生长,使非晶区结晶。
根据本发明,当用强光辐照时,可被热能形成许多硅的悬空键。在含氢的气氛中在200~450℃温度下的热退火可减少(即中和)这些悬空键,可以增强各种半导体器件,如薄膜晶管(TFT)和薄膜二极管(TFD)的特性和可靠性。
在根据本发明方法的第二种工艺中,在含10~90%的氯气或氟气的氧化环境气氛中,在由催化剂金属元素结晶的受热Si膜表面上形成氧化。就氧化温度而论,以不使衬底翘曲或收缩的温度是理想的。例如,在550℃的温度下,在该膜的表面上,形成厚度为40~100的氧化膜。
此时,用微波或高频激发产生的等离子体具有促进反应的效果。在上述气氛中,不仅可用加热法,而且也可用强光辐照法形成氧化物。
存在于Si膜内,特别是靠近表面大量金属元素被上述氧化膜的形成选择吸收到氧化物中。然后,在腐蚀工艺中,去掉所说的氧化膜,可将此类金属元素除掉或减少。在此类工艺中,通过热氧化和辐照强光的氧化将热能或光能赋给该Si膜,同时改善了该硅膜的结晶性。
在此类强光束辐照工艺之后,再次实行退火,还可进一步增强本发明的效果。
根据本发明,如上所述硅膜中的催化剂金属元素作为氯化物或氟化物被淀积在Si膜的表面,或蒸发或大量转移成氧化物再腐蚀掉。其结果是Si膜中的金属元素的浓度可降至工艺处理前的五分之一或更低。同时,在氧化过程中用辐照强光或加热使Si膜变热,可以增强结晶化。即使用强光辐照玻璃衬底,它几乎不怎么吸收红外线,因而不用加热即可实行光退火,以致在工业上因为软化或收缩而不能使用玻璃衬底。
在根据本发明方法的第三种工艺中,在600℃或更低的温度下由热而结晶的非单晶半导体膜上辐照强光或激光,以便促进进一步结晶化。同时因辐照强光或激光,也使所说膜的性能致密。第三种工艺之特征在于上述膜性能致密。详述之,在所说的第三种工艺中,用激光辐照在所说的硅膜上,或用近红外线和可见光之间的光,最好是波长为0.5~4μm的光,例如峰值波长为1.3μm的红外线,在所说的硅膜上辐照约10~1000秒较短的时间。加热促进结晶化。第三种工艺之特征在于促进结晶化。理想的是所用光的波长基本上被吸收到Si膜,而不被玻璃衬底吸收。还有在此种退火中,因为Si膜和衬底热膨胀系数之间的不同以及Si膜表面和衬底与Si膜间的界面温度的差异,常使Si膜起皮。若膜覆盖在整个衬底上,则起皮尤为明显。所以,将膜全分割成小面积并使膜与膜之间留出足够的间隔,使之不吸收过量的热量,防止膜的起皮。因为通过Si膜仅加热衬底表面的一部分,则可将由热导致的所说衬底的收缩抑制到最小。
本发明包括:第一步,用退火产生结晶硅膜;第二步,实行与对Si膜刻图有关的工艺处理;以及第三步,用强光加热该Si膜。在第二和第三步工艺之间,在Si膜上可形成用于第三步工艺不吸收光(即透过强光)的绝缘膜。该绝缘膜可由氮化硅或氧化硅制成。对在第三步工艺实行的辐照,可使用激光。
可见光,特别是波长短于0.5μm的光能很好的被本征或基本上本征的非晶硅吸收并能转变为热。按根据本发明的一种工艺,波长为0.5μm~4μm的光被用于辐照。上述波长的光可有效地被本征或基本上本征(含1017cm-3或更低的磷或硼)的结晶硅膜所吸收,并能转变为热。波长为10μm或更长的极远红外线可被玻璃衬底吸收,使所说的衬底变热,然而,若大多数光的波长为4μm或更短,玻璃就不怎么变热。即为使结晶硅膜进一步结晶,则要求波长为0.5~4μm的光。
在采取使用促进已结晶的硅结晶化的金属元素,如镍进行结晶化的作本发明的上述的第一步、以便在此普通固相晶体生长温度低的温度下实现结晶化的情况下,本发明的效果是显著的。适宜于本发明可促进结晶化的元素,可使用属于第八族元素的Fe、Co、Ni、Ru、Rh、Pd、Os、Ir以及Pt。也可以使用属于3d元素的Sc、Ti、V、Cr、Mn、Cu及Zn。还有实验证明,Au及Ag也能促进结晶化。在上述元素当中,Ni具有显著的效果,并用由它结晶的结晶硅膜校验TFT的运作。
显而易见,在添加这些元素中任一种元素的Si膜中,针状晶体不是在膜厚的方向而是沿衬底表面的方向生长。然而,整个表面不是均匀结晶,在晶体之间留下非晶区或结晶度低到与非晶区相同程度的区域。
如上所述,在Si膜中添加这些金属元素中的任一种,晶体生长成针状,然而,它们几乎不怎么横向即不沿其侧向生长。上述针状晶体的宽度大约为Si膜厚度的0.5~3倍。因而,在所说的非晶区,经长期退火结晶化是不完全均匀的。若TFT使用了带有上述非晶区的半导体,就发现了TFT特性未完全增强的问题。
本发明的第三步,通过在800~1300℃(用热电偶与硅接触测量)有助于促进位于针状晶体如梳齿状之间结晶度低的区的进一步结晶化。这是因为晶体沿针状晶体侧面方向外延生长,使非晶区结晶。
在400~650℃,典型的在500~600℃温度下退火结晶的薄膜Si半导体中,除有源元件,例如形成薄膜晶体管(TFT)的区域之外的部分可在图形转移和腐蚀工艺中去掉。用辐照可见辐射或近红外线,硅膜可被选择加热,促进其进一步结晶化,使针状晶体横向生长的疏散区如岛区的结晶。此时,因玻璃衬底或类似物几乎不吸收红外线,不用加热可实施光退火,以致于因为软化或收缩使所说的玻璃衬底不能在工业上使用。
特别是,若在退火中使用促进结晶化的金属元素,通过促进沿结晶不充分的针状晶体侧向的结晶化,可产生结晶很致密的半导体薄膜。上述的实施例1表示,根据本发明,例如用Raman波谱仪可增大Si膜中的结晶区。
实施例2~4表示根据本发明制作的TFT工艺的实例。
根据本发明另一方面的制造半导体器件的一种方法包括:
在一衬底上形成含有催化元素的非单晶硅膜;
经热退火使非单晶硅膜结晶;
形成呈岛状的非单晶硅膜的图形;
用光辐照非单晶硅膜促进其结晶化;
在图形中形成栅电极;
用栅电极作掩膜,将杂质引入图形;以及
加热使杂质激活。
图1(A)~图1(E)表示根据实施例1和2的TFT制造工艺。
图2(A)~图2(E)表示根据实施例3的TFT制造工艺。
图3(A)~图3(E)表示根据实施例4的TFT制造工艺。
图4表示用Raman波谱仪测得的根据实施例1制成的Si膜的结果。
图5(A)~图5(B)表示在实施例1所设定的温度的实例。
图6(A)~图6(E)表示根据实施例5的TFT的制造工艺。
图7(A)~图7(E)表示根据实施例6的TFT制造工艺。
图8(A)~图8(E)表示根据实施例7的TFT制造工艺。
图9(A)~图9(E)表示根据实施例8的TFT制造工艺。
实施例1涉及在玻璃衬底上形成的Si薄膜结晶度的改善。将参照图1(A)~(C)给以说明。首先,通过溅射在衬底101(No 7059,Corning Inc制)形成厚200的氧化硅底膜102,然后,设置一金属掩模或氧化硅或氮化硅制的掩模(103)。在掩模103的狭缝处露出所说的底膜102。即,当从上看图1(A)所示的状态,在所说的狭缝处露出所说的底膜102,而其余部分被掩蔽。设置掩模103之后,通过溅射,在100所示的区域上选择淀积5~200,例如20厚的镍膜。镍被选择引入所说区域100。
然后,去掉掩模103。通过等离子CVD淀积厚300~1500,如800的本征(I型)非晶Si膜。
接着,在不活泼的氮气气氛(低于常压)在550℃温度下退火8小时或在600℃退火4小时,使所说的本征非晶硅膜结晶。在选择淀积镍膜的所说区域100,所说的结晶Si膜104是垂直于所说的衬底101结晶的。如箭头105所示,晶体生长从所说的区域100开始,在除所说的区域100的区域内朝横向(平行于衬底)进行(图1(B))。
在此工艺之后,对Si膜光刻腐蚀10~1000μm通过图形转移和腐蚀工艺在衬底上形成许多个100μm见方的岛状Si膜104′。用峰值为0.5~4μm,在本工艺中用0.8~1.4μm的可见光或近红外线或激光辐照30~600秒,以促进所说的Si膜104′的结晶化(图1(C))。
对红外线的光源,使用卤素灯。可见光和近红外线的强度调节到,从监视器上测出的单晶硅片上的温度可在800~1300℃,典型值为900~1200℃。
具体地讲,监视埋入Si片的热电偶的温度,将其值返馈给红外线的光源。在实施例1中,温度的上升或下降如图5(A)和5(B)所示。温度以50~200℃/S的恒定速率上升,通过自然冷却以20~100℃/S的速度下降。
图5(A)表示由升温时间‘a’、恒温时间‘b’及降温时间‘c’三步过程组成的普通温度操作过程。然而,在此情况下,当衬底被快速地从室温到1000℃的高温加热,然后快速地从高温到室温冷却时,该变化对Si膜和衬底具有极大的影响,而使Si膜起皮。
为解决此问题,如图5(B)所示,拟定预热时间‘d’和后加热时间1f1,最好是在恒温时间之前,将衬底保持在200~500℃的温度,这对衬底和Si膜就没有显著的影响。
较理想的是为辐照红外线时,在所说的衬底上形成氧化硅或氮化硅膜作为保护膜。这是因为能使Si膜104的表面状态保持得较好。因而,所说的保护膜在H2气氛中形成的。在所说的H2气氛中可混入0.1~10%的HCl、氢的卤化物、氟化物、氯化物及溴化物的混合物。
因对结晶的Si膜实行选择可见光和近红外线的辐照,因而可将对玻璃衬底的加热抑制到最低程度。这种方法对降低Si膜中的缺陷或悬空键是很有效的。在加热结晶工艺之后辐照可见光或近红外线也是极其重要的。若突然将红外线辐照在非晶Si膜上,未经事先退火结晶,不可能得到良好的晶体。
图4表示根据实施例1制得的结晶Si膜的Raman波谱。“C-Si”表示作为参考样品测得的单晶硅片Raman扫描的强度。图4中的“1100℃,180sec”是指辐照红外线的温度是1100℃、辐照180秒。如图所示,Raman散射的强度随红外线的辐照而增强,然而,它表示晶体的体积百分比的增加。以此方式,使结晶化不充分的区域,经过辐照红外线而结晶。
实施例2描述如图1(A)~(E)所示,通过在玻璃衬底上形成的结晶Si膜,形成P沟TFT(PTFT)和N沟TFT(NTFT)的互补电路的工艺过程。实施例2的构形用于有源LCD的象素电极的开关元件、外围驱动电路、还有图象传感器以及集成电路。
图1表示根据实施例2的工艺的剖面图。首先,通过溅射在衬底101(No 7059,Corning Inc制)上淀积厚2000的氧化硅底膜102。当所说的衬底被冷却到发生挠曲的温度(下文称挠曲温度)时,或在底膜淀积之前或之后,在比挠曲温度高的温度将衬底退火之后,以0.1~1.0℃/min降温,可降低在后序的,使所说的衬底温度上升的工艺(包括根据本发明的红外线辐照)中所说的衬底的收缩,并简化了掩模的对准。理想的是在将NO 7059衬底(Corning Inc制)在620~660℃温度退火1~4小时之后,以0.1~1.0℃/min最好以0.1~0.3℃/min并在温度下降到450~590℃时取出衬底。
在所说的底膜淀积之后,设置由氮化硅膜等等形成的掩模103。通过掩模103的狭缝露出底膜102。即,当从上方观看图1(A)所示的状态,通过所说的掩膜的狭缝露出底膜102,其余部分被掩蔽。在设置掩模103之后,通过溅射在区域100选择淀积厚5~200例如厚20的硅化镍膜(化学式NiSix,0.4<X<2.5例如X=2.0)。镍被选择地引入区域100(图1(A))。
接着,去掉掩模103,通过等离子CVD淀积厚300~1500例如厚500的本征(I型)非晶Si膜104。在550℃不活泼的气氛(含低于大气压的氮或氩)退火4~8小时,使非晶硅膜结晶。此时,在选择淀积了硅化镍膜的区域100内,促进结晶Si膜104沿垂直所说的衬底101的方向的结晶化。在除所说的区域100以外的区域内,如箭头105(图1(B))所示促进晶体从所说的区域100横向(平行于所说的衬底)的生长。
在上述工艺之后,在所说的Si膜上设置图形,形成分散的有源层104′,如TFT岛区。此时,重要的是确定设有晶体生长的前缘存在即设有结晶和非晶Si区域之间的含高浓度镍的边界的存在。照此方式,可使源漏之间的迁移不受沟道形成区的影响。综合考虑TFT沟道的长度和宽度,规定有源层104′的尺度。该有源层最小尺寸为50μm×20μm,最大尺寸为100μm×1000μm。
在一衬底上形成大量的此种有源层。通过辐照峰为0.5~4μm的红外光或激光,根据本实施例辐照30~180秒,进一步促进所说的有源层的结晶。对所说的层的温度应当为800~1300℃,一般为900~1200℃。在本实施例中,当辐照红外线或激光时,温度为1100℃。为较好的保持有源层表面的状态,在含H2的气氛中实行辐照。正如在本工艺中那样,有源层被选择加热,将玻璃衬底上的热抑制到最小限度。对降低有源层中的缺陷或悬空键(图1(C)),选择加热是很有效的。
然后,通过等离子CVD淀积厚1000的氧化硅膜106作栅绝缘膜。对于化学汽相淀积(CVD)中所用的材料气体使用四乙氧基硅烷(TEOS,Si(OC2H5)4)和氧,在淀积工艺中衬底的温度应是300~550℃。本实施例中当淀积氧化硅膜时的衬底温度是400℃。
当淀积作为栅绝缘膜的氧化硅膜106之后,再次通过辐照可见光或近红外线实施退火。由于退火,可能解消主要在氧化硅膜106和硅膜104间界面及靠近界面的能级。退火对绝缘栅场效应半导体器件是极其有用的,器件中栅绝缘膜和沟道形成区之间界面的特性是极为重要的。
接着,通过溅射淀积厚6000~8000,例如厚6000的铝(含0.01~0.02%的钪)。将铝膜图形转移并腐蚀,再形成栅电极107和109。将所说的铝电极表面阳极氧化,在其表面形成氧化层108和110。在含1~5%的酒石酸的乙二醇溶液中进行阳极氧化。氧化层108和110的厚度为2000。因为氧化层108和110的厚度正是在后序的离子注入工艺中形成栅极区域偏移的距离,所说的偏移栅极区域的长度可在所说的阳极氧化工艺中确定。
然后,用栅电极107和周围的氧化层108及栅电极109和周围的氧化层110作掩模,通过离子注入(也称等离子掺杂),将P型或N型导电杂质引入有源层区域(包括源、漏和沟道)。把磷化氢(PHs)和乙硼烷(B2H6)用作掺杂气体)。在前一种情况下,加速电压应为60~90KV,例如使80KV。在后一种情况下,应是40~80KV,例如使用65KV。剂量应是1×1015~8×1015cm-2,例如掺磷时为2×1015cm-2,掺硼时为5×1015cm-2。在掺杂中,通过用光刻胺掩蔽一个区域,选择掺杂每个元素。其结果,形成N型掺杂区114和116,以及P型掺杂区111和113。这表示形成P沟道TFT(PTFT)区和N沟道TFT(NTFT)区。
然后,辐照激光实施退火。对于激光使用波长为248nm、脉宽为20nsec的KrF准分子激光,但是也可使用其它激光。至于辐照激光的条件,能量密度应是200~400mJ/cm2,例如使用250mJ/cm2。至于激光辐照的照射次数应是每个地方照2~10次,例如采用分两次照射。当辐照所说的激光时,衬底被加热到200~450℃,以增强其效果(图1(D))。
在本工艺中,也可用可见光或近红外线实行灯光退火。可见光或近红外线容易被添加1019~1021cm-3的磷或硼的结晶硅或非晶硅吸收可实行等效于在100℃或更高温度下退火的有效退火。若掺入了磷或硼,即使近红外线也能完全被杂质散射吸收。即使用裸眼观测也可看到,因为它是黑的。另一方面,可见光或近红外线几乎不怎会被玻璃衬底吸收。因为玻璃衬底仅被加热很短时间,玻璃衬底不会被加热到高温,仅仅是因为时间短,上述灯光退火是最适宜于发生玻璃衬底收缩工艺的方法。
接着,通过等离子CVD淀积厚6000的氧化硅膜118作层间绝缘体。对于所说的层间绝缘体,可以使用聚酰亚胺或氧化硅和聚酰亚胺制成的双层膜。再有,形成电接触孔,并用金属材料,例如用氮化钛和铝的多层模形成TFT的电极和布线117、120和119。最后,在350℃的温度在低于一个大气压氢的气氛中实行退火30分钟,完成TFT互补半导体电路(图1(E))。
具体地说,在本发明中,重要的是在后一步工艺中,在氢气氛中加热至250~400℃,使在用可见光或近红外线的光退火工艺引起的悬空键中和。
上述电路是CMOS。在所说的工艺中,可同时形成两只TFT,将它们从中间割开可同时形成独立的两只TFT。
在实施例2中,就掺杂Ni的方法而论,在底膜102上非晶Si膜104之下选择淀积Ni膜(因为很薄,可能观察不到膜),采用由此处实施晶体生长之方法。但是,在形成非晶Si膜104之后,可以选择淀积硅化镍膜。即,从非晶Si膜的上面和从下面均可实施晶体生长。也可采用事先淀积非晶Si膜,再通过离子注入将镍离子选择掺入所说的非晶硅膜104之方法。上述方法之特点是镍元素的浓度精确可控。镍也可以用等离子增强化学汽相淀积(PCVD)或用CVD掺杂。
实施例3涉及在有源LCD中为每个象素设置N沟TFT作开关元件的情况。将讨论一个象素的情况,可是大量(一般有数十万)的其它象素也是以相同的结构形成的。当然,P沟TFT也可用作开关元件来替代N沟TFT。N沟或P沟TFT可用于外围电路来替代LCD的象素,也可用于图象传感器以及其它器件。即,可当作薄膜晶体管使用的TFT也可用于任何目的。
图2表示根据实施例3的工艺过程剖面图。在实施例3中,关于衬底201,使用的是NO 7059玻璃衬底(Corning Inc制厚1.1mm,300×400mm)。首先,通过等离子CVD淀积厚2000的氧化硅制成的底膜。对于用于CVD的材料气体,使用的是TEOS和氧。然后,为选择掺镍,形成氮化硅膜制成的掩模203,通过溅射淀积厚5~20例如20的镍膜。如上所述,选择在区域204形成镍膜(图2(A))。
通过低压化学汽相淀积(LPCVD)或等离子CVD淀积厚100非晶Si膜205。在450℃的温度下实施1小时脱氢之后,通过退火使非晶Si膜结晶。退火是在550℃温度的氮气氛中连续进行8小时。因镍膜形成在非晶Si膜205下面的区域204内,在此退火中结晶由此处发生。在所说的结晶化中,在形成镍膜的区域204内,硅晶体是沿垂直于衬底201方向生长的。如图2(B)中箭头所示,在未形成镍膜的区域(区域205),晶体沿平行于衬底的方向生长(图2(B))。
在退火工艺之后,将结晶的硅膜刻图,只留下岛状的TFT的有源层205′,其余的全去掉。此时,重要的是在有源层没有生长晶体前缘存在,尤其是在沟道形成区没有生长晶体的前缘存在。具体地讲,理想的是图2(B)所示硅膜205的至少结晶化的前缘和掺镍的区域204被腐蚀掉,而平行于所说的衬底生长晶体的结晶硅膜205的中部被用作有源层。要防止在所说的前缘集中所含的镍对TFT的特性有坏的影响,因为镍被包含在晶体生长的前缘和集中掺杂的区域内。用可见和红外辐射辐照在所说的岛状有源层205′上,再用光在1100℃退火30秒。激光可用来替代近红外线(图2(C))。
通过等离子CVD在氧气氛中用TEOS形成氧化硅栅绝缘膜(厚70~120nm,一般为120nm)。此时衬底表面为350℃。然后,用CVD形成主要包含公知的多晶硅的膜,通过图形转移和腐蚀形成栅电极207。在所说的多晶硅中添入0.1~5%的作为掺杂剂的磷,以增强电导率。
然后,通过离子注入掺入作为N型掺杂剂的磷,以自对准方式形成源区208,沟道形成区209和漏区210。通过KrF激光辐照,改善由于离子注入而使结晶度受到损坏的硅膜的结晶度。此时激光能量的密度为50~300mJ/cm2。通过激光辐照,TFT源或漏的片电阻相当于300~800Ω/□。此工艺中可用可见光和近红外辐射经进行光退火(图2(D))。
然后,用氧化硅或聚酰亚胺形成一层绝缘体211,再用ITO形成象素电极212。形成电接触孔在TFT的源或漏区用铬或铝多层膜形成电极213和214。一个电极214还与ITO 212相连接。最后,将通过所有上述工艺的衬底在200~400℃温度的氢气中作2小时退火,使之氢化。结果,完成TFT。在许多其它象素区也同时实施此工艺。为增强耐湿性,在整个衬底的表面上用氮化硅形成钝化膜(图2(E))。
因为使用已经受沿载流子运动方向晶体生长的结晶硅膜作为本实施例制作的TFT的包括源、漏和沟道形成区的有源层,载流子不会跨过晶粒的边界,即载流子沿针状晶体的晶粒边界运动。因而,可得到具有高载流子迁移率的TFT。按实施例3制作TFT是N沟型,其迁移率为90~130(cm2/Vs)。与已有的使用通过在600℃温度经48小时退火而结晶化获得结晶硅膜的N沟TFT的50~70(cm2/Vs)迁移率相比较,上述N沟TFT具备大大增强的特性。若未用可见或红外辐射或激光辐照实行退火,迁移率基本上是低的,开-关速率也是低的。这揭示用强烈的辐照或激光促进结晶化的工艺对增强TFT的可靠性是有用的。
参考图3,描述实施例4。首先,在玻璃衬底301上形成底膜302,再通过等离子CVD淀积厚300~800非晶硅膜304。使用与实施例1相同的厚1000的氧化硅掩模303在区域300形成镍膜。然后,在550℃在温度使上述衬底退火8小时,以使硅膜304结晶。此时,晶体生长如箭头305所示平行于衬底(图3(A))。
然后,在硅膜304上作图形转移并腐蚀该膜,形成有源层区如岛区306和307。此时,由300表示的区域是直接掺杂并含有高浓度镍的区域。在晶体生长的边缘,如实施例2和3所述,也含有高浓度的镍。证实,在这些区域内,镍的浓度与上述区域之间的结晶区相比要高出近一位数。因而,在本实施例中,通过在除高浓度镍区域以外的区域做图形转移并腐蚀形成有源元件,例如为形成TFT的区域,有源层区306和307。即,有意地排除含镍浓度高的区域。通过在垂直方向具有各向异性的反应离子刻腐(RIE)腐蚀有源层区。本实施例中有源层内镍的浓度近似为1×1017~1×1019cm-3(图3(B))。
在本实施例中,用有源层306和307获得互补TFT电路。即,在这点上,在本实施例的电路中,PTFT和NTFT是隔开的,所说的电路与实施例2的图1(D)所示的电路是不同的。即,在图1(D)所示的构形中,两个TFT的有源层是相连的,而在中间区域的镍的浓度是高的。然而,本实施例具有在任何部位镍浓度都是低的特性。因而,增强了动作的稳定性。
然后,通过等离子CVD淀积厚200~3000的氧化硅或氮化硅膜308。同实施例2一样,通过可见或近红外辐射或激光辐照实行灯光退火。情况与实施例3相同。在本实施例中,当辐照可见或近红外辐射或激光时,在有源层表面形成氧化硅或氮化硅的保护膜308,因而可防止由红外辐射或激光辐照所引起的粗糙或污染(图3(C))。
在可见或近红外辐射或激光辐照之后,去掉所述保护膜308。然后,与实施例2(图3(D))相同形成栅绝缘膜309、栅电极310和311,再形成层间绝缘体312、在所说层间绝缘体内的电接触孔以及金属布线313、314和315(图3(E))。
如上所述,形成了互补TFT电路。在本实施例中,在辐照可见或红外辐或激光时,在有源层表面上形成保护膜,防止该表面的粗糙或污染。本实施例的特性(电场迁移率和阈值电压)和可靠性极好。
实施例5涉及用形成在玻璃衬底上的结晶硅膜的P沟TFT(PTFT)和N沟TFT(NTFT)的互补电路。上述本实施例的构形可用于象素电极的开关元件的有源LCD、图象传感器以及集成电路的外围驱动电路。
图6表示根据实施例5的工艺的半导体器件剖面图。首先,通过溅射在No 7059衬底(Corning Inc制)淀积厚2000的氧化硅底膜402。在底膜淀积之前或之后在所说的衬底在比挠曲温度还高的温度下退火之后,当所说的衬底以0.1~1.0℃/min冷却到比在所说的衬底发生挠曲的温度还低时,所说的衬底很少在温度上升的后序工艺收缩(包含本发明的辐照近红外辐射)并易于掩模对准。在No7059衬底(Corning Inc制)的情况下,理想的是在620~660℃温度退火1-4小时后,以0.1~1.0℃/min,最好以0.1~0.3℃/min冷却,当所说的衬底温度降至450~590℃时,取出衬底。
在淀积底膜之后,形成氮化硅膜等的掩膜403。通过掩模403的狭缝露出底膜402。即,图6(A)所示是从上方看到的状态,底膜402在狭缝露出,而其余部分被掩蔽。在形成所说的掩模403之后,在以400表明的区域选择淀积厚5~200例如厚20的镍膜。在此情况下,镍是被选择掺入所说的区域400内的(图6())。
接着,去掉所说的掩模403,再通过等离子增强CVD淀积厚300~1500例如厚500的本征(I型)非晶硅膜404。将上述衬底在不活泼的气氛(含不足一大气压的氮或氩)在550℃退火4~8小时,以使所说的非晶硅膜结晶。此时,在选择淀积镍膜的所说的区域400内,促进结晶硅膜404垂直于所说的衬底401的结晶化。在除区域400以外的区域,促进晶体从箭头所示的区域400横向(平行所说的衬底方向)生长。通过用SIMS和TEM分析证明;在首先掺镍的区域或结晶化边缘存在的区域内(图6的阴影部分405)镍的浓度都是高的(图6(B))。
在此工艺之后,在硅膜上作图形转移,形成岛状的TFT有源层404′。
此时,在将成为沟道形成区那部分没有晶体生长的边缘(即结晶硅区和非晶硅区之间的镍浓度高的边界)存在。这种设计规范能减小镍元素对载流子在源和漏之间在沟道形成区内迁移的影响。综合考虑TFT沟道的长度和宽度来确定有源层404′的尺寸。小的为50μm×20μm,大的为100μm×1000μm。
在所说的衬底上大量形成此类有源层。用峰值波长为0.5~4μm例如0.8~1.4μm的可见或近红外辐对置于含10~90%,例如30%的氯化氢的氢气氛中的衬底辐照30~180秒。除了所说的氯化氢,可使用四氯化碳、四氯化硅、三氟化氮及六氟化二碳。
可使用卤素灯作为可见或近红外辐射的光源。调节可见或近红外辐射的强度,使得由监测器送出的单晶硅片表面的温度在800~1300℃一般在900~1200℃。具体地说,监测埋入硅片中热电偶的温度,再返馈到红外辐射光源。在本实施例中,温度的上升或下降如图5(A)或(B)所示。温度增高率保持恒定,使其以50~200℃/秒升高。靠自然冷却,使温度以20~100℃/秒下降。
图5(A)表示包括升温时间a、恒温时间b及降温时间c三步的一般温度升降过程。但是,就此情况而论,所说的衬底从室温被加热到1000℃高温或从高温迅速冷却到室温,这种温度的快速升降对硅膜或所说的衬底具有极大的影响,可使所说的硅膜起皮。
为解决此问题,理想的是,规定预热时间‘d’及后加热时间‘f’,并且在温度达到所说的恒温时间之前,使所说的衬底或膜保持在对衬底或膜没有显著影响的温度200~500℃。
因为这种可见或近红外辐射的辐照选择加热结晶的硅膜,可将对玻璃衬底的加热抑制到最小。因为这种辐照是在含氢的环境气氛中完成的,上述工艺规范对降低硅膜中的缺陷或悬空键很有效果。在用可见或近红外辐射辐照之后,将硅膜在纯水中清洗。
按此方式,去掉有源层如岛状404′中所含的镍。根据SIMS数据分析,若不进行可见或近红外辐射辐照,TFT沟道形成区内镍的浓度为5×1018cm-3或更高。但在本实施例中,用可见或远红外辐射照射将浓度抑制到1×1018cm-3(测量极限)或更低。
在此工艺之后,对硅膜实施图形转移并腐蚀成10~1000μm大小。例如,进行图形转移形成100μm见方的尺度,在所说的衬底上形成许多岛状404′Si膜。然后,对所说的衬底用峰值为0.5~4μm例如0.8~1.4μm的可见或近红外辐射辐照30~600秒,以促进所说的Si膜404′的结晶化(图6(C))。
通过等离子增强CVD淀积厚1000的氧化硅膜作为栅绝缘膜。使用TEOS(Si(OC2H5)4)和氧作为CVD的材料气体。淀积过程中衬底的温度应是300~550℃例如为400℃。
淀积作为栅绝缘膜的氧化硅膜406之后,再用可见或近红外辐射辐照可使所说的衬底退火。经光退火,主要可压低氧化硅膜406和硅膜404间的界面处和界面附近的能级。这对栅绝缘膜和沟道形成区的特性极其重要的绝缘栅场效应半导体器件来说是极为有用的。
然后,通过溅射淀积厚6000~8000,例如6000的铝(含0.01~0.2%的钪)。对铝膜进行图形转移并腐蚀,形成栅电极407和409。再使铝电极的表面阳极氧化,在表面形成氧化层408和410。在含1-5%酒石酸的乙二醇溶液中实行阳极氧化。形成的氧化层408和410的厚度为2000。因为氧化层408和410的厚度等价于后序离子注入工艺中偏移栅极区的距离,所以在阳极氧化工艺中可确定偏移栅区的长度。
接着,通过离子注入(也称等离子增强掺杂)在有源层区(包括源/漏和沟道)掺入P型或N型导电的掺杂剂。此时,用栅电极407及其周围氧化层408和栅电极409及其周围的氧化层410作为掩模。使用磷化氢(PH3)和乙硼烷(B2H6)作掺杂气体。对前一种情况,加速电压应是60~90KV,例如80KV。对后一种情况,加速电压应是40~80KV,例65KV。剂量应是1×1015~8×1015cm-2,例如磷的剂量为2×1015cm-2,硼的剂量为5×1015cm-2。在注入中,通过在一区域淀积光刻胶,选择掺入每种元素。结果,形成N-型掺杂区414和416及P型掺杂区411和413而形成P沟TFT(PTFT)区及N沟TFT(NTFT)区。
然后,用激光辐照完成退火。使用KrF准分子激光(波长:248nm,脉宽:20ns)作激光,但其它激光也可使用。致于激光的辐照条件,能量密度应200~400mJ/cm2例如250mJ/cm2,辐照次数应为每个地方2~10次,例如辐照两次。在激光辐照之同时,可将衬底加热至200~450℃,以增强其效果(图6(D))。
对于此工艺中的退火辐照,可使用可见或近红外辐射来替代激光。可见或近红外辐射易被添加1019~1021cm-3磷或硼的结晶硅或非晶硅所吸收。因而,可完成等效于衬底表面温度为1000℃或更高的有效退火。当掺入磷或硼时,即使是近红外辐射也能靠杂质散射而完全被吸收。另一方面,因为光几乎不怎么被玻璃衬底吸收,所说的衬底未被加热到高温。因用光退火不需要长时间,这对玻璃衬底的收缩成为问题的工艺是最合适的方法。
然后,通过等离子增强CVD淀积厚6000的氧化硅膜418作为层间绝缘体。可使聚酰亚胺或氧化硅和聚酰亚胺双层膜作层间绝缘膜。再形成接触孔,并用金属材料,例如用氮化钛同铝的多层膜形成TFT的电极和布线417、420和419。最后,在低于一大气压下含氢的环境气氛中在200~450℃,如350℃进行退火30分钟,制成TFT互补半导体电路(图6(E))。
在本发明中,特别重要的是在最后工艺中,将衬底在250~400℃的温度含氢的环境气氛中加热,来中和在用可见或近红外辐射退火的工艺中所引起的悬空键。
上述电路是PTFT和NTFT的互补电路(CMOS),然而以及此工艺也可同时制成两个TFT,也可从中间分割开同时制两个独立的TFT。
对于本实施例中的掺镍方法,因为镍膜很薄不可能观察到膜,它选择形成于非晶硅膜404之下底膜402之上,而采用从所说的镍薄膜进行晶体生长的方法。但也可采用在非晶硅膜404形成之后再选择淀积镍膜的方法。即可从非晶硅膜的上表面进行晶体生长,也可从下面进行晶体生长。还可以采用事先淀积非晶硅膜、再离子注入,将镍离子选择注入到所说的非晶硅膜404的方法。此情况之特点在于,镍元素的浓度可被严格控制。还可使用等离子增强CVD或CVD。
实施例6涉及作为为每个有源LCD象素设置的开关元件的N沟TFT。下面给出对一个象素的描述;但以相同的的构形可形成许多其它(一般有数十万个)象素。当然,可使用P沟TFT替代N沟TFT。也可以为外围电路区域设置N沟TFT,而不为LCD象素设置NTFT。N沟TFT也可以用于图象传感器或其它器件。即,若作为薄膜晶体管使用,则可用于任何目的。
图7表示实施例6工艺的剖面图。在实施例6中,使用No 7059玻璃衬底(Corning Inc制厚:1.1mm 300×400mm)作衬底501。首先,经等离子增强CVD淀积厚2000的底膜502(氧化硅)。使用TEOS和氧作CVD的材料气体。再经LPCVD淀积厚300~1500例如800的硅膜505。为进行选择掺镍,由氮化硅膜形成掩模503。经溅射淀积厚5~200例如20的镍膜。以此方式,在面积504,硅和镍膜是选择接触的。
在450℃温度对衬底脱氢1小时之后,经退火使其结晶。在此退火工艺中,使衬底在600℃温度含氮的环境气氛中退火4小时。当在所说的退火工艺中,在非晶硅膜505之上的区域504之内形成镍膜,在所说的区域内发生结晶化。在结晶化中,在未形成镍的区域(除区域504以外的区域)促进晶体沿平行于所说的衬底,如图7(B)箭头所示方向生长。正好在所说的区域504之下和在结晶化的前缘形成含镍浓度高的区域506。
在所说的退火工艺之后,对结晶硅膜进行图形转移,腐蚀掉其它区域,只留下岛状的TFT的有源层505′。此时,确定衬底,使在有源层尤其在沟道形成区无晶体生长的前缘存在是极为重要的。
具体来讲,理想的是,腐蚀掉图7(B)所示硅膜505的至少结晶化的前缘和掺镍区域504,使用结晶硅膜505中晶体沿平行于衬底方向生长的中间部分作有源层。要防止含镍浓度高的边缘对TFT特性持有不良的影响,因为在晶体生长的前缘和掺镍区,含镍浓度是高的。
然后,将衬底置于氯化氢和氧的混合气体[一大气压,氯化氢/氧=1/4)中,在550~650℃的温度例如600℃热氧化岛状有源层505′1小时。使用四氯化碳、四氯化硅、三氟化氮和六氟化二碳可替代氯化氢。结果,在岛状硅膜505′表面形成厚100氧化硅膜506。在这种热退火工艺中,气氛压力可调节到一适当值。用微波或射频波(RF波)产生等离子体。
然后,将衬底浸泡在氢氟酸与作为缓冲溶液的氟化铵的混合溶液的缓冲氟化氢溶液(HF/NH4F=1/10)中3~7秒,腐蚀氧化硅膜506。在含氧的环境气氛中经等离子增强CVD用TEOS形成厚70~120nm(一般120nm)的氧化硅栅绝缘膜507。衬底表面温度为350℃。然后,通过CVD形成主要含公知的多晶硅的膜,对该多晶硅进行图形转移和腐蚀形成栅电极508。对多晶硅掺入0.1~5%的作为增强导电率的掺杂剂的磷。
然后,用离子注入掺入磷作为N型杂质,以自对准方式形成源/漏区510和沟道形成区509。通过KrF激光辐照,改善因离子注入而结晶度被破坏了的硅膜的结晶度。激光的能量密度应是250~300mJ/cm2。通过激光辐照,TFT源/漏的薄层电阻为300~800Ω/□。在此工艺中,也可进行可见或近红外辐照灯光退火(图7(D)]。
然后,用氧化硅或聚酰亚胺形成层间绝缘体511,再用ITO为象素形成电极512。形成接触孔,在TFT的源/漏区用铬/铝多层膜形成的电极513和514。一个电极514还与ITO 512相连接。最后,把衬底在200~450℃例如350℃的氢气中退火1小时,使之氢化。在上述工艺之后,完成TFT。在许多其它象素区也同时进行此工艺。在整个表面形成氮化硅保护膜,以增强耐湿性(图7(E))。
对于本实施例中制成的TFT,使用沿载流子迁移的方向生长晶体的结晶硅膜作有源层包括源区沟道形成区和漏区。因而,没有载流子横穿晶粒边界,即载流子顺着针状晶体的晶粒边界迁移,可制得高载流子迁移率的TFF。在本实施例制成的TFT是N沟型,迁移率为90~130cm2/Vs。与通过在600℃退火48小时的结晶化制得的结晶硅膜的已有N沟TFT的迁移率50~70cm2/Vs相比较,本实施例的迁移率90~130cm2/Vs揭示其特性得以增强。若在600℃温度退火结晶化工艺之后,衬底不在含氯化氢的环境气氛中氧化,实质上,迁移率是低的,开-关速率也是低的。这被认为是由于在淀积和氧化膜腐蚀工艺中去除了镍的作用,以及结晶化改善的结果。据证明,本实施例所示的强光辐照工艺对增强TFT的可靠性是有用的。
参照图8,描述实施例7。首先通过溅射或等离子增强CVD在玻璃衬底上淀积底膜602,再通过等离子增强CVD淀积厚300~800的非晶硅膜604。在形成厚1000的氧化硅膜603之后,对所说的氧化硅膜进行选择图象转移和腐蚀。结果,露出非晶硅膜604的一部分。通过溅射在部分非晶硅膜上淀积厚500~2000例如1000的镍膜600。然后,在450℃含氮的环境气氛中进行退火1小时,在与镍膜600相接触的那部分硅膜内形成硅化镍层605(图8(A))。
然后,用硝酸或包括硝酸和加入硝酸中的乙酸的溶液来腐蚀所说的镍膜。然后对结晶硅膜604在550℃含氮的环境气氛中进行退火8小时。此时,促进晶体平行于衬底如图8箭头所示方向生长。在掺入硅化镍的区域中及其附近及在结晶化的前缘,形成含镍浓度高的区域605(图8(B))。
然后,对所说的硅膜604实施图形转移并腐蚀,形成岛状的有源层区606和607。在图8(B)用605标明的区域内,含镍浓度高。据证明,在这些区域中,镍的浓度比这些区之间的结晶区高近一位数。因此,本实施例中,对有源元件,例如形成TFT的区域的有源层区606和607,在含镍浓度高的区域以外区域上进行图形转移。用在垂直方向上具有各向异性的反应离子刻蚀(RIE)腐蚀有源层。在此情况有源层内镍的浓度近似为1×1019~1×1020cm-3
本实施例中,用有源层606主607制作互补TFT电路。然而,本实施例中电路的构形不同于图6(D)所示实施例5的构形,事实上,本实施例的电路中,PTFT和NTFT是隔开的。即,在图6(D)所示的构形中,两个TFT是相连接的,在中间区域,含镍浓度高。然而,本实施例之特点在于,在任一部分镍的浓度都是低的。但从欲得到充分可靠性观点出发,则要求进一步降低镍的浓度。
然后,对根据本发明的衬底辐照强光。将衬底置于含用10%的氧稀释的氯化氢的环境气氛中,用波长主要为0.8~1.4μm的可见或近红外辐射辐照在衬底上。可以使用四氯化碳,四氯化硅、三氟化氮和六氟化二碳来替代氯化氢。此时的温度例如为1100℃,是由监测器送出的硅片的温度。辐照时间为30秒。在此工艺中,在有源层表面形成厚150的氧化硅膜(图8(C))。
在用可见或近红外辐射辐照之后,将衬底浸泡在缓冲的氟化氢溶液中,以腐蚀掉在衬底表面形成的氧化硅膜。通过此工艺,使镍在有源层的浓度降至1×1018cm-3(SIMS的测量极限)或更低。然后,同实施例5一样,形成栅绝缘膜609及栅电极610和611(图8(D))。再形成层间绝缘体和金属邻线613、614及615。按此方式,形成互补TFT电路(图8(E))。
图9表示实施例8工艺的剖面图。在实施例8中,在氧化环境气氛中经KrF准分子激光(波长248nm)辐照,在岛状硅面表面形成薄氧化膜。实施例8表示一个促进硅膜结晶化的工艺实例。参照图9,描述使用经上述工艺处理过的硅膜形成有源矩阵电路中象素的开关晶体管的工艺。
与实施例5使用的相同,在640℃温度首先对衬底退火1小时之后,以0.2℃/min将衬底501冷却至580℃。在衬底上形成厚2000的氧化硅制成的底膜502和厚5000的非晶硅膜503。通过热氧化或用氧化剂如过氧化氢处理在非晶硅膜503的表面还形成厚10~100的氧化硅膜。
在此条件下,用旋涂法形成极薄的醋酸镍层504。使用水或乙醇作溶剂,醋酸镍的浓度为10~50ppm(图9(A))。
然后,使用衬底在550℃含氮的环境气氛中退火4~8小时。结果,通过镍对结晶硅膜505的结晶化促进作用使非晶硅膜503结晶。经核实,在结晶硅膜505的一部分仍存在大小为1~数μm的非晶区域(图9(B))。
接着,用公知的光刻技术刻蚀硅膜,形成岛状硅区506。暂时去掉留在硅膜表面上的氧化膜。
然后,把衬底置于含30%氯化氢的氧气氛中,用KrF准分子激光辐照所说的衬底。辐照能量密度应是250~450mJ/cm2,例如300mJ/cm2,对衬底的每个地方辐照10~50次。结果,形成厚10~50的氧化硅膜507。激光的能量密度和次数可根据待形成的氧化硅膜507的厚度选定。在此辐照激光的处理中,使留下所说的结晶硅膜中的非晶区域也被结晶,可进一步改善硅膜的结晶度。在激光辐照工艺之后,再在上述条件下进行退火(图9(C))。
在激光辐照之后,用纯水清洗硅膜。然后,通过等离子增强CVD淀积厚1200氧化硅膜作栅绝缘膜。对于使用TEOS(Si(OC2H5)4)和氧作用于CVD的材料气体,淀积中衬底温度应定在300~550℃之间,例如400℃。
接着,经溅射淀积厚3000~8000,例如600的含0.01~0.2%钪的铝。然后,对铝膜进行图形转移和腐蚀,形成栅电极509。
然后,用栅电极作掩模,以自对准方式通过离子注入将具有P型导电掺杂剂掺入到栅电极以外的区域。使用乙硼烷(B2H6)作掺杂气体,加速电压应是40~80KV例如65KV剂量应是1×1014~5×1015cm-2,例如5×1014cm-2。结果,形成P型掺杂区510和511。然后,经激光辐照进行退火。使用KrF准分子激光(波长:248nm,脉宽:20ns)作激光。情况与实施例5的相同(图9(D))。
接着,通过等离子增强CVD淀积厚600的氧化硅膜512作层间绝缘体,并在该膜内形成接触孔。然后,用金属材料、诸如氮化钛和铝的多层膜在P型掺杂区510形成电极和布线513。再通过等离子增强CVD淀积厚2000~5000,例如3000的氮化硅膜514作钝化膜。腐蚀氮化硅膜和氧化硅膜512,在掺杂区511形成接触孔。最后,通过溅射淀积厚1000的透明导电材料ITO膜,腐蚀该膜,形成象素电极515。
借助上述工艺,形成有源矩阵电路中的象素的晶体管。若这些元件排成矩阵,则形成有源矩阵电路。在本实施例中,用KrF准分子激光器作激光器,然而当然,也可使用其它激光器。
当对结晶硅膜用可见或近红外辐射或激光辐照进行退火时,借助退火使结晶硅膜结晶,进一步促进结晶,可改善膜的质量。结果,可制成结晶度良好的硅膜。在硅膜上形成绝缘膜之后,再通过用红外辐射辐照减低界面能级,在此工艺之后,可去除悬空键,或在200~450℃的温度含氢的环境气氛中实施氢退火而中和。
在含氯或氟气体的气氛中通过可见或近约外辐射的短时间辐照,加热硅膜。然后,淀积或蒸发金属元素有助于促进含在Si膜中的结晶,如氯化物或氟化物。然后,在含氯化物气体或氟化物的氧化气氛中加热硅膜或用可见或近红外辐辐辐照短时间,在Si膜表面形成氧化膜。腐蚀该氧化膜,可降低Si膜中金属元素的浓度。通过这些工艺,也可得到进一步增强硅膜结晶度的效果。由于降低了金属元素的浓度,增强了用上述工艺处理过的硅膜所制成的薄膜半导体器件,例如TFT和TFD的可靠性。因为改善了结晶性,也使TFT和TFD的特性得以增强。综上所述,本发明对绝缘栅半导体器的形成是极为有效的。

Claims (22)

1.一种制造半导体器件的方法,其特征在于,它包括下列步骤:
在衬底上形成含硅的非单晶半导体膜;
形成催化元素,供促进与其接触的半导体膜的晶化过程;
进行脱氢;
在形成所述催化元素之后通过热退火使非单晶半导体膜晶化;
在所述半导体膜上形成氧化层,以降低所述催化部分在所述半导体膜中的浓度,所述氧化层是通过在所述热退火之后在含卤素化合物的起氧化作用的气体中氧化所述半导体膜的表面制取的。
2.如权利要求1所述的方法,其特征在于,所述半导体膜的结晶度在形成所述氧化层的过程中得到提高。
3.如权利要求2所述的方法,其特征在于,所述脱氢在大约450℃的温度下进行1小时。
4.如权利要求1所述的方法,其特征在于,它还包括在除去所述氧化层之后在所述半导体膜外露的表面上形成栅绝缘层的步骤。
5.一种制造半导体器件的方法,其特征在于,它包括下列步骤:
在衬底上方形成催化膜;
形成含硅的非单晶半导体膜与所述催化元素接触,所述催化元素促进与其接触的所述半导体膜的晶化过程;
进行脱氢;
在形成所述催化元素之后通过热退火使所述非单晶半导膜晶化;
在所述热退火之后,在含卤素化合物的气氛中用光照射所述半导体膜,以降低所述半导体膜中所述催化部分的浓度。
6.如权利要求5所述的方法,其特征在于,它还包括用光照射所述半导体膜,提高所述经热退火的非单晶半导体膜的结晶度的步骤,其中所述半导体膜的结晶度因所述光的照射而得到提高。
7.如权利要求6所述的方法,其特征在于,所述脱氢在大约450℃温度下进行1小时。
8.如权利要求5所述的方法,其特征在于,它还包括在除去所述氧化层之后在所述半导体膜外露的表面上形成栅绝缘膜的步骤。
9.一种制造半导体器件的方法,其特征在于,它包括下列步骤:
在绝缘表面上形成非单晶半导体膜;
给所述半导体膜提供能促进所述半导体膜的晶化过程的催化材料;
对供有所述催化材料的所述半导体膜进行晶化;
在所述晶化过程之后,在含卤素化合物气体起氧化作用的气氛中氧化所述半导体膜,以便在所述半导体层外露的表面上形成氧化层从而降低所述半导体膜中所述催化材料的浓度。
10.如权利要求9所述的方法,其特征在于,所述卤素选自氟和氯组成的卤素群。
11.如权利要求9所述的方法,其特征在于,所述卤素化合物气体选自包括HCl、CCl4和SiCl4的化合物气体群。
12.如权利要求9所述的方法,其特征在于,所述卤素化合物气体选自包括NF3和C2F6的卤素化合物气体群。
13.如权利要求9所述的方法,其特征在于,所述晶化通过加热所述半导体膜进行。
14.如权利要求9所述的方法,其特征在于,它还包括在所述半导体膜上形成所述半导体器件的有源区的步骤。
15.如权利要求9所述的方法,其特征在于,所述半导体膜含硅。
16.如权利要求9所述的方法,其特征在于,它还包括在除去所述氧化层之后在所述半导体膜外露的表面上形成栅绝缘层的步骤。
17.一种制造半导体器件的方法,其特征在于,它包括下列步骤:
在绝缘表面上形成非单晶半导体膜;
用能促进所述半导体膜晶化过程的催化材料处理与所述半导体膜的一部分接触的催化材料;
对供有所述催化材料的所述半导体膜进行晶化,使晶化过程从所述部分至所述半导体膜大致平行于所述绝缘表面的各毗邻部分进行;
在所述晶化之后在含卤素化合物气体起氧化作用的气氛中氧化所述半导体膜,以便在所述半导体膜外露的表面上形成所述半导体膜的氧化层,从而降低所述半导体膜中所述催化的材料浓度。
18.如权利要求17所述的方法,其特征在于,它还包括在所述半导体膜中形成所述半导体器件的有源区的步骤。
19.如权利要求17所述的方法,其特征在于,所述半导体膜含硅。
20.如权利要求17所述的方法,其特征在于,它还包括在除去所述氧化层之后,在所述半导体膜外露的表面上形成栅绝缘膜的步骤。
21.一种制造半导体器件的方法,其特征在于,它包括下列步骤:
在绝缘表面上形成非单晶半导体膜;
给所述半导体膜提供能促进所述半导体膜的晶化过程的催化材料;
对供有所述催化材料的所述半导体膜进行晶化;
绘制晶化过的半导体膜的图案使其形成半导体岛。
在含卤素化合物气体起氧化作用的气氛中氧化所述半导体岛,以便在所述半导体岛的表面上形成氧化层从而降低所述半导体膜中所述催化材料的浓度。
22.如权利要求21所述的方法,其特征在于,它还包括在除去所述氧化层之后在所述半导体岛的表面形成栅绝缘层的步骤。
CNB991213068A 1993-07-27 1994-07-27 半导体器件及其制造方法 Expired - Fee Related CN1160759C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP5204774A JP2789417B2 (ja) 1993-07-27 1993-07-27 半導体装置の作製方法
JP204774/1993 1993-07-27
JP20899693 1993-07-30
JP208996/1993 1993-07-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN94116165A Division CN1057401C (zh) 1993-07-27 1994-07-27 半导体器件及其制造方法

Publications (2)

Publication Number Publication Date
CN1254940A true CN1254940A (zh) 2000-05-31
CN1160759C CN1160759C (zh) 2004-08-04

Family

ID=26514651

Family Applications (3)

Application Number Title Priority Date Filing Date
CNB991213068A Expired - Fee Related CN1160759C (zh) 1993-07-27 1994-07-27 半导体器件及其制造方法
CN94116165A Expired - Fee Related CN1057401C (zh) 1993-07-27 1994-07-27 半导体器件及其制造方法
CN96110920A Expired - Fee Related CN1085887C (zh) 1993-07-27 1996-08-05 制造半导体器件的方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN94116165A Expired - Fee Related CN1057401C (zh) 1993-07-27 1994-07-27 半导体器件及其制造方法
CN96110920A Expired - Fee Related CN1085887C (zh) 1993-07-27 1996-08-05 制造半导体器件的方法

Country Status (4)

Country Link
US (6) US5529937A (zh)
KR (1) KR0171436B1 (zh)
CN (3) CN1160759C (zh)
TW (2) TW369686B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101142818B (zh) * 2005-03-16 2010-06-16 富士胶片株式会社 图像拍摄设备,图像拍摄方法,影集创建设备,影集创建方法,影集创建系统

Families Citing this family (257)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69125886T2 (de) 1990-05-29 1997-11-20 Semiconductor Energy Lab Dünnfilmtransistoren
US6008078A (en) * 1990-07-24 1999-12-28 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
US5254208A (en) * 1990-07-24 1993-10-19 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
US6975296B1 (en) * 1991-06-14 2005-12-13 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method of driving the same
JP3173854B2 (ja) 1992-03-25 2001-06-04 株式会社半導体エネルギー研究所 薄膜状絶縁ゲイト型半導体装置の作製方法及び作成された半導体装置
CN1560691B (zh) * 1992-08-27 2010-05-26 株式会社半导体能源研究所 半导体器件及其制造方法和有源矩阵显示器
US5403762A (en) * 1993-06-30 1995-04-04 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a TFT
TW226478B (en) * 1992-12-04 1994-07-11 Semiconductor Energy Res Co Ltd Semiconductor device and method for manufacturing the same
US6323071B1 (en) * 1992-12-04 2001-11-27 Semiconductor Energy Laboratory Co., Ltd. Method for forming a semiconductor device
DE69428387T2 (de) * 1993-02-15 2002-07-04 Semiconductor Energy Lab Herstellungsverfahren für eine kristallisierte Halbleiterschicht
US5985741A (en) * 1993-02-15 1999-11-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
JP3562588B2 (ja) * 1993-02-15 2004-09-08 株式会社半導体エネルギー研究所 半導体装置の製造方法
US6997985B1 (en) 1993-02-15 2006-02-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor, semiconductor device, and method for fabricating the same
TW241377B (zh) 1993-03-12 1995-02-21 Semiconductor Energy Res Co Ltd
US6413805B1 (en) 1993-03-12 2002-07-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device forming method
JP3535205B2 (ja) * 1993-03-22 2004-06-07 株式会社半導体エネルギー研究所 薄膜トランジスタの作製方法
KR100186886B1 (ko) * 1993-05-26 1999-04-15 야마자끼 승페이 반도체장치 제작방법
US6090646A (en) 1993-05-26 2000-07-18 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device
US5818076A (en) * 1993-05-26 1998-10-06 Semiconductor Energy Laboratory Co., Ltd. Transistor and semiconductor device
KR100355938B1 (ko) * 1993-05-26 2002-12-16 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치제작방법
JPH06349735A (ja) * 1993-06-12 1994-12-22 Semiconductor Energy Lab Co Ltd 半導体装置
US6713330B1 (en) 1993-06-22 2004-03-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor
US5488000A (en) 1993-06-22 1996-01-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor using a nickel silicide layer to promote crystallization of the amorphous silicon layer
US5529937A (en) * 1993-07-27 1996-06-25 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating thin film transistor
US5663077A (en) * 1993-07-27 1997-09-02 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor in which the gate insulator comprises two oxide films
US5492843A (en) * 1993-07-31 1996-02-20 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device and method of processing substrate
US6331717B1 (en) 1993-08-12 2001-12-18 Semiconductor Energy Laboratory Co. Ltd. Insulated gate semiconductor device and process for fabricating the same
JP3173926B2 (ja) * 1993-08-12 2001-06-04 株式会社半導体エネルギー研究所 薄膜状絶縁ゲイト型半導体装置の作製方法及びその半導体装置
JP2814049B2 (ja) 1993-08-27 1998-10-22 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
US5719065A (en) 1993-10-01 1998-02-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device with removable spacers
TW264575B (zh) * 1993-10-29 1995-12-01 Handotai Energy Kenkyusho Kk
CN100472752C (zh) 1993-12-02 2009-03-25 株式会社半导体能源研究所 半导体器件的制造方法
US6798023B1 (en) 1993-12-02 2004-09-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising first insulating film, second insulating film comprising organic resin on the first insulating film, and pixel electrode over the second insulating film
US5869362A (en) * 1993-12-02 1999-02-09 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
TW272319B (zh) * 1993-12-20 1996-03-11 Sharp Kk
KR100319332B1 (ko) * 1993-12-22 2002-04-22 야마자끼 순페이 반도체장치및전자광학장치
US5811326A (en) 1994-01-17 1998-09-22 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing thin film transistor
JP3221473B2 (ja) 1994-02-03 2001-10-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6884698B1 (en) * 1994-02-23 2005-04-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device with crystallization of amorphous silicon
US6723590B1 (en) 1994-03-09 2004-04-20 Semiconductor Energy Laboratory Co., Ltd. Method for laser-processing semiconductor device
KR100321541B1 (ko) 1994-03-09 2002-06-20 야마자끼 순페이 능동 매트릭스 디스플레이 장치의 작동 방법
US6162667A (en) * 1994-03-28 2000-12-19 Sharp Kabushiki Kaisha Method for fabricating thin film transistors
JP3192546B2 (ja) * 1994-04-15 2001-07-30 シャープ株式会社 半導体装置およびその製造方法
US6326248B1 (en) 1994-06-02 2001-12-04 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
JP3621151B2 (ja) * 1994-06-02 2005-02-16 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6867432B1 (en) 1994-06-09 2005-03-15 Semiconductor Energy Lab Semiconductor device having SiOxNy gate insulating film
US6337232B1 (en) 1995-06-07 2002-01-08 Semiconductor Energy Laboratory Co., Ltd. Method of fabrication of a crystalline silicon thin film semiconductor with a thin channel region
JPH07335906A (ja) * 1994-06-14 1995-12-22 Semiconductor Energy Lab Co Ltd 薄膜状半導体装置およびその作製方法
JP3504336B2 (ja) * 1994-06-15 2004-03-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW273639B (en) * 1994-07-01 1996-04-01 Handotai Energy Kenkyusho Kk Method for producing semiconductor device
JP3072005B2 (ja) * 1994-08-25 2000-07-31 シャープ株式会社 半導体装置及びその製造方法
JPH0869967A (ja) * 1994-08-26 1996-03-12 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
TW403993B (en) * 1994-08-29 2000-09-01 Semiconductor Energy Lab Semiconductor circuit for electro-optical device and method of manufacturing the same
JP3442500B2 (ja) 1994-08-31 2003-09-02 株式会社半導体エネルギー研究所 半導体回路の作製方法
US5789762A (en) * 1994-09-14 1998-08-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor active matrix circuit
JP4083821B2 (ja) * 1994-09-15 2008-04-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW374247B (en) * 1994-09-15 1999-11-11 Semiconductor Energy Lab Co Ltd Method of fabricating semiconductor device
US6670640B1 (en) 1994-09-15 2003-12-30 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device
USRE43450E1 (en) * 1994-09-29 2012-06-05 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating semiconductor thin film
US5789284A (en) 1994-09-29 1998-08-04 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating semiconductor thin film
US6300659B1 (en) 1994-09-30 2001-10-09 Semiconductor Energy Laboratory Co., Ltd. Thin-film transistor and fabrication method for same
US5915174A (en) * 1994-09-30 1999-06-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for producing the same
US5942768A (en) * 1994-10-07 1999-08-24 Semionductor Energy Laboratory Co., Ltd. Semiconductor device having improved crystal orientation
US6337229B1 (en) * 1994-12-16 2002-01-08 Semiconductor Energy Laboratory Co., Ltd. Method of making crystal silicon semiconductor and thin film transistor
JP3573811B2 (ja) * 1994-12-19 2004-10-06 株式会社半導体エネルギー研究所 線状レーザー光の照射方法
US6331475B1 (en) * 1995-01-12 2001-12-18 Semiconductor Energy Laboratory Co., Ltd. Method and manufacturing semiconductor device
JP4130237B2 (ja) * 1995-01-28 2008-08-06 株式会社半導体エネルギー研究所 結晶性珪素膜の作製方法及び半導体装置の作製方法
JP3364081B2 (ja) * 1995-02-16 2003-01-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
KR100265179B1 (ko) * 1995-03-27 2000-09-15 야마자끼 순페이 반도체장치와 그의 제작방법
US7075002B1 (en) * 1995-03-27 2006-07-11 Semiconductor Energy Laboratory Company, Ltd. Thin-film photoelectric conversion device and a method of manufacturing the same
JP3306258B2 (ja) * 1995-03-27 2002-07-24 三洋電機株式会社 半導体装置の製造方法
US7271410B2 (en) * 1995-03-28 2007-09-18 Semiconductor Energy Laboratory Co., Ltd. Active matrix circuit
JPH08264802A (ja) * 1995-03-28 1996-10-11 Semiconductor Energy Lab Co Ltd 半導体作製方法、薄膜トランジスタ作製方法および薄膜トランジスタ
US6933182B1 (en) * 1995-04-20 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device and manufacturing system thereof
US5963823A (en) * 1995-05-19 1999-10-05 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of thin film semiconductor device
JPH08330226A (ja) * 1995-05-31 1996-12-13 Semiconductor Energy Lab Co Ltd 半導体の作製方法
TW383502B (en) * 1995-06-01 2000-03-01 Seniconductor Energy Lab Kk Method of manufacturing semiconductor device
US6337109B1 (en) * 1995-06-07 2002-01-08 Semiconductor Energy Laboratory Co., Ltd. Method of producing crystalline semiconductor
US5771110A (en) * 1995-07-03 1998-06-23 Sanyo Electric Co., Ltd. Thin film transistor device, display device and method of fabricating the same
US6790714B2 (en) 1995-07-03 2004-09-14 Sanyo Electric Co., Ltd. Semiconductor device, display device and method of fabricating the same
JP4056571B2 (ja) 1995-08-02 2008-03-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3295679B2 (ja) * 1995-08-04 2002-06-24 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5966623A (en) * 1995-10-25 1999-10-12 Eastman Kodak Company Metal impurity neutralization within semiconductors by fluorination
US6294799B1 (en) * 1995-11-27 2001-09-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating same
US5940732A (en) 1995-11-27 1999-08-17 Semiconductor Energy Laboratory Co., Method of fabricating semiconductor device
JP3917205B2 (ja) * 1995-11-30 2007-05-23 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3907726B2 (ja) 1995-12-09 2007-04-18 株式会社半導体エネルギー研究所 微結晶シリコン膜の作製方法、半導体装置の作製方法及び光電変換装置の作製方法
JP3124480B2 (ja) 1995-12-12 2001-01-15 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6204101B1 (en) 1995-12-15 2001-03-20 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
TW319912B (zh) * 1995-12-15 1997-11-11 Handotai Energy Kenkyusho Kk
JP3645379B2 (ja) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3645380B2 (ja) * 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法、情報端末、ヘッドマウントディスプレイ、ナビゲーションシステム、携帯電話、ビデオカメラ、投射型表示装置
US5985740A (en) 1996-01-19 1999-11-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device including reduction of a catalyst
JP3645378B2 (ja) * 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6478263B1 (en) 1997-01-17 2002-11-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
JP3729955B2 (ja) 1996-01-19 2005-12-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5888858A (en) * 1996-01-20 1999-03-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method thereof
US6331457B1 (en) * 1997-01-24 2001-12-18 Semiconductor Energy Laboratory., Ltd. Co. Method for manufacturing a semiconductor thin film
US6180439B1 (en) 1996-01-26 2001-01-30 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device
US7056381B1 (en) 1996-01-26 2006-06-06 Semiconductor Energy Laboratory Co., Ltd. Fabrication method of semiconductor device
US6465287B1 (en) * 1996-01-27 2002-10-15 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device using a metal catalyst and high temperature crystallization
US6063654A (en) * 1996-02-20 2000-05-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor involving laser treatment
TW335503B (en) * 1996-02-23 1998-07-01 Semiconductor Energy Lab Kk Semiconductor thin film and manufacturing method and semiconductor device and its manufacturing method
TW317643B (zh) * 1996-02-23 1997-10-11 Handotai Energy Kenkyusho Kk
TW374196B (en) * 1996-02-23 1999-11-11 Semiconductor Energy Lab Co Ltd Semiconductor thin film and method for manufacturing the same and semiconductor device and method for manufacturing the same
JP3476320B2 (ja) * 1996-02-23 2003-12-10 株式会社半導体エネルギー研究所 半導体薄膜およびその作製方法ならびに半導体装置およびその作製方法
JP3472024B2 (ja) 1996-02-26 2003-12-02 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6100562A (en) 1996-03-17 2000-08-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5729049A (en) * 1996-03-19 1998-03-17 Micron Technology, Inc. Tape under frame for conventional-type IC package assembly
US5792700A (en) * 1996-05-31 1998-08-11 Micron Technology, Inc. Semiconductor processing method for providing large grain polysilicon films
US6133119A (en) * 1996-07-08 2000-10-17 Semiconductor Energy Laboratory Co., Ltd. Photoelectric conversion device and method manufacturing same
US5658808A (en) * 1996-08-14 1997-08-19 Industrial Technology Research Institute Method of fabricating polycrystalline silicon thin-film transistor having symmetrical lateral resistors
JPH1079510A (ja) * 1996-09-02 1998-03-24 Sharp Corp 半導体装置およびその製造方法
US6590230B1 (en) 1996-10-15 2003-07-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
TW451284B (en) * 1996-10-15 2001-08-21 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
US6118148A (en) 1996-11-04 2000-09-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
KR100297498B1 (ko) 1996-11-20 2001-10-24 윤덕용 마이크로파를이용한다결정박막의제조방법
JP3630894B2 (ja) 1996-12-24 2005-03-23 株式会社半導体エネルギー研究所 電荷転送半導体装置およびその作製方法並びにイメージセンサ
TW367612B (en) * 1996-12-26 1999-08-21 Hitachi Ltd Semiconductor device having nonvolatile memory and method of manufacture thereof
JPH10199807A (ja) 1996-12-27 1998-07-31 Semiconductor Energy Lab Co Ltd 結晶性珪素膜の作製方法
US6011275A (en) * 1996-12-30 2000-01-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US6387803B2 (en) * 1997-01-29 2002-05-14 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
US6090723A (en) * 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US6830616B1 (en) * 1997-02-10 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor and manufacturing method of semiconductor device
JP3765902B2 (ja) * 1997-02-19 2006-04-12 株式会社半導体エネルギー研究所 半導体装置の作製方法および電子デバイスの作製方法
JP4401448B2 (ja) * 1997-02-24 2010-01-20 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4242461B2 (ja) 1997-02-24 2009-03-25 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3844552B2 (ja) 1997-02-26 2006-11-15 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3544280B2 (ja) 1997-03-27 2004-07-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH10282414A (ja) * 1997-04-09 1998-10-23 Canon Inc ズームレンズ
US6339013B1 (en) * 1997-05-13 2002-01-15 The Board Of Trustees Of The University Of Arkansas Method of doping silicon, metal doped silicon, method of making solar cells, and solar cells
US6541793B2 (en) 1997-05-30 2003-04-01 Semiconductor Energy Laboratory Co., Ltd. Thin-film transistor and semiconductor device using thin-film transistors
JP3376247B2 (ja) * 1997-05-30 2003-02-10 株式会社半導体エネルギー研究所 薄膜トランジスタ及び薄膜トランジスタを用いた半導体装置
US6307214B1 (en) 1997-06-06 2001-10-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor thin film and semiconductor device
JP3844561B2 (ja) * 1997-06-10 2006-11-15 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6501094B1 (en) 1997-06-11 2002-12-31 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising a bottom gate type thin film transistor
JP3717634B2 (ja) * 1997-06-17 2005-11-16 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6066547A (en) * 1997-06-20 2000-05-23 Sharp Laboratories Of America, Inc. Thin-film transistor polycrystalline film formation by nickel induced, rapid thermal annealing method
JP3830623B2 (ja) 1997-07-14 2006-10-04 株式会社半導体エネルギー研究所 結晶性半導体膜の作製方法
JP3295346B2 (ja) 1997-07-14 2002-06-24 株式会社半導体エネルギー研究所 結晶性珪素膜の作製方法及びそれを用いた薄膜トランジスタ
US6326226B1 (en) 1997-07-15 2001-12-04 Lg. Philips Lcd Co., Ltd. Method of crystallizing an amorphous film
JP3939399B2 (ja) * 1997-07-22 2007-07-04 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH1140498A (ja) 1997-07-22 1999-02-12 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP4318768B2 (ja) 1997-07-23 2009-08-26 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4180689B2 (ja) * 1997-07-24 2008-11-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5972804A (en) * 1997-08-05 1999-10-26 Motorola, Inc. Process for forming a semiconductor device
US5969382A (en) 1997-11-03 1999-10-19 Delco Electronics Corporation EPROM in high density CMOS having added substrate diffusion
US5950078A (en) * 1997-09-19 1999-09-07 Sharp Laboratories Of America, Inc. Rapid thermal annealing with absorptive layers for thin film transistors on transparent substrates
US6686623B2 (en) 1997-11-18 2004-02-03 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile memory and electronic apparatus
JP4236722B2 (ja) * 1998-02-05 2009-03-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
KR100340124B1 (ko) 1998-02-10 2003-01-29 주승기 박막트랜지스터 제조방법
US6180444B1 (en) 1998-02-18 2001-01-30 International Business Machines Corporation Semiconductor device having ultra-sharp P-N junction and method of manufacturing the same
US6312979B1 (en) 1998-04-28 2001-11-06 Lg.Philips Lcd Co., Ltd. Method of crystallizing an amorphous silicon layer
JP2000039628A (ja) * 1998-05-16 2000-02-08 Semiconductor Energy Lab Co Ltd 半導体表示装置
JP2000012864A (ja) * 1998-06-22 2000-01-14 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US6524662B2 (en) 1998-07-10 2003-02-25 Jin Jang Method of crystallizing amorphous silicon layer and crystallizing apparatus thereof
US7294535B1 (en) 1998-07-15 2007-11-13 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US7153729B1 (en) 1998-07-15 2006-12-26 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US7282398B2 (en) * 1998-07-17 2007-10-16 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device and method of fabricating the same
US7084016B1 (en) * 1998-07-17 2006-08-01 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US6271101B1 (en) 1998-07-29 2001-08-07 Semiconductor Energy Laboratory Co., Ltd. Process for production of SOI substrate and process for production of semiconductor device
JP2000058839A (ja) 1998-08-05 2000-02-25 Semiconductor Energy Lab Co Ltd 半導体素子からなる半導体回路を備えた半導体装置およびその作製方法
US6559036B1 (en) * 1998-08-07 2003-05-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US6107210A (en) * 1998-08-19 2000-08-22 The United States Of America As Represented By The Secretary Of Commerce Maskless method for fabricating a low-loss microwave power sensor device
US6784034B1 (en) 1998-10-13 2004-08-31 Lg. Philips Lcd Co., Ltd. Method for fabricating a thin film transistor
US6558986B1 (en) 1998-09-03 2003-05-06 Lg.Philips Lcd Co., Ltd Method of crystallizing amorphous silicon thin film and method of fabricating polysilicon thin film transistor using the crystallization method
JP4493741B2 (ja) 1998-09-04 2010-06-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4476390B2 (ja) 1998-09-04 2010-06-09 株式会社半導体エネルギー研究所 半導体装置の作製方法
AU1093800A (en) * 1998-09-21 2000-04-10 Penn State Research Foundation, The Metal-contact induced crystallization in semiconductor devices
JP2000111950A (ja) * 1998-10-06 2000-04-21 Toshiba Corp 多結晶シリコンの製造方法
JP2000174282A (ja) * 1998-12-03 2000-06-23 Semiconductor Energy Lab Co Ltd 半導体装置
US6245674B1 (en) 1999-03-01 2001-06-12 Micron Technology, Inc. Method of forming a metal silicide comprising contact over a substrate
US7402467B1 (en) * 1999-03-26 2008-07-22 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6512504B1 (en) 1999-04-27 2003-01-28 Semiconductor Energy Laborayory Co., Ltd. Electronic device and electronic apparatus
KR100317641B1 (ko) 1999-05-21 2001-12-22 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
TW483287B (en) 1999-06-21 2002-04-11 Semiconductor Energy Lab EL display device, driving method thereof, and electronic equipment provided with the EL display device
US6204156B1 (en) 1999-09-02 2001-03-20 Micron Technology, Inc. Method to fabricate an intrinsic polycrystalline silicon film
US7232742B1 (en) 1999-11-26 2007-06-19 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device that includes forming a material with a high tensile stress in contact with a semiconductor film to getter impurities from the semiconductor film
KR100660814B1 (ko) * 1999-12-31 2006-12-26 엘지.필립스 엘시디 주식회사 박막트랜지스터의 반도체층 형성방법
US7098084B2 (en) * 2000-03-08 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6916693B2 (en) * 2000-03-08 2005-07-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6872607B2 (en) * 2000-03-21 2005-03-29 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP4592867B2 (ja) 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
US6599818B2 (en) * 2000-10-10 2003-07-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device manufacturing method, heat treatment apparatus, and heat treatment method
JP2002176000A (ja) * 2000-12-05 2002-06-21 Semiconductor Energy Lab Co Ltd 熱処理装置及び半導体装置の製造方法
US6759313B2 (en) * 2000-12-05 2004-07-06 Semiconductor Energy Laboratory Co., Ltd Method of fabricating a semiconductor device
US7045444B2 (en) 2000-12-19 2006-05-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device that includes selectively adding a noble gas element
US7534977B2 (en) * 2000-12-28 2009-05-19 Semiconductor Energy Laboratory Co., Ltd. Heat treatment apparatus and method of manufacturing a semiconductor device
US6858480B2 (en) * 2001-01-18 2005-02-22 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
TWI221645B (en) * 2001-01-19 2004-10-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
US7115453B2 (en) * 2001-01-29 2006-10-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
US6770518B2 (en) * 2001-01-29 2004-08-03 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
JP2002231627A (ja) * 2001-01-30 2002-08-16 Semiconductor Energy Lab Co Ltd 光電変換装置の作製方法
US7141822B2 (en) * 2001-02-09 2006-11-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JP4993810B2 (ja) 2001-02-16 2012-08-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP5088993B2 (ja) * 2001-02-16 2012-12-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
SG114529A1 (en) * 2001-02-23 2005-09-28 Semiconductor Energy Lab Method of manufacturing a semiconductor device
SG160191A1 (en) * 2001-02-28 2010-04-29 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
SG143975A1 (en) 2001-02-28 2008-07-29 Semiconductor Energy Lab Method of manufacturing a semiconductor device
US6830994B2 (en) * 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
US7052943B2 (en) 2001-03-16 2006-05-30 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP4718700B2 (ja) 2001-03-16 2011-07-06 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6812081B2 (en) * 2001-03-26 2004-11-02 Semiconductor Energy Laboratory Co.,.Ltd. Method of manufacturing semiconductor device
US6855584B2 (en) 2001-03-29 2005-02-15 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP2002299239A (ja) * 2001-04-03 2002-10-11 Fumimasa Yo 半導体膜の製造方法
US7253032B2 (en) * 2001-04-20 2007-08-07 Semiconductor Energy Laboratory Co., Ltd. Method of flattening a crystallized semiconductor film surface by using a plate
JP4854866B2 (ja) * 2001-04-27 2012-01-18 株式会社半導体エネルギー研究所 半導体装置の作製方法
GB0110634D0 (en) * 2001-05-01 2001-06-20 Gunton Bruce S Monitoring apparatus
TW541584B (en) * 2001-06-01 2003-07-11 Semiconductor Energy Lab Semiconductor film, semiconductor device and method for manufacturing same
US6777317B2 (en) * 2001-08-29 2004-08-17 Ultratech Stepper, Inc. Method for semiconductor gate doping
US7050878B2 (en) * 2001-11-22 2006-05-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductror fabricating apparatus
JP2003163221A (ja) * 2001-11-28 2003-06-06 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US7133737B2 (en) * 2001-11-30 2006-11-07 Semiconductor Energy Laboratory Co., Ltd. Program for controlling laser apparatus and recording medium for recording program for controlling laser apparatus and capable of being read out by computer
CN1248287C (zh) * 2001-11-30 2006-03-29 株式会社半导体能源研究所 半导体设备的制造方法
US20030210140A1 (en) * 2001-12-06 2003-11-13 Menard Raymond J. Wireless management of portable toilet facilities
US7214573B2 (en) * 2001-12-11 2007-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device that includes patterning sub-islands
JP3992976B2 (ja) 2001-12-21 2007-10-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4030758B2 (ja) * 2001-12-28 2008-01-09 株式会社半導体エネルギー研究所 半導体装置の作製方法
KR20020025918A (ko) * 2002-02-15 2002-04-04 박병주 습식 공정으로 제작된 유기 반도체 디바이스 및 유기전계발광 소자
US6908797B2 (en) * 2002-07-09 2005-06-21 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6861338B2 (en) * 2002-08-22 2005-03-01 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor and method of manufacturing the same
US6780789B1 (en) * 2002-08-29 2004-08-24 Advanced Micro Devices, Inc. Laser thermal oxidation to form ultra-thin gate oxide
KR20040021758A (ko) * 2002-09-04 2004-03-11 엘지.필립스 엘시디 주식회사 다결정 실리콘 박막트랜지스터 제조방법
US7374976B2 (en) * 2002-11-22 2008-05-20 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating thin film transistor
KR100492152B1 (ko) * 2002-12-31 2005-06-01 엘지.필립스 엘시디 주식회사 실리콘 결정화방법
KR100959684B1 (ko) * 2003-01-14 2010-05-26 엘지디스플레이 주식회사 박막트랜지스터 소자의 제조방법
JP4059095B2 (ja) * 2003-02-07 2008-03-12 セイコーエプソン株式会社 相補型薄膜トランジスタ回路、電気光学装置、電子機器
JP4794810B2 (ja) * 2003-03-20 2011-10-19 シャープ株式会社 半導体装置の製造方法
JP4531343B2 (ja) * 2003-03-26 2010-08-25 株式会社半導体エネルギー研究所 駆動回路
US7199061B2 (en) * 2003-04-21 2007-04-03 Applied Materials, Inc. Pecvd silicon oxide thin film deposition
US7964925B2 (en) * 2006-10-13 2011-06-21 Hewlett-Packard Development Company, L.P. Photodiode module and apparatus including multiple photodiode modules
KR101044489B1 (ko) * 2003-12-29 2011-06-27 엘지디스플레이 주식회사 대형 폭의 채널을 구비하는 폴리실리콘 액정표시소자 및그 제조방법
US7825021B2 (en) * 2004-01-16 2010-11-02 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing display device
KR101132266B1 (ko) * 2004-03-26 2012-04-02 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제조 방법
KR100712101B1 (ko) * 2004-06-30 2007-05-02 삼성에스디아이 주식회사 박막트랜지스터 및 그의 제조 방법
KR100611764B1 (ko) * 2004-08-20 2006-08-10 삼성에스디아이 주식회사 박막트랜지스터의 제조 방법
KR100611766B1 (ko) * 2004-08-24 2006-08-10 삼성에스디아이 주식회사 박막트랜지스터 제조 방법
US8334464B2 (en) * 2005-01-14 2012-12-18 Cabot Corporation Optimized multi-layer printing of electronics and displays
JP2006330021A (ja) * 2005-05-23 2006-12-07 Mitsubishi Electric Corp 液晶表示装置
KR101299604B1 (ko) * 2005-10-18 2013-08-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제조 방법
US7524713B2 (en) * 2005-11-09 2009-04-28 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US20070117287A1 (en) * 2005-11-23 2007-05-24 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus
KR100749010B1 (ko) * 2005-12-26 2007-08-13 (주)포인트엔지니어링 투명기판을 이용한 다결정 실리콘 박막 제조 방법 및 장치
US8278739B2 (en) * 2006-03-20 2012-10-02 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor film, semiconductor device, and method for manufacturing thereof
KR100703033B1 (ko) * 2006-03-22 2007-04-09 삼성전자주식회사 반도체 장치 및 그 제조 방법
US7972943B2 (en) * 2007-03-02 2011-07-05 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
DE102008051520A1 (de) 2008-10-13 2010-04-22 Helmholtz-Zentrum Berlin Für Materialien Und Energie Gmbh Verfahren zur Erzeugung einer (001)-texturierten Kristallschicht aus einem photoaktiven Schichtgitter-Halbleiter auf einer metallisch leitfähigen Schicht unter Beteiligung eines Metallpromoters
JP5266166B2 (ja) * 2009-08-31 2013-08-21 富士フイルム株式会社 透明ポリマーフィルムの裁断方法
US20120104402A1 (en) * 2010-11-03 2012-05-03 Pei-Hua Chen Architecture of analog buffer circuit
TWM421516U (en) 2011-07-05 2012-01-21 Chunghwa Picture Tubes Ltd Top-gate type transistor array substrate
JP5659098B2 (ja) * 2011-07-19 2015-01-28 株式会社東芝 半導体装置の製造方法
EP4092757A1 (en) 2013-04-03 2022-11-23 Lg Electronics Inc. Method for fabricating a solar cell
CN104124133B (zh) * 2013-04-24 2017-10-10 上海和辉光电有限公司 一种ltps产品中制造缓冲层的方法
KR102219804B1 (ko) 2014-11-04 2021-02-24 엘지전자 주식회사 태양 전지 및 그의 제조 방법
EP3026713B1 (en) 2014-11-28 2019-03-27 LG Electronics Inc. Solar cell and method for manufacturing the same
KR102272433B1 (ko) 2015-06-30 2021-07-05 엘지전자 주식회사 태양 전지 및 이의 제조 방법
CN106653781B (zh) * 2016-09-20 2020-03-20 上海集成电路研发中心有限公司 半导体器件的制造方法

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US28386A (en) * 1860-05-22 Improvement in seed-planters
US28385A (en) * 1860-05-22 Improvement in apparatus for clarifying cane-juice
USRE28385E (en) * 1968-03-20 1975-04-08 Method of treating semiconductor devices
US3556880A (en) * 1968-04-11 1971-01-19 Rca Corp Method of treating semiconductor devices to improve lifetime
US4226898A (en) * 1978-03-16 1980-10-07 Energy Conversion Devices, Inc. Amorphous semiconductors equivalent to crystalline semiconductors produced by a glow discharge process
US4309224A (en) * 1978-10-06 1982-01-05 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing a semiconductor device
JPS6023594B2 (ja) * 1978-12-27 1985-06-08 孝郎 河辺 飽和始動器
US4231809A (en) * 1979-05-25 1980-11-04 Bell Telephone Laboratories, Incorporated Method of removing impurity metals from semiconductor devices
DE2932569C2 (de) * 1979-08-10 1983-04-07 Siemens Ag, 1000 Berlin Und 8000 Muenchen Verfahren zur Reduzierung der Dichte der schnellen Oberflächenzustände bei MOS-Bauelementen
US4300989A (en) * 1979-10-03 1981-11-17 Bell Telephone Laboratories, Incorporated Fluorine enhanced plasma growth of native layers on silicon
US4276688A (en) * 1980-01-21 1981-07-07 Rca Corporation Method for forming buried contact complementary MOS devices
US4379020A (en) * 1980-06-16 1983-04-05 Massachusetts Institute Of Technology Polycrystalline semiconductor processing
US5262350A (en) * 1980-06-30 1993-11-16 Semiconductor Energy Laboratory Co., Ltd. Forming a non single crystal semiconductor layer by using an electric current
US4330363A (en) * 1980-08-28 1982-05-18 Xerox Corporation Thermal gradient control for enhanced laser induced crystallization of predefined semiconductor areas
US4399605A (en) * 1982-02-26 1983-08-23 International Business Machines Corporation Method of making dense complementary transistors
JPS5978557A (ja) * 1982-10-27 1984-05-07 Toshiba Corp 相補型mos半導体装置の製造方法
JPS60105216A (ja) * 1983-11-11 1985-06-10 Seiko Instr & Electronics Ltd 薄膜半導体装置の製造方法
JPH0614540B2 (ja) * 1984-09-04 1994-02-23 工業技術院長 半導体薄膜結晶層の製造方法
EP0178447B1 (en) * 1984-10-09 1993-02-17 Fujitsu Limited A manufacturing method of an integrated circuit based on semiconductor-on-insulator technology
JPS6199347A (ja) * 1984-10-19 1986-05-17 Fujitsu Ltd 半導体装置の製造方法
US5296405A (en) * 1985-08-02 1994-03-22 Semiconductor Energy Laboratory Co.., Ltd. Method for photo annealing non-single crystalline semiconductor films
JPS62181419A (ja) * 1986-02-05 1987-08-08 Nec Corp 多結晶シリコンの再結晶化法
US5221423A (en) * 1986-05-20 1993-06-22 Fujitsu Limited Process for cleaning surface of semiconductor substrate
JP2623276B2 (ja) * 1988-01-22 1997-06-25 株式会社日立製作所 薄膜半導体装置の製造方法
US5225355A (en) * 1988-02-26 1993-07-06 Fujitsu Limited Gettering treatment process
US5043224A (en) * 1988-05-12 1991-08-27 Lehigh University Chemically enhanced thermal oxidation and nitridation of silicon and products thereof
JPH02140915A (ja) * 1988-11-22 1990-05-30 Seiko Epson Corp 半導体装置の製造方法
US5200630A (en) * 1989-04-13 1993-04-06 Sanyo Electric Co., Ltd. Semiconductor device
US5278093A (en) * 1989-09-23 1994-01-11 Canon Kabushiki Kaisha Method for forming semiconductor thin film
DE69125886T2 (de) * 1990-05-29 1997-11-20 Semiconductor Energy Lab Dünnfilmtransistoren
US5147826A (en) * 1990-08-06 1992-09-15 The Pennsylvania Research Corporation Low temperature crystallization and pattering of amorphous silicon films
JP2973492B2 (ja) * 1990-08-22 1999-11-08 ソニー株式会社 半導体薄膜の結晶化方法
JP2838318B2 (ja) * 1990-11-30 1998-12-16 株式会社半導体エネルギー研究所 感光装置及びその作製方法
JP2794678B2 (ja) * 1991-08-26 1998-09-10 株式会社 半導体エネルギー研究所 絶縁ゲイト型半導体装置およびその作製方法
JPH05182923A (ja) * 1991-05-28 1993-07-23 Semiconductor Energy Lab Co Ltd レーザーアニール方法
JP3173058B2 (ja) * 1991-08-30 2001-06-04 ソニー株式会社 半導体薄膜の形成方法
JPH0582442A (ja) * 1991-09-18 1993-04-02 Sony Corp 多結晶半導体薄膜の製造方法
US5424230A (en) * 1992-02-19 1995-06-13 Casio Computer Co., Ltd. Method of manufacturing a polysilicon thin film transistor
US5254480A (en) * 1992-02-20 1993-10-19 Minnesota Mining And Manufacturing Company Process for producing a large area solid state radiation detector
US5288662A (en) * 1992-06-15 1994-02-22 Air Products And Chemicals, Inc. Low ozone depleting organic chlorides for use during silicon oxidation and furnace tube cleaning
TW226478B (en) * 1992-12-04 1994-07-11 Semiconductor Energy Res Co Ltd Semiconductor device and method for manufacturing the same
DE69428387T2 (de) * 1993-02-15 2002-07-04 Semiconductor Energy Lab Herstellungsverfahren für eine kristallisierte Halbleiterschicht
US5275851A (en) * 1993-03-03 1994-01-04 The Penn State Research Foundation Low temperature crystallization and patterning of amorphous silicon films on electrically insulating substrates
CN1095204C (zh) * 1993-03-12 2002-11-27 株式会社半导体能源研究所 半导体器件和晶体管
US5366926A (en) * 1993-06-07 1994-11-22 Xerox Corporation Low temperature process for laser dehydrogenation and crystallization of amorphous silicon
US5488000A (en) * 1993-06-22 1996-01-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor using a nickel silicide layer to promote crystallization of the amorphous silicon layer
US5529937A (en) * 1993-07-27 1996-06-25 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating thin film transistor
US5612250A (en) * 1993-12-01 1997-03-18 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device using a catalyst
TW279275B (zh) * 1993-12-27 1996-06-21 Sharp Kk
JP3221473B2 (ja) * 1994-02-03 2001-10-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3378078B2 (ja) * 1994-02-23 2003-02-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW236711B (zh) * 1994-03-07 1994-12-21 Semiconductor Energy Lab
TW319912B (zh) * 1995-12-15 1997-11-11 Handotai Energy Kenkyusho Kk

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101142818B (zh) * 2005-03-16 2010-06-16 富士胶片株式会社 图像拍摄设备,图像拍摄方法,影集创建设备,影集创建方法,影集创建系统

Also Published As

Publication number Publication date
CN1057401C (zh) 2000-10-11
US6077758A (en) 2000-06-20
CN1160759C (zh) 2004-08-04
TW369686B (en) 1999-09-11
US6924213B2 (en) 2005-08-02
CN1085887C (zh) 2002-05-29
CN1136707A (zh) 1996-11-27
TW357415B (en) 1999-05-01
US20050245053A1 (en) 2005-11-03
KR0171436B1 (en) 1999-03-30
KR950004453A (ko) 1995-02-18
CN1151085A (zh) 1997-06-04
US20030022467A1 (en) 2003-01-30
US6455401B1 (en) 2002-09-24
US5529937A (en) 1996-06-25
US6071764A (en) 2000-06-06
US7056775B2 (en) 2006-06-06

Similar Documents

Publication Publication Date Title
CN1160759C (zh) 半导体器件及其制造方法
CN1045688C (zh) 半导体薄膜及使用这种薄膜的半导体器件的制造方法
CN1156887C (zh) 半导体器件及其制造方法
CN1052565C (zh) 一种制造半导体器件的方法
CN1078014C (zh) 半导体器件及其制造方法
CN1094652C (zh) 制造具有结晶半导体膜的半导体器件的方法
CN1052566C (zh) 制造半导体器件的方法
CN1143362C (zh) 制造半导体器件的方法
CN1041872C (zh) 半导体器件及其制造方法
CN1156913C (zh) 用于电子光学器件的半导体电路及其制造方法
CN1194378C (zh) 有源矩阵型显示设备
CN1156018C (zh) 半导体器件及其制造方法
CN1052572C (zh) 制造半导体器件的方法
CN1051877C (zh) 半导体器件及其制造方法
CN1051640C (zh) 半导体器件及其制造方法
CN1188738C (zh) 制作至少一个薄膜晶体管的方法
CN1842919A (zh) 薄膜晶体管及其制造方法
CN1881532A (zh) 一种制造薄膜晶体管和电子器件的方法
CN1146038C (zh) 半导体器件及其制造方法

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20040804

Termination date: 20130727