CN1258617C - 用于在衬底上沉积具有较高介电常数的涂层的方法 - Google Patents

用于在衬底上沉积具有较高介电常数的涂层的方法 Download PDF

Info

Publication number
CN1258617C
CN1258617C CNB028067622A CN02806762A CN1258617C CN 1258617 C CN1258617 C CN 1258617C CN B028067622 A CNB028067622 A CN B028067622A CN 02806762 A CN02806762 A CN 02806762A CN 1258617 C CN1258617 C CN 1258617C
Authority
CN
China
Prior art keywords
reaction cycle
oxidizing gas
temperature
wafer
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB028067622A
Other languages
English (en)
Other versions
CN1498285A (zh
Inventor
J·常
Y·-S·林
A·凯普滕
M·森德勒
S·莱维
R·布洛姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of California
Mattson Technology Inc
Original Assignee
University of California
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of California, Mattson Technology Inc filed Critical University of California
Publication of CN1498285A publication Critical patent/CN1498285A/zh
Application granted granted Critical
Publication of CN1258617C publication Critical patent/CN1258617C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2

Abstract

提供了一种用于在衬底(14)如半导体晶片上沉积高k介电涂层的方法。对衬底进行一次或多次反应循环。例如,在典型的反应循环中,将衬底加热到一定的沉积温度。之后,在一个实施例中,将一种或多种用于反应的有机金属气体先质供应到反应容器(12)中。还在一定的氧化温度下向衬底提供氧化气体,以便氧化和/或致密化这些层。结果,形成了金属氧化物涂层,其厚度等于至少约一个单层,在一些情况下等于两个或多个单层。所得金属氧化物涂层的介电常数通常大于约4,在一些情况下为约10到约80。

Description

用于在衬底上沉积具有较高介电常数的涂层的方法
相关申请
本申请要求享有于2001年3月20日提交的临时申请No.60/277326的优先权。
发明背景
为了形成MOSFET(金属氧化物半导体场效应晶体管)器件或高性能存储器件如DRAM(动态随机存取存储器),通常需要在衬底如硅晶片上形成薄的高介电常数(高k)的涂层。例如,这种涂层的厚度通常小于30毫微米。为了在衬底上形成这种薄介电涂层,已经开发了多种沉积技术。
例如,传统上采用化学气相沉积(CVD)来在衬底上形成高k涂层。化学气相沉积通常涉及将气体先质和氧化气体供应到容器中。先质分解并与氧化气体在衬底表面上发生反应以形成氧化涂层。然而,化学气相沉积通常会导致具有许多缺陷的较厚的层,这会限制所得电子设备的性能。因此,在形成高k涂层之后,通常将其暴露在退火气体中,使得氧气可渗入到涂层中,消除存在于涂层和衬底的交界处以及涂层体积内的缺陷。在许多情况下,需要较高的温度来使氧化气体以上述方式扩散通过此涂层。
然而,这种高温有时会引起不希望发生的涂层结晶化,从而增大通过晶粒边界的泄漏电流,它会不利地降低电子器件的整体性能。
因此,鉴于这些问题,已经开发了另一种用于在衬底上沉积高k涂层的方法,其称为“原子层沉积”。原子层沉积涉及反应化学物到晶片衬底上的连续循环以形成薄膜层。具体地说,在相同的沉积和表面活性条件下进行各反应循环,使得每次反应循环只形成一个单层。例如,原子层沉积通常涉及供应气体先质(如无机金属卤化物)以提供一个单层。之后,供应第二气体如水,以完全地氧化气体先质并在晶片衬底上形成金属氧化膜。采用附加的循环来形成其它的单层,直到形成所需的涂层。之后,供应退火气体以消除涂层上的缺陷。例如,如图4A所示,图中显示了根据传统的“原子层沉积’’技术所形成的涂层。在授予Suntola的美国专利No.4058430和4413022中介绍了这种传统原子层沉积技术的其它例子。
虽然原子层沉积较现有方法具有一些优点,然而它仍存在许多问题。例如,原子层沉积提供了非常少的加工控制,因此,可能无法容易地实现介电涂层的某些目标性能。另外,由于原子层沉积限制在每次反应循环只形成一个单层,因此它在生产环境中的有效性受到了限制。
因此,存在着对在衬底上沉积高k涂层的改进方法的需求。
发明概要
根据本发明的一个实施例,提供了一种用于在衬底(如半导体晶片)上沉积介电涂层的方法,此方法包括一种系统,其包括适于容纳衬底的反应容器和与反应容器连通以加热衬底的能量源。然而在反应容器中,可对衬底进行一次或多次反应循环。例如,一个反应循环可包括下述:
a)采用能量源将衬底加热到第一沉积温度,其中第一沉积温度大于约300℃;
b)在衬底处于第一沉积温度时向反应容器中供应第一气体先质并持续第一沉积时间,第一气体先质具有第一气体先质流量,第一气体先质包括有机金属化合物;
c)在衬底处于第一氧化气体温度时向反应容器中供应第一氧化气体并持续第一氧化气体时间,第一氧化气体具有第一氧化气体流量。
结果,在反应循环期间形成了电介质的至少部分单层,在一些情况下至少形成了一个单层。例如,电介质可含有金属氧化物,其包括但不限于氧化铝(Al2O3)、氧化钽(Ta2O5)、氧化钛(TiO2)、氧化锆(ZrO2)、氧化铪(HfO2)、氧化钇(Y2O3)及其组合物等。另外,介电涂层还可含有金属硅酸盐,例如硅酸铪或硅酸锆。
另外,用于在衬底上沉积介电涂层的方法还包括:
对衬底进行第二反应循环,所述第二反应循环包括:
a)在衬底处于第二沉积温度时向反应容器中供应第二气体先质并持续第二沉积时间,此第二沉积温度大于约300℃,第二气体先质具有第二气体先质流量;
b)在衬底处于第二氧化气体温度时向反应容器中供应第二氧化气体并持续第二氧化气体时间,第二氧化气体具有第二氧化气体流量。
此方法还包括控制第一反应循环和第二反应循环,使得第一沉积温度与第二沉积温度不同,第一气体先质流量与第二气体先质流量不同,第一沉积时间与第二沉积时间不同,第一氧化气体温度与第二氧化气体温度不同,第一氧化气体流量与第二氧化气体流量不同,第一氧化气体时间与第二氧化气体时间不同,或者使得存在着上述不同的组合。然而在一些备选实施例中,在各反应循环中各上述参数基本上保持相同。
根据本发明的另一实施例,公开了一种用于沉积介电涂层的方法。此方法包括:
i)提供一种系统,其包括适于容纳半导体晶片的反应容器和与反应容器连通以加热容纳于容器中的半导体晶片的能量源;和
ii)对半导体晶片进行第一反应循环,此第一反应循环包括:
a)采用能量源将半导体晶片加热到第一沉积温度,其中第一沉积温度大于约300℃;
b)在半导体晶片处于第一沉积温度时向反应容器中供应第一气体先质并持续第一沉积时间,第一气体先质具有第一气体先质流量;
c)在半导体晶片处于第一氧化温度时向反应容器中供应第一氧化气体并持续第一氧化气体时间,第一氧化气体具有第一氧化气体流量,其中在第一反应循环期间形成了电介质的至少部分单层;和
iii)对半导体晶片进行第二反应循环,此第二反应循环包括:
a)在半导体晶片处于第二沉积温度时向反应容器中供应第二气体先质并持续第二沉积时间,此第二沉积温度大于约300℃,第二气体先质具有第二气体先质流量;
b)在半导体晶片处于第二氧化气体温度时向反应容器中供应第二氧化气体并持续第二氧化气体时间,第二氧化气体具有第二氧化气体流量,其中在第二反应循环期间形成了电介质的至少部分单层;和
iv)对半导体晶片进行一次或多次附加反应循环以达到目标厚度。在此实施例中,第一气体先质、第二气体先质或其组合包括有机硅化合物,使得所得到的介电涂层含有金属硅酸盐。
下面将详细地讨论本发明的其它特征和方面。
附图简介
在引用了附图的说明书的余下部分中将更具体地介绍本发明的完整且有效的公开内容,其包括实施发明的最佳方式,这是本领域的技术人员所理解的。在附图中:
图1是可在本发明的一个实施例中使用的快速热化学气相沉积系统的剖视图;
图2是用于确定本发明的一个实施例所需的反应循环次数的方法的流程图;
图3是根据本发明的一个实施例的用于三个反应循环的温度、流量和时间曲线的图示;
图4是各个反应循环的图示,其中图4A显示了采用传统的原子层沉积技术来沉积化学物“a”和“e”,图4B显示了根据本发明的一个实施例来沉积化学物“a”和“e”;
图5是本发明的一个实施例的图示,其中图5A是沉积厚度与循环次数的关系图,图5B是沉积厚度与气体先质时间的关系图,图5C是沉积厚度与总时间的关系图,而图5D是沉积厚度与气体先质蒸气压力的关系图;和
图6是本发明的一个实施例的图示,其中图6A是晶片上不同点处的沉积厚度与此点到晶片中心的距离的关系图,而图6B是由椭圆对称和原子力显微技术确定的晶片的表面均匀性和光洁度。
在此说明书和附图中对标号的重复使用是用于说明本发明的相同或相似的特征或元件。
代表性实施例的详细介绍
本领域的技术人员可以理解,这里的讨论只是代表性实施例的描述,并不限制本发明的更广的范围,其中在代表性结构中体现了更广的范围。
本发明大体上涉及一种在衬底上沉积具有较高介电常数″k″的涂层的方法。例如,根据本发明形成的涂层的介电常数通常大于约4,在一些实施例中大于约8,在一些实施例中大于约10,并且在一些实施例中大于约15。例如,根据本发明形成的涂层的介电常数在约5到约100之间,在一些实施例中在约15到约20之间。另外,所得的高k涂层通常具有小于约30毫微米的厚度。例如,在形成逻辑部件如MOSFET器件时,所得厚度通常在约1到约8毫微米之间,在一些实施例中在约1到约2毫微米之间。另外,在形成存储器件如DRAM时,所得厚度通常在约2到约30毫微米之间,在一些实施例中在约5到约10毫微米之间。
在一些实施例中,本发明的方法可用于沉积含有金属氧化物的高k介电涂层,其中此金属为铝、铪、钽、钛、锆、钇、硅及其组合物,等等。例如,本发明的方法可用于在由硅制成的半导体晶片上沉积金属氧化物的薄涂层,例如氧化铝(Al2O3)、氧化钽(Ta2O5)、氧化钛(TiO2)、氧化锆(ZrO2)、氧化铪(HfO2)和氧化钇(Y2O3)等。例如,氧化钽通常可形成介电常数在约15到约30之间的涂层。类似地,介电涂层还可含有金属硅酸盐化合物,例如硅酸锆(SiZrO4)、硅酸铪(SiHfO4),等等。
为了沉积较高k的涂层,可对衬底进行多种反应循环。例如,在典型的反应循环中,将晶片衬底加热到一定的沉积温度(例如大于约300℃)。之后,将一种或多种反应气体先质供应到反应容器中。为了使沉积层完全地氧化和/或退火(即致密化),可将氧化气体以一定的氧化温度供应到容器中,此氧化温度可与沉积温度相同或不同。可采用附加的反应循环来在衬底上沉积另外的层,以得到具有所需厚度的涂层。结果,可在反应循环中形成介电涂层,其厚度等于至少一个部分单层,在一些情况下,其厚度至少等于一个单层。
可以仔细地控制上述工艺中的各参数,使得对所得涂层的特性进行优化。例如,可以在各循环中控制气体先质和/或氧化气体的沉积温度、氧化温度和/或流量,使得沉积涂层可完全地氧化并具有相对较低的缺陷密度。如下文中更详细地介绍那样,本发明的方法可以提供对传统沉积技术的多种改进。例如,与传统技术相比,本发明的方法可用于在一次反应循环中形成多个氧化物层。特别是,多个层可由使用更高的沉积和/或氧化温度来部分地形成。而且,根据本发明而形成的层可以递增的步骤、即在不同的反应循环中的气体先质沉积之间完全地氧化和/或退火。另外,由于这种氧化和/或退火在沉积期间递增式地发生,因此,实际上就无须在完全沉积后进行单独的退火工序。作为这些和其它改进的结果,发明人已经发现,与传统形成的涂层相比,所形成的高k介电涂层具有较少的缺陷、较低的泄漏电流和较高的产量,并且更均匀。
通常来说,能够用于在衬底上沉积介电涂层的任何腔或容器均可用于本发明中。例如,传统的化学气相沉积容器可适用于本发明的方法。然而应当理解,在其它技术中使用的其它容器,例如在物理气相沉积、等离子增强化学气相沉积和溅射等中使用的容器也可用于本发明中。
关于这一点,参见图1,图中显示了采用化学气相沉积来在衬底上沉积介电涂层的系统10的一个特定实施例。如图所示,系统10包括适于容纳衬底如半导体晶片14的反应容器12。如图所示,晶片14处于衬底固定器15上,此衬底固定器例如由绝热材料如石英制成。在一个实施例中,衬底固定器15可在加工期间通过晶片旋转机构来使晶片14旋转。使晶片14旋转可促进在晶片14表面上得到更佳的温度均匀性,并促进在晶片14和引入到反应容器12中的气体之间得到增强的接触和气体均匀性。然而应当理解,除晶片之外,反应容器12还适于加工其它衬底,例如光学器件、薄膜、纤维、带状物,等等。
反应容器12设计成可很快地并在精细控制的条件下加热晶片14。反应容器12可由各种材料制成,包括例如金属和陶瓷。例如,在一些实施例中,反应容器12可由不锈钢或石英制成。在反应容器12由传热材料制成时,它通常包括冷却系统。例如,如图1所示,反应容器12包括冷却导管16,其缠绕在反应容器12的周边,或者包含在反应容器12的孔内。
如图所示,在装置10中还设有能量源22。特别是,能量源22可设置成与反应容器12相通,以便在加工期间发出能量以加热晶片14。通常来说,可采用各种加热装置作为能量源22。例如,能量源22可包括光、激光(例如氮气激光)、紫外线辐射加热装置、弧光灯、闪光灯、红外线辐射装置及其组合,等等。而且,可以改变能量源22的光谱形状和/或一定的特性(例如强度、偏振性、连续性和/或脉冲发光辐射)以适应特定的工艺。例如,可以控制能量源22的光谱形状,其作为时间的函数或晶片14或晶片14上的薄膜的性能(例如,薄膜和/或晶片14的温度、沉积在晶片14上的薄膜厚度、或者薄膜或晶片14的任何其它的物理或化学参数)的函数。
例如,在所示实施例中,能量源22包括多个灯24。灯24可以是白炽灯,例如钨-卤素灯。能量源22还可包括反射镜或一组反射镜,用于将灯24所发出的能量均匀地引导到晶片14上。如图1所示,灯24设置在晶片14之上。然而应当理解,灯24可以设置在任何特定的位置上。例如,灯可设置在晶片14之上和/或之下。另外,如果需要的话,可以在系统10中设置更多或更少的灯。
系统10还可包括位于能量源22和晶片14之间的窗口32,其能够允许预选波长的能量从中通过。例如,在一些实施例中,窗口32可用作滤光器,其可允许一定波长的光从中通过,同时吸收其它波长的光。另外,在一些实施例中,窗口32可以不用作滤光器。例如,在一个实施例中,可采用透明窗口32来允许激光、例如可发出337毫微米波长的光的氮气激光从能量源22中发出并照射在晶片14上。在此实施例中,窗口32不必用作滤光器。
为了在加热循环期间监控晶片14的温度,在一个实施例中,反应容器12可包括多个辐射检测装置27。辐射检测装置27例如可包括多个光纤、透镜、光导管等。例如在所示实施例中,辐射检测装置包括光导管28,其与多个相应的温度检测器30相连。例如在一个实施例中,光纤28设置成可接收由晶片14发出的特定波长的热能。然后将所检测到的辐射量发送到温度检测器30中,其可产生可用电压信号以确定晶片的温度,此温度可部分地根据普朗克定律来计算。在一个实施例中,与温度检测器30相结合的各光纤28包括高温计。在另一实施例中,光纤28连接到一个多路复用的辐射检测装置上。
除辐射检测装置外,其它温度检测装置也可用于本发明的系统中。例如,可在系统中结合一个或多个热电偶,以便监控晶片14的一个或多个位置处的温度。热电偶可设置成与晶片14直接接触,或者放置在晶片14的附近,温度从此处向外传播。
反应容器12还包括至少一个入口18,用于将一种或多种液体先质或气体引入到容器中以在晶片14上形成介电涂层。例如,如图所示,入口18可通过管线72与供气源70相连通,并通过管线74与供气源80相连通,以便对反应容器12提供两股单独的气体。反应容器12还包括至少一个出口20,用于在一定时间之后将气体从容器12中排出。例如,如下面将更详细地介绍那样,气体先质和氧化气体可通过入口18而引入到反应容器12中,以便在晶片14上形成涂层。还应当理解,虽然只显示了一个入口18和出口20,然而容器12可设有任何数量的入口和出口,以便为容器供应气体或液体。根据本发明的一个实施例,在反应容器12中提供一种或多种气体,以便在晶片14上形成介电涂层。介电涂层可直接形成在晶片14上,或形成在先前已形成于晶片14上的一个势垒层如氮化硅层上。关于这一点,下面将更详细地介绍采用如图1所示的化学气相沉积系统来在晶片14上形成介电涂层的本发明方法的一个实施例。然而应当理解,其它的系统和其它的沉积技术也可用于本发明的方法。例如,在Loan等人的美国专利No.6136725中介绍了一种适当的化学气相沉积系统,此专利通过引用而整体地结合于本文中。
如图所示,首先通过用能量源22将晶片14加热到一定的沉积温度来启动反应循环。如下文中所详细介绍的那样,给定反应循环的特定沉积温度通常可根据所用的衬底、所用的反应气体和/或沉积涂层的所需性能而变化。
根据本发明,沉积温度通常为较高的值,使得如果需要的话可在衬底上形成多个高k层。例如,通常希望本发明所用的沉积温度大于在传统的原子层沉积技术中采用的沉积温度。特别是,当在硅晶片上沉积高k介电层时,晶片的沉积温度通常保持在犬于约300℃,在一些实施例中大于约350℃,而且在一些实施例中大于约500℃。例如,虽然沉积温度通常根据所用的气体先质而变化,然而它一般为约300℃到约900℃,在一些实施例中为约500℃到约900℃。而且,沉积期间的反应容器的压力通常为约10-7托到约100托。
在晶片14保持在沉积温度时,将气体先质经入口18供应到反应容器12中,并保持一定的沉积时间和一定的流量。例如,如图1所示,可从供气源70中经管线72将一种或多种气体先质供应到气体入口18。气体先质的流量可以变化,但通常为约1标准立方厘米/分钟到约1升/分钟。气体先质可单独地或者与载气如惰性气体(如氩气)一起供应到反应容器12中。在DiMeo,Jr.的美国专利No.5972430中介绍了其它适当的惰性气体,此专利通过引用而整体地结合于本文中。
通常来说,本发明可采用多种气体先质来形成具有高介电常数″k″的涂层。特别是,在本发明中可使用任何能够在晶片上形成高k涂层的气体先质。例如,一些适当的气体先质包括含有铝、铪、钽、钛、硅、钇、锆及其组合物等的气体。
在一些情况下,可采用有机金属化合物的蒸气作为先质。这种有机金属气体先质的一些例子包括但不限于三异丁基铝、乙醇铝、乙酰丙酮铝、叔丁醇铪(IV)、乙醇铪(IV)、四丁氧基硅烷、四乙氧基硅烷、五(二甲氨基)钽、乙醇钽、甲醇钽、四乙氧基乙酰丙酮酸钽、四(二乙氨基)钛、叔丁醇钛、乙醇钛、三(2,2,6,6-四甲基-3,5-庚烷二酮酸根合)钛、三[N,N-双(三甲代甲硅烷基)酰胺]钇、三(2,2,6,6-四甲基-3,5-庚烷二酮酸根合)钇、四(二乙氨基)锆、叔丁醇锆、四(2,2,6,6-四甲基-3,5-庚烷二酮酸根合)锆、双(环戊二烯基)二甲基锆,等等。
当根据本发明来使用时,例如上述的有机金属先质可在晶片衬底上形成部分单层、单层或多个单层(部分的或完全的)。因此,与采用无机金属气体先质与氧化气体反应而形成介电涂层的传统CVD技术相比,本发明的方法能够有利地形成层,无须用氧化气体与衬底表面发生反应。然而应当理解,在本发明中,无机金属气体先质可与有机金属先质相结合地使用。例如在一个实施例中,在第一反应循环期间使用有机金属先质(如有机硅化合物),而在第二反应循环期间使用无机金属先质(如含硅无机化合物),或者与之相反。
在本发明的方法中形成层的期间,有机金属气体先质有时会在衬底上产生不希望有的碳氢化合物。因此,在一些情况下,可提供一种或多种氧化气体以减小层中的碳氢化合物缺陷。例如,如图1所示,在所需的沉积时间之后,可采用泵(未示出)并通过出口20来从反应容器12中除去气体先质。还可采用一种或多种惰性气体来净化气体先质。然后可经入口18将氧化气体供应到反应容器12中,并保持一定的氧化时间和一定的流量。例如,如图1所示,可从供气源80中经管线74将一种或多种氧化气体供应到气体入口18。氧化气体的流量可以变化,但通常在1标准立方厘米/分钟到约1标准升/分钟之间。通常来说,在本发明中可使用多种能氧化金属的任一种气体。例如,一些适当的氧化气体包括但不限于氧气、二氧化氮(NO2)、一氧化二氮(N2O)、水蒸气及其组合物,等等。
在氧化气体时间中,通常由能量源22将晶片14加热到氧化气体温度,以便氧化和/或致密化氧化物涂层,以便限制在其中形成缺陷。氧化气体温度可与沉积温度相同或不同。实际上,给定反应循环的特定氧化气体温度通常可根据所用的衬底、所用的气体和/或沉积涂层的所需性能而变化。例如,当在硅晶片上沉积高k介电涂层时,所采用的氧化气体温度通常保持在大于约300℃,在一些实施例中大于约500℃,在一些实施例中在约400℃到约900℃之间,并且在一些实施例中在约500℃到约900℃之间。而且,氧化期间的反应容器的压力通常在约10-7托到约100托之间。
作为例如上述反应循环的结果,可在晶片14的界面附近形成高k氧化物材料的一个或多个层,因此,它们在这里称为“界面”层。如上所述,通过采用一个或多个附加反应循环,还可在这些界面层上形成附加层。这些附加层在这里称为“体积”层。应当理解,只有形成在晶片14上的第一层才一定称为“界面”层,只有形成在晶片14上的最后层才一定称为“体积”层。具体地说,形成在第一层和最后层之间的层可称为体积层或界面层。
如上所述,可进行附加反应循环以实现所需的涂层厚度。例如,参考图2,图中显示了用于确定给定工艺所需的反应循环的数量的方法的一个实施例。例如,如图所示,首先根据标准工业技术来得到用于所需涂层的给定沉积温度下的金属氧化物沉积曲线。在加工期间,层的生长作为沉积时间的函数来观测,以确定层是连续地生长或已达到饱和厚度。如果达到饱和厚度,就提高沉积温度并得到在升高的温度下的生长曲线。重复这一过程,直到在增加沉积时间时观测到层连续地生长。在此时,在指定温度下进行一个例如上述的反应循环,确定沉积层的厚度。然后将测量厚度与预定的目标厚度相比较。所需的附加反应循环的数量等于预定的目标厚度除以测量厚度。
沉积工艺可在饱和区域或连续生长区域中进行。沉积膜的形貌和膜特性因所涉及的不同表面反应机理而变化。
根据本发明,可以控制上述方法的各个参数,以生产出具有一定预选性能的介电涂层。例如,用于反应循环的气体先质和氧化气体可选择为相同或不同。而且,在一个实施例中,可以控制一个或多个反应循环的“沉积条件”(即允许气体先质与衬底接触的时间段内的条件)。例如在一些实施例中,希望使用一定的预选沉积温度图形、气体先质蒸气压力图形、沉积时间图形和/或气体先质流量图形,以便使一个反应循环在一组沉积条件下操作,而另一反应循环在另一组沉积条件下操作。
参考图3,图中显示了可用于本发明的一组沉积条件的曲线的一个实施例。特别是,图3所示的实施例表示采用了三个反应循环的工艺。如图所示,各循环的沉积温度均下降,而所有三个反应循环的气体先质流量保持相同。例如,在一个实施例中,第一循环的沉积温度为约500℃,并在之后降低。而且,在如图3所示的实施例中,第一反应循环的先质沉积时间稍短于其余两个反应循环的沉积时间。结果,在第一循环中形成的初始界面层可能比在其它循环中形成的层稍薄,这在一些情况下可提高界面层的质量和提高所得涂层的电性能。
除了控制一个或多个反应循环的沉积条件之外,还可以控制一个或多个反应循环的“氧化气体条件”(即在允许氧化气体与衬底接触的时间段内的条件)。例如,在一些实施例中,希望使用一定的预选氧化气体温度图形、氧化气体蒸气压力图形、氧化气体时间图形和/或氧化气体流量图形,以便使一个反应循环在一组氧化条件下操作,而另一反应循环在另一组氧化/退火条件下操作。
参考图3,图中显示了可用于本发明的氧化条件图形的一个实施例。如图所示,对于所有三个反应循环来说,氧化气体时间和温度均下降。另外,对较长时间的第一反应循环来说,氧化气体流量较高,而对较短时间的第二和第三反应循环来说,氧化气体流量较低。
这种氧化气体条件的图形可对金属氧化物涂层提供许多有利的后果。特别是,通过在第一反应循环中使用较高的氧化气体温度和氧化气体流量,所得的界面层可具有较高浓度的氧气。此较高的氧气浓度可减少金属氧化物(例如氧化铝、氧化铪、氧化钽、氧化钛、氧化锆、氧化钇和氧化硅等)和晶片(如硅)之间的不希望有的悬空键,其通常称为“缺陷”并会导致“泄漏电流”。
与传统技术相比,如图4B所示,本发明的一个实施例的方法可在各循环之后沉积一个(部分单层或整体单层)或多个单层(部分单层或整体单层),后续的氧化步骤用于完全地氧化和致密化薄膜以除去/降低缺陷。本发明人已经发现,这一通常在比先质沉积工序更高的温度下进行的氧化气体工序可使至少一部分气体分子通过所形成的氧化物层而扩散,如图4B所示,从而抑制了泄漏电流。
因此,作为本发明的结果,与传统技术相比,高k介电涂层可以更可控和更可度量的方式来沉积到衬底上,从而允许所形成的涂层具有较少的缺陷。在图5A-5D中显示了本发明的可度量工艺的一个例子。如图所示,沉积膜厚度与循环次数、先质暴露时间、总暴露时间和先质的蒸气压力成线性比例。而且,本发明的工艺还可提供非常均匀且光滑的超薄膜。例如,在图6A-6B中显示了根据本发明形成的均匀且光滑的衬底的一个实施例。
虽然在上文中已经介绍了本发明方法的多个实施例,然而应当理解,在本发明的方法中也可使用其它的沉积和氧化条件。例如,只要至少一个反应循环的沉积或氧化参数(例如沉积时间、沉积温度、气体先质流量、氧化气体时间、氧化气体温度或氧化气体流量)中的至少一个变化,就通常可采用任一组沉积或氧化条件。
为了以上述方式控制沉积和氧化气体条件,可以采用多种机理。例如,在本发明的一个实施例中,如图1所示,系统10包括系统控制器50,其能够从系统10的各部件或从操作人员中接收输入信号,并根据这些信号来控制系统10的特定参数。控制器50可以是可编程序逻辑计算机(PLC),例如Allen-Bradley Controllogix处理器,然而也可使用任何其它适于控制上述系统10的控制器。或者,可采用硬连线电路、继电器、软件等来代替PLC并用作控制器50。
例如,在一个实施例中,系统控制器50从温度检测器30中接收电压信号,其表示在各个位置处取样的辐射量。根据所接收到的信号,控制器50可计算晶片14的不同位置处的温度。另外,如图1所示,系统控制器50还可与灯能量控制器25相连。在这种设置中,控制器50可确定晶片14的温度,并根据此信息来控制由能量源22发出的热能的量。这样,可以对用于加工晶片14的反应容器12内的条件作出比较瞬时的调节,并使其处于精细控制的限值内。
例如,如上所述,系统控制器50可与温度检测器30和能量源22结合使用,以将反应容器12内的温度调节到预定的沉积或氧化温度。此温度也可在预定的沉积或氧化时间之后自动地调节。而且,还可采用控制器50来调节一个或多个循环的沉积或氧化温度,例如如上所述。
控制器50还可用于自动地控制系统10的其它部件。例如,控制器50可用于控制经气体入口18进入到反应容器12中的气体(如气体先质和/或氧化气体)的流量。如图所示,系统控制器50可与阀76和78(如电磁阀)连通,以便分别控制来自气体供应源70和80的气体的流量。例如,在一些实施例中,控制器50设置成可从温度检测器30中接收温度测量。因此,如上所述,当在特定反应循环期间达到一定的温度时,系统控制器50可使阀76和/或78打开,以预定流量向反应容器12提供一种或多种气体。系统控制器可根据来自系统10或程序员的各输入信号来调节气体的流量。
作为控制一个或多个反应循环的各个参数的结果,本发明可实现许多优点。例如,与传统的“原子层沉积”技术相比,本发明的方法具有较高的产量,并能充分地抑制泄漏电流。而且,通过提供循环参数的控制,所得介电涂层能更容易地形成为具有预选的性能。例如,在晶片加工期间可测量涂层的一些性能(如厚度)。通过简单地改变一个循环参数,例如所供应的气体的温度或流量,就可以在需要时瞬时地调节这些性能。而且,涂层中的一些层可形成为具有一种特性,而其它层形成为具有另一种特性。例如,界面层可形成为具有较高浓度的氧气,因而可降低泄漏电流。因此,与传统的沉积技术相比,本发明的方法提供了对反应循环参数的控制,因此可以更容易地形成具有特定的预定性能的介电涂层。
在不脱离本发明的精神和范围的前提下,本领域的技术人员可以对本发明进行这些和其它的修改或变化。另外,应当理解,各个实施例的方面可整体地或部分地互换。此外,本领域的技术人员可以理解,上述介绍只是示例性的,并不限制本发明,本发明在所附权利要求中限定。

Claims (35)

1.一种用于在衬底上沉积介电涂层的方法,该衬底容纳在一个反应容器内,所述方法包括对所述衬底进行至少两个相续的反应循环,包括:
i)在所述衬底处于一个大于300℃的沉积温度时,向所述反应容器中供应一种气体先质,所述气体先质包括有机金属化合物,该有机金属化合物在所述衬底上形成金属氧化物;
ii)然后向所述反应容器中供应一种氧化气体,其中在每个所述至少两个相续的反应循环期间形成电介质的至少部分单层。
2.根据权利要求1所述的方法,其特征在于,介电层的至少一个单层在所述两个相续的反应循环中的至少一个期间形成。
3.根据权利要求1所述的方法,其特征在于,所述沉积温度在所述两个相续的反应循环中的至少一个中大于500℃。
4.根据权利要求1所述的方法,其特征在于,所述沉积温度在至少两个相续的反应循环中的一个中为从500℃到900℃。
5.根据权利要求1所述的方法,其特征在于,所述氧化气体供应到所述两个相续的反应循环中的至少一个中时,所述衬底的氧化气体的温度大于300℃。
6.根据权利要求5所述的方法,其特征在于,在所述两个相续的反应循环中的至少一个中,所述氧化气体的温度为从500℃到900℃。
7.根据权利要求1所述的方法,其特征在于,所述介电涂层的介电常数大于8。
8.根据权利要求1所述的方法,其特征在于,所述介电涂层的介电常数为从10到100。
9.根据权利要求1所述的方法,其特征在于,所述介电涂层含有金属氧化物。
10.根据权利要求9所述的方法,其特征在于,所述金属氧化物涂层的所述金属选自铝、钽、钛、锆、硅、铪、钇及其组合物。
11.根据权利要求1所述的方法,其特征在于,所述介电涂层含有金属硅酸盐。
12.根据权利要求1所述的方法,其特征在于,所述衬底为半导体晶片。
13.根据权利要求1所述的方法,其特征在于,所述氧化气体选自氧气、二氧化氮、一氧化二氮、水蒸气及其组合物。
14.根据权利要求1所述的方法,其特征在于,所述相续的反应循环达到目标厚度。
15.根据权利要求1所述的方法,其特征在于,对所述衬底进行至少三个反应循环。
16.根据权利要求1所述的方法,其特征在于,还包括控制所述相继反应循环,使得不同沉积温度、气体先质流量、沉积时间、氧化气体温度、氧化气体流量、氧化气体时间和其组合被达到用于至少两个所述相续的反应循环。
17.一种用于在半导体晶片上沉积介电涂层的方法,该晶片容纳在反应容器内,其中一种能量源与所述反应容器连通以加热所述晶片,所述方法包括对所述衬底进行至少两个相续的反应循环,包括:
i)在所述晶片处于大于300℃的沉积温度时,向所述反应容器中供应一种气体先质,所述气体先质包括有机金属化合物,该有机金属化合物在所述衬底上形成金属氧化物;和
ii)然后在所述晶片处于大于300℃的氧化气体温度时,向所述反应容器中供应一种氧化气体,其中在每个所述至少两个相续的反应循环期间形成了电介质的至少部分单层。
18.根据权利要求17所述的方法,其特征在于,所述电介质的至少一个单层在所述相续的反应循环中的至少一个期间形成。
19.根据权利要求17所述的方法,其特征在于,所述沉积温度在所述相续的反应循环中的至少一个为大于500℃。
20.根据权利要求17所述的方法,其特征在于,所述沉积温度在所述相续的反应循环中的至少一个为500℃到900℃。
21.根据权利要求17所述的方法,其特征在于,所述氧化气体温度在所述相续的反应循环中的至少一个为500℃到900℃。
22.根据权利要求17所述的方法,其特征在于,所述介电涂层的介电常数大于8。
23.根据权利要求17所述的方法,其特征在于,所述介电涂层的介电常数为从10到100。
24.根据权利要求17所述的方法,其特征在于,所述介电涂层含有金属氧化物。
25.根据权利要求24所述的方法,其特征在于,所述金属氧化物涂层的所述金属选自铝、钽、钛、锆、硅、铪、钇及其组合物。
26.根据权利要求17所述的方法,其特征在于,对所述晶片进行至少三个反应循环。
27.根据权利要求17所述的方法,其特征在于,还包括控制所述相继反应循环,使得不同沉积温度、气体先质流量、沉积时间、氧化气体温度、氧化气体流量、氧化气体时间和其组合被达到用于至少两个所述相续的反应循环。
28.一种用于在半导体晶片上沉积介电涂层的方法,该晶片容纳在反应容器内,所述方法包括对所述衬底进行至少两个相续的反应循环,包括:
a)在所述晶片处于大于300℃的沉积温度时,向所述反应容器中供应一种气体先质,所述气体先质包括有机硅化合物,使得所得介电涂层含有金属硅酸盐;和
b)向所述反应容器中供应一种氧化气体,其中在每个所述至少两个相续的反应循环期间形成了电介质的至少部分单层。
29.根据权利要求28所述的方法,其特征在于,所述电介质的至少一个单层在所述相续的反应循环中的至少一个期间形成。
30.根据权利要求28所述的方法,其特征在于,所述沉积温度在所述相续的反应循环中的至少一个为500℃到900℃。
31.根据权利要求28所述的方法,其特征在于,在所述氧化气体供应到所述相续的反应循环中的至少一个时,所述晶片处于氧化气体温度为500℃到900℃。
32.根据权利要求28所述的方法,其特征在于,所述气体先质包括无机硅化合物。
33.根据权利要求28所述的方法,其特征在于,所述介电涂层的介电常数大于8。
34.根据权利要求28所述的方法,其特征在于,所述介电涂层的介电常数为从10到100。
35.根据权利要求28所述的方法,其特征在于,所述金属硅酸盐选自硅酸锆或硅酸铪。
CNB028067622A 2001-03-20 2002-03-19 用于在衬底上沉积具有较高介电常数的涂层的方法 Expired - Fee Related CN1258617C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US27732601P 2001-03-20 2001-03-20
US60/277,326 2001-03-20

Publications (2)

Publication Number Publication Date
CN1498285A CN1498285A (zh) 2004-05-19
CN1258617C true CN1258617C (zh) 2006-06-07

Family

ID=23060370

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028067622A Expired - Fee Related CN1258617C (zh) 2001-03-20 2002-03-19 用于在衬底上沉积具有较高介电常数的涂层的方法

Country Status (6)

Country Link
US (2) US6884719B2 (zh)
JP (1) JP4427254B2 (zh)
KR (1) KR100853903B1 (zh)
CN (1) CN1258617C (zh)
DE (1) DE10296448T5 (zh)
WO (1) WO2002090614A1 (zh)

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100634642B1 (ko) * 1998-11-20 2006-10-16 스티그 알티피 시스템즈, 인코포레이티드 반도체 웨이퍼의 급속 가열 및 냉각 장치
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7015422B2 (en) * 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6970644B2 (en) * 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US6884719B2 (en) * 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6902622B2 (en) 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
KR101040446B1 (ko) * 2002-04-19 2011-06-09 맷슨 테크놀로지, 인크. 저증기압 가스 전구체를 이용하여 기판 상에 막을증착하기 위한 시스템
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7734439B2 (en) 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers
US6790791B2 (en) * 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US7101812B2 (en) 2002-09-20 2006-09-05 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
US6835914B2 (en) * 2002-11-05 2004-12-28 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
KR100465631B1 (ko) * 2002-12-11 2005-01-13 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법
JP3920235B2 (ja) * 2003-03-24 2007-05-30 株式会社ルネサステクノロジ 半導体装置の製造方法
JP4257576B2 (ja) 2003-03-25 2009-04-22 ローム株式会社 成膜装置
US20050170665A1 (en) * 2003-04-17 2005-08-04 Fujitsu Limited Method of forming a high dielectric film
US7654596B2 (en) * 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
KR20060100405A (ko) * 2003-09-30 2006-09-20 에비자 테크놀로지, 인크. 원자층 증착에 의한 고-k 유전체의 성장
US7091129B2 (en) * 2003-12-30 2006-08-15 Intel Corporation Atomic layer deposition using photo-enhanced bond reconfiguration
CN100447962C (zh) * 2004-01-21 2008-12-31 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
US7651729B2 (en) * 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US20060257563A1 (en) * 2004-10-13 2006-11-16 Seok-Joo Doh Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
KR100667633B1 (ko) * 2004-12-20 2007-01-12 삼성전자주식회사 박막 제조 방법 및 이를 이용한 게이트 구조물,커패시터와 플래시 메모리 장치의 제조 방법
FI117728B (fi) * 2004-12-21 2007-01-31 Planar Systems Oy Monikerrosmateriaali ja menetelmä sen valmistamiseksi
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
JP2006294750A (ja) * 2005-04-07 2006-10-26 Toshiba Corp 薄膜堆積装置及び方法
WO2006110750A2 (en) * 2005-04-07 2006-10-19 Aviza Technology, Inc. Multilayer, multicomponent high-k films and methods for depositing the same
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
KR100809685B1 (ko) * 2005-09-13 2008-03-06 삼성전자주식회사 유전막, 이 유전막 제조방법 및 이를 이용한 mim캐패시터의 제조방법
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US20070281105A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US20070277735A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Systems for Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
WO2007140813A1 (en) * 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US20100024732A1 (en) * 2006-06-02 2010-02-04 Nima Mokhlesi Systems for Flash Heating in Atomic Layer Deposition
DE102007002962B3 (de) * 2007-01-19 2008-07-31 Qimonda Ag Verfahren zum Herstellen einer dielektrischen Schicht und zum Herstellen eines Kondensators
US8252697B2 (en) 2007-05-14 2012-08-28 Micron Technology, Inc. Zinc-tin oxide thin-film transistors
US8367560B2 (en) * 2007-06-15 2013-02-05 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7790628B2 (en) * 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US7790591B2 (en) * 2007-11-13 2010-09-07 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices including metal oxide layers
US7976216B2 (en) 2007-12-20 2011-07-12 Mattson Technology, Inc. Determining the temperature of silicon at high temperatures
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
EP2257561B1 (en) 2008-02-27 2017-11-08 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
JP2010267925A (ja) * 2009-05-18 2010-11-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5559656B2 (ja) * 2010-10-14 2014-07-23 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
US8982362B2 (en) * 2011-10-04 2015-03-17 First Solar, Inc. System and method for measuring layer thickness and depositing semiconductor layers
US8658490B2 (en) 2012-04-04 2014-02-25 Globalfoundries Inc. Passivating point defects in high-K gate dielectric layers during gate stack formation
JP5955658B2 (ja) * 2012-06-15 2016-07-20 株式会社Screenホールディングス 熱処理方法および熱処理装置
US20150175813A1 (en) * 2012-07-24 2015-06-25 3M Innovative Properties Company Curable antifouling composition, method of use, and articles
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10121683B2 (en) 2015-08-26 2018-11-06 SCREEN Holdings Co., Ltd. Light-irradiation heat treatment method and heat treatment apparatus
JP6665032B2 (ja) * 2015-08-26 2020-03-13 株式会社Screenホールディングス 熱処理方法および熱処理装置
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10400323B2 (en) * 2016-11-04 2019-09-03 Lam Research Corporation Ultra-low defect part process
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
SG11202012854SA (en) * 2018-07-19 2021-01-28 Applied Materials Inc Low temperature high-quality dielectric films

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4798165A (en) 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
US5006363A (en) * 1988-12-08 1991-04-09 Matsushita Electric Industries Co., Ltd. Plasma assited MO-CVD of perooskite dalectric films
US5294568A (en) 1990-10-12 1994-03-15 Genus, Inc. Method of selective etching native oxide
DE69219467T2 (de) 1992-09-11 1997-10-23 Ibm Verfahren zum Herstellen dünner Schichten durch Mehrlagen-Abscheidung
US5493987A (en) 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US5830277A (en) 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JPH0931645A (ja) * 1995-07-21 1997-02-04 Sharp Corp 誘電体薄膜素子の製造方法
US5786248A (en) 1995-10-12 1998-07-28 Micron Technology, Inc. Semiconductor processing method of forming a tantalum oxide containing capacitor
WO1997033300A1 (en) 1996-03-06 1997-09-12 Mattson Technology, Inc. Icp reactor having a conically-shaped plasma-generating section
US6133550A (en) 1996-03-22 2000-10-17 Sandia Corporation Method and apparatus for thermal processing of semiconductor substrates
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5773078A (en) 1996-06-24 1998-06-30 General Electric Company Method for depositing zirconium oxide on a substrate
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6198074B1 (en) 1996-09-06 2001-03-06 Mattson Technology, Inc. System and method for rapid thermal processing with transitional heater
JPH10247874A (ja) 1997-03-04 1998-09-14 Kokusai Electric Co Ltd 時分割双方向方式携帯電話中継装置
US5968279A (en) 1997-06-13 1999-10-19 Mattson Technology, Inc. Method of cleaning wafer substrates
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6118100A (en) 1997-11-26 2000-09-12 Mattson Technology, Inc. Susceptor hold-down mechanism
KR19990051335A (ko) * 1997-12-19 1999-07-05 윤종용 원자층 증착에 의한 tialn의 증착방법 및 이 방법에 의해 형성되는 tialn 박막을 이용한 반도체 소자의 고유전체 커패시터
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
WO1999049101A1 (en) 1998-03-23 1999-09-30 Mattson Technology, Inc. Apparatus and method for cvd and thermal processing of semiconductor substrates
US6136725A (en) 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6207583B1 (en) 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6037235A (en) 1998-09-14 2000-03-14 Applied Materials, Inc. Hydrogen anneal for curing defects of silicon/nitride interfaces of semiconductor devices
US6449428B2 (en) 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6303520B1 (en) 1998-12-15 2001-10-16 Mattson Technology, Inc. Silicon oxynitride film
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6150209A (en) 1999-04-23 2000-11-21 Taiwan Semiconductor Manufacturing Company Leakage current reduction of a tantalum oxide layer via a nitrous oxide high density annealing procedure
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6342691B1 (en) 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
US6436796B1 (en) 2000-01-31 2002-08-20 Mattson Technology, Inc. Systems and methods for epitaxial processing of a semiconductor substrate
CN1331199C (zh) 2000-04-17 2007-08-08 马特森技术公司 用于生成四氮化三硅薄膜的超薄氧氮化物的uv预处理方法
US6177341B1 (en) 2000-06-15 2001-01-23 Vanguard International Semiconductor Corporation Method for forming interconnections in semiconductor devices
US6458416B1 (en) * 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6303524B1 (en) 2001-02-20 2001-10-16 Mattson Thermal Products Inc. High temperature short time curing of low dielectric constant materials using rapid thermal processing techniques
US6884719B2 (en) * 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate

Also Published As

Publication number Publication date
DE10296448T5 (de) 2004-04-15
JP2005504432A (ja) 2005-02-10
JP4427254B2 (ja) 2010-03-03
US20030031793A1 (en) 2003-02-13
KR100853903B1 (ko) 2008-08-25
US6884719B2 (en) 2005-04-26
CN1498285A (zh) 2004-05-19
KR20030085559A (ko) 2003-11-05
WO2002090614A1 (en) 2002-11-14
US20060110531A1 (en) 2006-05-25

Similar Documents

Publication Publication Date Title
CN1258617C (zh) 用于在衬底上沉积具有较高介电常数的涂层的方法
CN100342500C (zh) 形成介电薄膜的方法
KR100687948B1 (ko) 실리콘산화막을 형성하는 방법 및 장치
CN100439561C (zh) 使用低蒸气压气体前体向基材上沉积膜的系统
US8685866B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
KR100597059B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기판 처리시스템
KR100531629B1 (ko) 기판의 처리장치 및 처리방법
CN101506960B (zh) 处理基板的方法
US8404603B2 (en) Method of manufacturing semiconductor device and substrate processing system
US20130019804A1 (en) Method of manufacturing semiconductor device and substrate processing apparatus
EP1160838A2 (en) Heat treatment system and method
CN1735709A (zh) 薄膜逐层沉积的方法和设备
US20100291763A1 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US20130252434A1 (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
JP2000077397A (ja) 反応チャンバ及びこれを用いた誘電膜の形成方法
KR20200024360A (ko) 저-k 막들의 증착을 위한 방법들 및 장치
US4636400A (en) Method of treating silicon nitride film formed by plasma deposition
JP4369091B2 (ja) 基板処理方法
US20110263135A1 (en) Semiconductor Processing Methods, And Methods For Forming Silicon Dioxide
TW202119485A (zh) 基板處理裝置、半導體裝置之製造方法、基板處理程式及記錄媒體
CN107863306B (zh) 衬底处理装置及半导体器件的制造方法
JP6486696B2 (ja) 薄膜堆積方法及び薄膜堆積装置
US20040114900A1 (en) Deposition of thick BPSG layers as upper and lower cladding for optoelectronics applications
CN1430245A (zh) 形成半导体器件的薄膜的方法
Zhuang et al. Titanium Oxide Thin Film Preparation via Low Temperature Spin-Coating Process

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20060607

Termination date: 20130319