CN1312757C - 利用原子层淀积形成薄膜的方法 - Google Patents

利用原子层淀积形成薄膜的方法 Download PDF

Info

Publication number
CN1312757C
CN1312757C CNB021078793A CN02107879A CN1312757C CN 1312757 C CN1312757 C CN 1312757C CN B021078793 A CNB021078793 A CN B021078793A CN 02107879 A CN02107879 A CN 02107879A CN 1312757 C CN1312757 C CN 1312757C
Authority
CN
China
Prior art keywords
reactor
reactant
pressure
chemisorbed
predetermined pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB021078793A
Other languages
English (en)
Other versions
CN1389910A (zh
Inventor
金营宽
朴泳旭
李承换
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN1389910A publication Critical patent/CN1389910A/zh
Application granted granted Critical
Publication of CN1312757C publication Critical patent/CN1312757C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • Y10T117/1004Apparatus with means for measuring, testing, or sensing
    • Y10T117/1008Apparatus with means for measuring, testing, or sensing with responsive control means

Abstract

本发明提供一种利用原子层淀积(ALd)形成薄膜的方法。提供一种具有单反应空间的ALD反应器。可以在所述ALD反应器的单反应空间中同时装载一批衬底。然后,在单反应空间中引入含反应剂的气体,在单反应空间内,反应剂的一部分化学吸附到该批衬底的上表面上。然后,从单反应空间中排除未被化学吸附的反应剂。根据本发明的一个实施例,引入含反应剂气体后,在单反应空间内稀释未被化学吸附的反应剂,以便于排除未被化学吸附的反应剂。

Description

利用原子层淀积形成薄膜的方法
技术领域
本发明涉及半导体器件领域,具体涉及一种利用原子层淀积(ALd)形成半导体器件薄膜的方法。
背景技术
形成现有高集成半导体器件薄膜要求许多严格的制造条件,例如低热预算,优异的台阶覆盖,膜厚的精确控制,简单工艺偏差及低颗粒污染。
例如低压化学汽相淀积(LPCVd)、等离子增强化学汽相淀积(PECVD)等常规CVD类方法,不再适于形成现有技术器件的薄膜,无法满足制造要求。例如,按典型CVD方法,薄膜是在较高温度淀积的。由于可能会对器件产生不良热影响,所以不希望这样。另外,CVD薄膜常常有例如厚度不均匀,即器件表面上厚度偏差或颗粒污染等缺点。
至于LPCVD,LPCVD薄膜中氢的含量一般较高,其台阶覆盖经常无法接受。
现已提出了原子层淀积(ALD)工艺代替这种常规薄膜形成技术。这种ALD工艺能在比常规CVD类方法低的温度下实施,而且还表现出优异的台阶覆盖。
美国专利6,124,158中公开了一种这样的ALD方法。这里,引入第一反应剂,与被处理表面反应,形成反应物质的键合单层。引入第二反应剂,与表面反应,形成希望的薄膜。在工艺周期的每一步骤后,都用惰性气体净化反应室,防止除表面外的反应。一般说,由于例如维护制造设备的缘故,反应剂的供应和净化在同样的压力下进行。
然而,这种常规ALD技术也存在几个缺点,例如,由于如原子层的较低生长速率引起的问题等造成的低产量。另外,例如行波型反应器等常规ALD反应器的反应空间设计得非常小,减小了净化副产物等的净化量。常规ALD反应器每次操作仅处理一、两片晶片,一般说,单个反应器一次操作仅处理一个衬底。这些缺点导致这些常规ALD技术难以付诸实际应用,经济上无法接受,即无法批量生产。
近来,为提高ALD工艺的产量,人们又做了几种尝试。美国专利6,042,652公开了一种。这里,ALD反应器包括多个组件和多个反应空间(工作台),即用多个组装件隔离的空间。例如,下组件设置在上组件之下,由此在两者间形成一个反应空间(一工作台),仅仅能容纳一个半导体衬底。
然而,由于每个反应空间(工作台)小且是间隔开的,即彼此隔离,每个衬底只能一个一个插入反应空间(工作台)。所以,很难利用自动晶片传送机构装/卸多个晶片。因此,要花相当长的时间装/卸晶片。另外,可以装载和处理的晶片数仍不够多。
因此,显然需要一种新颖具有高产量的ALD方法,能够克服上述问题,同时能提供高质量的薄膜。
发明内容
本发明提供一种利用原子层淀积(ALD)形成薄膜的方法。提供一种具有单反应空间的反应器。一批衬底可以同时装载到该反应器的单反应空间中。
然后,将含反应剂气体引入到单反应空间内,在该单反应空间中,部分反应剂被化学吸附到该批衬底或晶片的上表面上。然后,从该单反应空间中排除未被化学吸附的的反应剂。
根据本发明的一个实施例,引入含反应剂气体后,在该单反应空间中稀释未被化学吸附的的反应剂,以便于未被化学吸附的反应剂的排除。
另外,根据本发明的另一实施例,公开了一种形成薄膜的方法,其中提供一种具有单反应空间的反应器。向反应空间中引入都具有处理表面的多个晶片。多个晶片的处理表面面向基本相同的方向。将第一反应剂引入到反应空间内,使部分第一反应剂化学吸附到多个晶片的处理表面上以备进行ALD。然后,从反应空间中排除第一反应剂的未被化学吸附的部分。然后,向反应空间中引入第二反应剂。另外,一部分第二反应剂化学吸附到多个晶片中的每一个的处理表面上。然后,从反应空间中排除第二反应剂的未被化学吸附的部分。
附图说明
从以下结合附图对本发明优选实施例的详细介绍中,更容易理解本发明的上述和其它目的、特点和优点。
图1是根据本发明一个实施例的ALD反应器的示意剖视图。
图2是展示根据本发明一个实施例的ALD各步骤的ALD反应器压力的曲线图。
图3A-3D展示了根据本发明一个实施例形成ALD薄膜的各工艺步骤。
图4是展示根据本发明一个实施例的工艺条件的曲线图。
图5是展示根据本发明一个实施例进行的ALD工艺的结果的曲线图。
图6是展示根据本发明一个实施例进行的ALD工艺的结果的曲线图。
具体实施方式
本发明一般期待一种利用ALD技术来制造薄膜的方法,通过该方法,与常规ALD技术相比,产量明显提高。
在以下说明中,记载了数字化的具体细节,以便于彻底理解本发明。然而,所属领域的普通技术人员应认识到,可以不用这些具体细节实施本发明。某些情况下,未具体展示已知工艺步骤和技术,以避免混淆本发明。
下面将介绍根据本发明一个实施例利用ALD技术形成薄膜的方法。
参见图1,该图示出了具有单反应空间12的ALD反应器10,反应空间12在处理管11内。为简便起见,省略了反应器10的其它部件,例如加热器等。ALD反应器10优选为立式炉反应器(垂直取向),与常规LPCVD炉类似,如美国专利5,217,340和5,112,641所示。然而,任何其它类型的反应器,例如水平取向的反应器,只要适于实施本发明,都可作替代品,而不会脱离本发明的精神和范围。
根据本发明,反应空间12可以是放置衬底15(或晶片),进行ALD的各工艺步骤的空间。另外,本发明中,单反应空间12不是隔开或隔离的。这不同于美国专利6,042,522和6,015,590中所示的在ALD反应器中有多个(隔开的)反应空间常规反应器的反应空间。在这些常规ALD反应器中,具体说在美国6,015,590中所述的反应器中,由于多个(隔开的)反应空间中的每个都有非常窄的载面,减小了反应空间容各积,降低了净化效率,可以装在每个反应器中的衬底数非常小,例如每个反应空间中一、两个衬底。另外,由于上述结构上的局限,常规ALD反应器的这一点限制了可以装在反应器中的衬底总数。例如,美国专利6,042,652所示形成每个反应空间的组件本自会在反应器内占用大量空间或容积。这些也严重降低了ALD工艺的产量。
然而,本发明中,由于炉式ALD反应器10具有未隔开的大容积单反应空间12,所以ALD反应器10中可以容纳衬底1百(100)片以上,如图1所示。所以,一次ALD操作要处理的衬底数可以明显增加(产量显著提高)。
为处理衬底15,在其上形成ALD薄膜,一批14衬底15基本上同时装入ALD反应器10的单反应空间12,如图1所示。本发明中,一批14是指装入反应器10以进行ALD操作从而在衬底15上形成薄膜的衬底总数。根据本发明的一个实施例,一批14优选地包括约125-135片衬底。每片衬底15的上表面优选地都具有处理表面17。
按本发明的ALD工艺,装/卸衬底15期间,优选利用图1所示的自动(即,非人工式)晶片传送机构18向ALD反应器10中装载一批14衬底15。这种自动晶片传送机构18可以是美国专利5,217,340和5,112,641中公开的那种。然而,可以采用任何适于实施本发明的自动晶片传送机构,仍在本发明的精神和范围内。
换言之,本发明中,由于一次ALD操作的所有产品衬底15都可以放在单反应空间12中,而不分布在反应器的几个反应空间中,所以利用晶片传送机构18可以自动地很快地装/卸一批14衬底15。具体说,一批14衬底按预定方式排列,插在舟19中。舟19一般由石英或其它常规材料制成,其内表面上有多个凹槽,用于容纳各衬底15。将装有一批14衬底的舟19装入ALD反应器10,于是便按图1所示的方式,将一批14衬底15同时装入ALD反应器10的单反应空间12中。这里,基本上所有衬底15的上表面17(处理面)都面向自动晶片传送机的同一方向。
于是,与例如美国专利6,015,590中公开的ALD技术等常规ALD技术相比,在产量方面具有明显的优势,在上述美国专利中,晶片的上表面面相相反的方向,所以自动晶片传送器将十分不方便或不可能。所以,按常规的ALD技术,仅仅可以在每个反应空间中一次一次地装少量衬底,多数为一片。其原因是衬底需要分布于反应器的数个空间内,这种分布几乎是不可能或很难一次全部完成。美国专利6,042,652中公开的常规ALD技术也一样,如背景部分所介绍的,多个圆形半导体衬底只能一个一个地传送到反应空间(工作台)中。整个装载过程要花很长时间,极大地降低了产量,所以限制了ALD工艺的商业应用。
如图3A所示,按常规ALD技术的方式,将第一反应剂40或含第一反应剂气体通过例如ALD反应器40的供气管线(未示出)等图1所示入口16引入单反应空间12。在单反应空间12内,第一反应剂40的一部分化学吸附到一批14半导体衬底15的处理表面17上。如图2所示,较好在约0.1乇和约0.5乇间的第一预定压力P1下进行配给步骤31。
另一方面,本发明中,为了进一步提高ALD的产量,需要减少ALD的净化时间。原因是净化时间一般与反应器的容积有关。由于本发明采用具有大容积的炉式反应器,净化量实质大于例如美国专利6,042,552或6,015,590中所示的行波型设备等其它常规ALD技术。
为克服这方面的问题,根据本发明的一个实施例,引入第一反应剂40后,为有效地减少净化时间,在从ALD反应10中排除第一反应剂40中的未被化学吸附的部分之前,在单反应空间12中,稀释第一反应剂40的未被化学吸附的部分,这里,第一反应剂40的未被化学吸附的部分包括物理吸附的反应剂,即第一反应剂40物理地附着于其上,并松散地固定于ALD反应器10内第一反应剂40的化学吸附部分上或任何残留的反应材料上。
关于图2所示的稀释步骤33,如图1所示,ALD反应器10包括与排气管25相连的压力控制阀21或用于从ALD反应器10中排除第一反应剂40的稀释的未被化学吸附的部分的粗管。排气管25与用于将从第一反应剂40的未被化学吸附的部分排出到外边的泵23相连。稀释步骤33期间,控制阀21基本上关闭,惰性气体通过入口16供应到反应器10中,第一反应剂40到ALD反应器10的引入基本停止。即,降低ALD反应器10的排气管线25的传导性。
或者,稀释步骤33期间,将其量明显大于第一反应剂40的量的惰性气体引入ALD反应器10,同时停止向反应器10引入第一反应剂40。
较好是,如图2所示,在第一反应剂40的未被化学吸附的部分的稀释期间,反应器压力从第一预定压力P1提高到第二预定压力P2,第二预定压力P2大于第一预定压力P1。第二预定压力P2较好是大于第一预定压力P1的约1.5倍。
这些步骤允许在非常短的时间内,例如几秒内,稀释反应器10中的第一反应剂40的未被化学吸附的部分,所以与常规ALD技术相比,净化步骤32期间,显著减少总净化时间,提高净化效率。该稀释过程明显降低了ALD反应器10中第一反应剂40的未被化学吸附的部分的分压。所以,在反应剂40已被稀释,第一反应剂40的未被化学吸附的部分被排除后,仅有很少量第一反应剂40的未被化学吸附的部分留在反应器10内,所以提高了净化效率。另外,由于稀释了第一反应剂40,所以可有效地防止第一反应剂40间的混杂。
然后,如图3B所示,在引入第二反应剂(配给步骤35),利用化学交换形成图3D所示希望的ALD薄膜44之前,从单反应空间12中排除(抽空)被稀释的第一反应剂40的未被化学吸附的部分。第一反应剂40的未被化学吸附的部分的排除较好是利用泵23抽吸反应器10进行,从而将反应器10内的压力降低到第三预定压力P3(见图2)。第三预定压力P3低于配给步骤31的第一预定压力。第三预定压力P3较好是低于第一预定压力P1约0.5倍。
该步骤期间,到第三预定压力P3的压力下降,通过停止或减少惰性气体的引入,并打开控制阀21实现。即,提高排气管线的传导性。
现参见图3C,向反应空间12引入第二反应剂42,第二反应剂42中的一部分化学吸附到一批14衬底15的处理表面17上,发生化学交换。自然,稀释步骤37较好在第二反应剂42的配给步骤35之后进行。
现参见图3D,在排除步骤34期间,利用上述与应用于第一反应剂40相同的方法,从反应空间12排除第二反应剂42的未被化学吸附的部分。
可以重复上述引入第一和第二反应剂40、42和从反应空间排除反应剂41、42的未被化学吸附的部分的步骤,实现希望的膜厚。
应注意,本发明建议的净化方法与反应剂的类型无关,因而可应用于形成各种ALD薄膜。所述ALD薄膜例如可以是Al2O3、TiO2、ZrO2、HfO2、Ta2O5、Nb2O5、CeO2、Y2O3、SiO2、In2O3、RuO2或IrO2构成的氧化层。其它例子如下:SrTiO3,PbTiO3,SrRuO3,CaRuO3,(Ba,Sr)TiO3,Pb(Zr,Ti)O3,(Pb,La)(Zr,Ti)O3,(Sr,Ca)RuO3,(Ba,Sr)RuO3,掺Sn的In2O3(ITO),掺Fe的In2O3,或掺Zr的In2O3构成的复合氧化物;SiN,NbN,ZrN,TiN,TaN,Y3N5,AlN,GaN,WN或BN构成的氮化物层;WBN,WSiN,TISiN,TaSiN或AlTiN构成的复合氮化物层;Si,Al,Cu,Ti,Ta,Mo,Pt,Ru,Rh,Ir,W或Ag构成的金属层;Al,W,Ti或Co的硅化物层;及金属硅酸盐材料(M1-xSixO2)。这里,金属“M”可以是铪(Hf),锆(Zr),钽(Ta),钛(Ti),铯(Cs),或铝(Al)。所属领域的技术人员应理解,所列例子是不详尽的或不排它的,不想以任何方式限制所要求的本发明的范围。
例1
利用本发明的ALD工艺淀积SiN膜。所用反应剂是由间接等离子体(remote plasma)(400W)激活的DCS(SiCl2H2)和NH3气体。淀积温度是375℃,反应剂流量是:DCS为500sccm,NH3为2000sccm。关于排除未被化学吸附的反应剂之前的稀释,向反应器中引入5000sccm的N2气体。DCS供应、DCS净化、NH3供应和NH3净化中每步的时间和压力示于表1,并另外示于图4中。另外,图5示出了上述ALD工艺的结果。
表1
  项         DCS配给           DCS净化           NH3配给           NH3净化
  稀释  排除  稀释  排除
 压力(乇)  <0.1→2.0   2.0   2.0→>10  >10→<0.1  <0.1→0.25  0.25  0.25→>10  >10→<1.0
 时间(秒)   7.5   10   4  6   1.5   10  4  6
根据上述ALD工艺的生长速率是1埃/周期,可以实现良好的ALD处理特性。
另外,已观察到,不用本发明的净化方法,会发生以下问题。第一,如果在与反应剂的配给步骤期间的压力相同的压力下,用例如Ar或N2等惰性气体进行净化步骤,则大量惰性气体会留在反应器内。这样便会降低反应剂的分压。因此,用于下一配给步骤的反应剂配给时间会加长。此外,净化时间也会增加。第二,如果在像本发明的实施例那样的抽吸前不稀释,便通过抽吸进行净化步骤,则净化会花相当长的时间。
例2
在室温下将HCD(Si2Cl6)存放在起泡器中,并用500sccm的N2气作载气引入反应器。然后,用5000sccm的N2气稀释未被化学吸附的反应剂,然后从反应器中抽掉(排除)未被化学吸附的反应剂,进行净化。然后,供应2000sccm的间接等离子体(400W)NH3,并通过用5000sccm的N2气稀释未被化学吸附的反应剂,然后,从反应器中抽掉未被化学吸附的反应剂,进行净化。
此时,向反应器供应HCD20秒。反应器压力从0.1乇变到2乇,然后保持在2乇。净化期间的压力在稀释步骤(4秒)从2乇变到10乇,然后,在抽吸期间(6秒)降低到0.1乇。NH3的供应(30秒)和净化(4+6秒)按与上述相同的方式实施。图6示出了上述ALD工艺的结果。
生长速率为2.3埃/周期,可以实现良好的ALD处理特性。
上述本发明的一些特征如下所述:
1、配给步骤期间和净化步骤期间反应器的压力可以不同。
2、不同反应剂的每个配给步骤的反应器压力可以基本相同或不同。
3、净化步骤可以包括稀释步骤和排除或抽空步骤,稀释步骤期间,反应器压力从反应剂配给步骤期间的压力上升,排除或抽空步骤期间,其压力下降到低于反应剂配给期间的压力。
利用这些特征,可以实现以下效果。
1、每种反应剂的配给步骤与分压和时间相关(如Langmuirer所示的反应剂暴露依赖关系)。因此,通过增大反应剂配给期间所供应反应剂的分压,处理时间可以缩短。
2、不同于保持恒定压力的常规ALD工艺,通过抽吸进行了净化后,完成每种反应剂的配给步骤。因此,希望的压力可以由低压得到。
3、在大容积反应器中实施净化时,首先供应惰性气体,以稀释反应剂。然后,进行抽吸,以便在短时间内达到希望的净化效果。
总之,本发明具有优于常规ALD技术的许多优点,克服了常规ALD技术的许多缺点。例如,本发明显著提高了ALD工艺的产量。具体说,根据本发明的优选实施例,由于本发明的炉式ALD反应器具有未隔开的大容积单反应空间,所以一次可以容纳和处理衬底100片以上,明显多于任何其它常规ALD技术。另外,由于所有用于ALD工艺的产品晶片都可以放在单反应空间中,而不是分布在数个反应空间内,所以成批衬底的装/卸可利用自动晶片传送机械自动快速完成。另外,在从反应空间中排除未被化学吸附的反应剂之前,在单反应空间中稀释未被化学吸附的反应剂,所以可以显著缩短净化时间,提高净化效率。
除这些优点外,本发明的ALD反应器比常规ALD反应器成本低,容易维护。所以,本发明的ALD工艺将产量和可制造性提高到了可以利用ALD进行批量生产的程度。
上面已利用优选实施例介绍和展示了本发明的原理。但应理解,在不背离这些原理的的情况下,可以对本发明的设置和细节进行改进。我们要求落在以下权利要求书精神和范围内的所有改进和变化的权利。

Claims (22)

1.一种形成薄膜层的原子层淀积方法,包括:
a)在反应器内插入一个或多个半导体衬底;
b)以第一预定压力向反应器中引入第一气体反应剂,反应剂的一部分化学吸附到一个或多个衬底的表面上;
c)通过向反应器内注入惰性气体,将反应器内的压力增大为高于第一预定压力来稀释未被化学吸附的第一气体反应剂;
d)从所述反应器中排除未被化学吸附的第一反应剂,所述排除步骤的压力低于第一预定压力;
e)以第二预定压力向反应器中引入第二气体反应剂,从而利用化学交换形成单原子层;
f)稀释反应器中的未被化学吸附的反应剂,使反应器压力升高;及
g)从所述反应器中排除未被化学吸附的反应剂,所述排除步骤的压力低于第二预定压力。
2.根据权利要求1的方法,其中第一预定压力基本与第二预定压力相同。
3.根据权利要求1的方法,其中第一预定压力不同于第二预定压力。
4.根据权利要求1的方法,其中第一预定压力和第二预定压力介于0.1乇到0.5乇之间。
5.根据权利要求1的方法,其中所述稀释步骤期间,反应器压力分别升高到不小于第一和第二预定压力的1.5倍。
6.根据权利要求1的方法,其中所述排除步骤期间,反应器压力降低到低于第一和第二预定压力的0.5倍。
7.根据权利要求1的方法,其中通过对所述反应器抽吸实施所述排除步骤。
8.根据权利要求1的方法,其中所述单原子层是由Al2O3、TiO2、ZrO2、HfO2、Ta2O5、Nb2O5、CeO2、Y2O3、SiO2、In2O3、RuO2或IrO2构成的氧化层。
9.根据权利要求1的方法,其中单原子层是由SrTiO3,PbTiO3,SrRuO3,CaRuO3,(Ba,Sr)TiO3,Pb(Zr,Ti)O3,(Pb,La)(Zr,Ti)O3,(Sr,Ca)RuO3,(Ba,Sr)RuO3,掺Sn的In2O3,掺Fe的In2O3,或掺Zr的In2O3构成的复合氧化物。
10.根据权利要求1的方法,其中单原子层是由SiN,NbN,ZrN,TiN,TaN,Y3N5,AlN,GaN,WN或BN构成的氮化物层。
11.根据权利要求1的方法,其中单原子层是由WBN,WSiN,TiSiN,TaSiN或AlTiN构成的复合氮化物层。
12.根据权利要求1的方法,其中单原子层是由Si,Al,Cu,Ti,Ta,Mo,Pt,Ru,Rh,Ir,W或Ag构成的金属层。
13.根据权利要求1的方法,其中单原子层是Al,W,Ti或Co的硅化物层。
14.根据权利要求1的方法,其中单原子层是金属硅酸盐材料M1-xSixO2,这里,金属“M”可以是铪,锆,钽,钛,铯,或铝。
15.根据权利要求1的方法,还包括重复步骤(b)-(g)的步骤。
16.根据权利要求1的方法,其中所述反应器是单反应空间,同时处理多个半导体衬底,所述晶片表面的处理表面面向相同的方向。
17.根据权利要求16的方法,其中所述步骤(a)包括利用自动晶片传送机械传送所述多个半导体衬底。
18.根据权利要求16的方法,其中多个衬底的数量大于一百。
19.根据权利要求1的方法,其中一次处理一个半导体衬底。
20.根据权利要求1的方法,其中反应器包括与排除稀释的未被化学吸附的反应剂的排气管线相连的压力控制阀,其中所述稀释步骤包括,在停止向反应器引入气体反应剂的同时,基本上关闭控制阀,并向反应器供应惰性气体。
21.根据权利要求1的方法,其中反应器包括与排气管线相连的压力控制阀,其中所述稀释步骤包括,在停止向反应器引入气体反应剂的同时,以实际高于气体反应剂的量向反应器供应惰性气体。
22.根据权利要求1的方法,其中反应器是炉式反应器,其中基本上所有衬底的上表面都面对自动晶片传送器的相同方向。
CNB021078793A 2001-05-31 2002-03-26 利用原子层淀积形成薄膜的方法 Expired - Lifetime CN1312757C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/872,203 US6828218B2 (en) 2001-05-31 2001-05-31 Method of forming a thin film using atomic layer deposition
US09/872,203 2001-05-31

Publications (2)

Publication Number Publication Date
CN1389910A CN1389910A (zh) 2003-01-08
CN1312757C true CN1312757C (zh) 2007-04-25

Family

ID=25359056

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB021078793A Expired - Lifetime CN1312757C (zh) 2001-05-31 2002-03-26 利用原子层淀积形成薄膜的方法

Country Status (6)

Country Link
US (1) US6828218B2 (zh)
JP (1) JP4167411B2 (zh)
KR (1) KR100417893B1 (zh)
CN (1) CN1312757C (zh)
DE (1) DE10132882B4 (zh)
TW (1) TW593736B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104823268A (zh) * 2012-11-26 2015-08-05 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置及记录介质
US9934960B2 (en) 2015-03-26 2018-04-03 Hitachi Kokusai Electric, Inc. Method of manufacturing semiconductor device

Families Citing this family (208)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7829144B2 (en) * 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) * 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6787481B2 (en) * 2002-02-28 2004-09-07 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7220312B2 (en) * 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
KR20030081144A (ko) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
KR100448714B1 (ko) * 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
CN100389482C (zh) * 2002-11-11 2008-05-21 株式会社日立国际电气 基板处理装置
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
KR101416781B1 (ko) * 2003-03-14 2014-07-08 아익스트론 인코포레이티드 원자 층 증착을 위한 방법 및 장치
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
KR100527048B1 (ko) * 2003-08-29 2005-11-09 주식회사 아이피에스 박막증착방법
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050070097A1 (en) * 2003-09-29 2005-03-31 International Business Machines Corporation Atomic laminates for diffusion barrier applications
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050103264A1 (en) * 2003-11-13 2005-05-19 Frank Jansen Atomic layer deposition process and apparatus
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
WO2006001431A1 (ja) 2004-06-29 2006-01-05 Matsushita Electric Industrial Co., Ltd. ズームレンズ系、撮像装置及びカメラ
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
KR100593659B1 (ko) * 2004-07-21 2006-06-28 삼성전자주식회사 원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4639686B2 (ja) * 2004-07-27 2011-02-23 Jsr株式会社 化学気相成長材料及び化学気相成長方法
JP4515191B2 (ja) * 2004-08-03 2010-07-28 東京エレクトロン株式会社 成膜方法
KR100611072B1 (ko) * 2004-08-11 2006-08-10 삼성전자주식회사 원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법
KR100566699B1 (ko) 2004-08-17 2006-04-03 삼성전자주식회사 상변화 메모리 장치 및 그 제조 방법
JP4661130B2 (ja) * 2004-08-17 2011-03-30 Jsr株式会社 化学気相成長方法
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
JP4516969B2 (ja) * 2004-10-07 2010-08-04 株式会社日立国際電気 基板処理装置および半導体デバイスの製造方法
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP5025484B2 (ja) * 2004-10-26 2012-09-12 アーエスエム インターナショナル エヌ ヴィ 鉛含有酸化物膜の堆積方法
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
KR20060072338A (ko) * 2004-12-23 2006-06-28 주식회사 하이닉스반도체 유전체막 형성방법 및 이를 이용한 반도체 소자의캐패시터 형성방법
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP4355672B2 (ja) * 2005-03-15 2009-11-04 三井造船株式会社 薄膜形成方法
KR100652420B1 (ko) * 2005-03-23 2006-12-01 삼성전자주식회사 유전막 제조방법, 그 유전막을 포함하는 mim 캐패시터의제조방법 및 그 유전막을 제조하기 위한 배치 타입 ald장치
KR100676201B1 (ko) * 2005-05-24 2007-01-30 삼성전자주식회사 원자층 적층법을 이용한 반도체 디바이스 제조방법
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
KR100713925B1 (ko) * 2005-12-28 2007-05-07 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
JPWO2007111348A1 (ja) * 2006-03-28 2009-08-13 株式会社日立国際電気 基板処理装置
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7501355B2 (en) 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
JP2009545135A (ja) * 2006-07-20 2009-12-17 リンデ・インコーポレーテッド 改良された原子層堆積法
CN101496153A (zh) * 2006-07-31 2009-07-29 应用材料股份有限公司 形成含碳外延硅层的方法
JP5175285B2 (ja) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド エピタキシャル層形成中の形態制御方法
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US7692222B2 (en) 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US7939932B2 (en) * 2007-06-20 2011-05-10 Analog Devices, Inc. Packaged chip devices with atomic layer deposition protective films
US7928019B2 (en) * 2007-08-10 2011-04-19 Micron Technology, Inc. Semiconductor processing
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
JP4486135B2 (ja) * 2008-01-22 2010-06-23 東京エレクトロン株式会社 温度制御機構およびそれを用いた処理装置
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
JP5541223B2 (ja) * 2010-07-29 2014-07-09 東京エレクトロン株式会社 成膜方法及び成膜装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
TWI562204B (en) 2010-10-26 2016-12-11 Hitachi Int Electric Inc Substrate processing apparatus, semiconductor device manufacturing method and computer-readable recording medium
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
CN102153132B (zh) * 2011-03-02 2012-11-21 复旦大学 一种高密度氧化锌纳米颗粒的制备方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TWI461566B (zh) 2011-07-01 2014-11-21 Ind Tech Res Inst 鍍膜用噴灑頭以及鍍膜裝置
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP6105967B2 (ja) * 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9209134B2 (en) * 2013-03-14 2015-12-08 Intermolecular, Inc. Method to increase interconnect reliability
CN103333536A (zh) * 2013-06-06 2013-10-02 南京航空航天大学 单原子层氮化硼在表面涂层中的应用
JP6346022B2 (ja) * 2013-07-31 2018-06-20 京セラ株式会社 薄膜形成方法および太陽電池素子の製造方法
JP6334880B2 (ja) * 2013-10-03 2018-05-30 Jswアフティ株式会社 原子層堆積装置および原子層堆積方法
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
KR101764959B1 (ko) * 2014-03-21 2017-08-03 주식회사 엘지화학 고속 원자층 증착 장치 및 이를 이용한 증착 방법
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN105568256A (zh) * 2016-02-24 2016-05-11 北京七星华创电子股份有限公司 原子层沉积技术制备薄膜的实现方法
KR101820237B1 (ko) * 2016-04-29 2018-01-19 한양대학교 산학협력단 가압식 금속 단원자층 제조 방법, 금속 단원자층 구조체 및 가압식 금속 단원자층 제조 장치
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10388721B2 (en) 2017-01-24 2019-08-20 International Business Machines Corporation Conformal capacitor structure formed by a single process
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20190035147A (ko) 2017-09-26 2019-04-03 김영대 일반 생활쓰레기를 이용한 대체연료 제조방법
KR102214902B1 (ko) * 2017-10-18 2021-02-15 한양대학교 산학협력단 Tmdc 막 제조방법 및 그 제조장치
US11015243B2 (en) 2017-10-18 2021-05-25 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Method and apparatus for forming layer, metal oxide transistor and fabrication method thereof
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
CN114381710A (zh) * 2022-01-17 2022-04-22 西安交通大学 一种GaN薄膜的制备方法、GaN薄膜及其应用

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1244598A (zh) * 1998-08-07 2000-02-16 三星电子株式会社 利用原子层沉积制备薄膜的方法
WO2000079019A1 (en) * 1999-06-24 2000-12-28 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
CN1292431A (zh) * 1999-10-06 2001-04-25 三星电子株式会社 利用原子层沉积法形成薄膜的方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPH01305894A (ja) * 1988-06-03 1989-12-11 Matsushita Electric Ind Co Ltd 薄膜結晶成長装置および成長方法
US5217340A (en) 1989-01-28 1993-06-08 Kokusai Electric Co., Ltd. Wafer transfer mechanism in vertical CVD diffusion apparatus
JPH07105357B2 (ja) 1989-01-28 1995-11-13 国際電気株式会社 縦型cvd拡散装置に於けるウェーハ移載方法及び装置
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
KR19990074809A (ko) * 1998-03-14 1999-10-05 윤종용 박막 제조 방법
FI105313B (fi) 1998-06-03 2000-07-14 Planar Systems Oy Menetelmä ohutkalvo-elektroluminesenssirakenteiden kasvattamiseksi
KR100510473B1 (ko) * 1998-07-03 2005-10-25 삼성전자주식회사 원자층 증착법을 이용한 반도체소자의 커패시터 상부 전극 형성방법
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
KR100737901B1 (ko) 1999-10-15 2007-07-10 에이에스엠 인터내셔널 엔.브이. 민감한 표면에 나노적층박막을 증착하는 방법
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6482740B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
KR100647442B1 (ko) * 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1244598A (zh) * 1998-08-07 2000-02-16 三星电子株式会社 利用原子层沉积制备薄膜的方法
WO2000079019A1 (en) * 1999-06-24 2000-12-28 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
CN1292431A (zh) * 1999-10-06 2001-04-25 三星电子株式会社 利用原子层沉积法形成薄膜的方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104823268A (zh) * 2012-11-26 2015-08-05 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置及记录介质
CN104823268B (zh) * 2012-11-26 2017-11-21 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置及记录介质
US9934960B2 (en) 2015-03-26 2018-04-03 Hitachi Kokusai Electric, Inc. Method of manufacturing semiconductor device
TWI689990B (zh) * 2015-03-26 2020-04-01 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理裝置及記錄媒體

Also Published As

Publication number Publication date
JP4167411B2 (ja) 2008-10-15
US6828218B2 (en) 2004-12-07
JP2002367992A (ja) 2002-12-20
DE10132882B4 (de) 2005-04-14
US20030013320A1 (en) 2003-01-16
CN1389910A (zh) 2003-01-08
KR100417893B1 (ko) 2004-02-11
KR20020091743A (ko) 2002-12-06
TW593736B (en) 2004-06-21
DE10132882A1 (de) 2002-12-05

Similar Documents

Publication Publication Date Title
CN1312757C (zh) 利用原子层淀积形成薄膜的方法
US7112544B2 (en) Method of atomic layer deposition on plural semiconductor substrates simultaneously
US9472398B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
TWI296015B (en) Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
JP5610438B2 (ja) 基板処理装置及び半導体装置の製造方法
TWI410513B (zh) 金屬矽化物膜之原子層沈積
US7488386B2 (en) Atomic layer deposition methods and chemical vapor deposition methods
TWI394862B (zh) 經化學去活化而使反應器表面鈍化
US7544389B2 (en) Precursor for film formation and method for forming ruthenium-containing film
TWI446404B (zh) 半導體裝置的製造方法、清潔方法及基板處理裝置
US20050238808A1 (en) Methods for producing ruthenium film and ruthenium oxide film
JP2000054134A (ja) 原子層蒸着法を用いた薄膜製造方法
EP1674592A2 (en) Thin film processing system with different processing chambers
KR102651019B1 (ko) 성막 방법 및 성막 장치
US20030091740A1 (en) Forming ferroelectric Pb (Zr, Ti)O3 films
US8039054B2 (en) Layer deposition methods
JP5568342B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理システム
KR100319880B1 (ko) 원자층 증착법을 이용한 박막 제조방법
KR20070038262A (ko) 원자층 적층 장치
JP2012233265A (ja) 半導体装置の製造方法及び基板処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20070425

CX01 Expiry of patent term