CN1326207C - 在具有均匀错配位错密度的弛豫SiGe膜上的应变硅 - Google Patents

在具有均匀错配位错密度的弛豫SiGe膜上的应变硅 Download PDF

Info

Publication number
CN1326207C
CN1326207C CNB2004100581152A CN200410058115A CN1326207C CN 1326207 C CN1326207 C CN 1326207C CN B2004100581152 A CNB2004100581152 A CN B2004100581152A CN 200410058115 A CN200410058115 A CN 200410058115A CN 1326207 C CN1326207 C CN 1326207C
Authority
CN
China
Prior art keywords
sige layer
layer
atom
sige
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004100581152A
Other languages
English (en)
Other versions
CN1601699A (zh
Inventor
D·奇丹巴尔拉奥
O·H·多库马奇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1601699A publication Critical patent/CN1601699A/zh
Application granted granted Critical
Publication of CN1326207C publication Critical patent/CN1326207C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Abstract

本发明提供一种形成半导体衬底结构的方法。在硅衬底上形成压应变的SiGe层。将原子离子注入SiGe层以引起排列末端损伤。进行退火以弛豫应变的SiGe层。退火期间,在SiGe层中形成均匀分布的填隙位错环。填隙位错环提供在SiGe层和硅衬底之间的错配位错的成核基础。由于填隙位错环均匀分布,因此错配位错也均匀分布,从而弛豫了SiGe层。在弛豫SiGe层上形成张应变的硅层。

Description

在具有均匀错配位错密度的弛豫SiGe膜上的应变硅
技术领域
本发明涉及具有改进的器件性能的半导体器件的制造方法,特别涉及弛豫SiGe膜的形成方法。
背景技术
对超大规模集成半导体器件的需求的不断提高,要求不断提高晶体管的性能和密度。随着器件小型化达到极限,现在的趋势是寻求增强器件性能的新材料和方法。提高性能的最直接方法之一是通过增强迁移率。现已知,施加到半导体晶格结构的应力或应变可以提高器件的性能。例如,在双轴应变的(例如扩展的晶格)硅衬底上形成的N型器件,显示出器件性能好于在没有应变(或者扩展的晶格结构)的硅衬底上形成的其它N型器件。同样,具有纵向(电流流动的方向)压应变的P型器件,显示出器件性能好于在没有这种应变的硅衬底上形成的其它P型器件。具有很大双轴张应变的P型器件也显示出增强的性能。
可选地,现已知,当在外延生长于已在硅衬底的顶部上弛豫的另一外延生长的SiGe层上的硅层(或帽盖)上形成器件时,器件显示出更好的性能特性。在该系统中,硅帽盖经受双轴张应变。当在硅上外延生长时,未弛豫SiGe层将具有适应于硅衬底的晶格常数。弛豫(例如通过高温工艺)时,SiGe的晶格常数接近它自身的晶格常数,该晶格常数大于硅的晶格常数。完全弛豫SiGe层具有接近它自身的值的晶格常数。当在其上外延生长硅层时,硅层适应于弛豫SiGe层的较大的晶格常数,并将物理双轴应力(例如扩展)施加到在其上形成的硅层。施加到硅层的该物理应力对在其上形成的器件(例如,CMOS器件)有利,因为扩展的硅层提高了N型器件性能,且SiGe层中较高的Ge浓度提高了P型器件性能。
通过形成错配位错引起硅衬底上SiGe的弛豫。对于完全弛豫衬底,可以想象等间距隔开的错配位错的栅格,释放了应力。通过在衬底中提供硅的额外半平面(extra half plane),错配位错有助于SiGe层中的晶格常数寻求它自身的值。穿越SiGe/硅界面的失配应变被适应,且允许SiGe晶格常数变得更大。
然而,该常规措施的问题在于它要求很厚(例如,厚度为约5000到15000)的多层SiGe缓冲层,以在它的表面部分上获得错配位错,同时避免SiGe层和硅衬底层之间的螺旋位错,由此在多层SiGe层的表面上获得弛豫SiGe结构。同样,该措施显著地增加了制造时间和成本。而且,厚的分级的SiGe缓冲层不容易应用于衬底上硅(SOI)。这是由于为了有利于SOI有效,对于绝缘体上硅,硅的厚度必须低于1500。SiGe缓冲层结构太厚。
另一问题是在SiGe层和硅外延层之间形成的错配位错,是随机的且高度不均匀,并由于不容易控制的非均匀成核造成不容易控制。同样,各个不同位置处的错配位错密度也显著不同。由此,在硅外延层中由不均匀的错配位错产生的物理应力易于高度不均匀,且该不均匀的应力对性能产生不均匀的影响,使性能具有较大的易变性。而且,在错配密度很高的这些位置处,各种缺陷通过使器件端子短路和通过其它显著的泄露机制,而降低了器件性能。
因此,需要制造弛豫SiGe层的有效方法。
发明内容
在本发明的一个方案中,提供一种制造半导体器件的方法。首先,在硅衬底上形成压应变的SiGe层。离子注入原子以在SiGe层中形成均匀分布的填隙位错环。进行退火以在SiGe-硅界面形成均匀分布的错配位错。
在本发明的另一方案中,提供一种形成半导体衬底的方法。在硅衬底上形成压应变的SiGe层。将原子可控地离子注入SiGe层以在其中引起均匀分布的排列末端(end-of-range)损伤。进行退火以在SiGe层中形成均匀分布的填隙位错环。均匀分布的填隙位错环使SiGe层中均匀分布的错配位错成核。在SiGe层上形成张应变的硅层。
本发明的再一方案是具有硅衬底的半导体器件。在硅衬底上形成弛豫SiGe层,且SiGe层包括均匀分布的错配位错。在弛豫SiGe层上形成张应变的硅层。
附图说明
从下面参考附图的本发明的优选实施例的详细说明中,可以更好地理解以上和其它优点,其中:
图1到4示出了根据本发明的实施例的方法的顺序阶段;以及
图5示出了进行退火之后图3所示的半导体器件结构的侧视图。
具体实施方式
本发明提供了形成张应变的硅层的方法,改善了在其上形成的器件的性能。通过在弛豫SiGe层上外延生长硅形成应变的硅层。通过在形成于硅衬底之上的初始为压应变的SiGe层中形成均匀分布的错配位错,形成弛豫SiGe层。填隙位错环严重地影响了错配位错的成核。由此,在本发明中,在SiGe层中希望的位置,以希望的密度形成填隙位错环,以便控制SiGe层中错配位错成核的位置和密度。从而,通过错配位错的成核弛豫压应变的SiGe层。由于SiGe层被弛豫,因此在其上形成的硅层扩展地适应于弛豫SiGe层的较大晶格常数。结果,硅层为双轴张应变,由此提高了在其上形成的器件的性能。
图1示出了在硅衬底10上形成的SiGe层12。在一个实施例中,通过外延生长形成厚度为约100到10000的SiGe层12。由此,与现有技术相反,本发明不需要形成厚的多层SiGe层以获得弛豫SiGe层。硅衬底10具有小于自身的未弛豫SiGe层的晶格常数。由此,当外延生长SiGe层12时,SiGe层12为双轴压应变,因为下面的硅层限制了外延生长,以使SiGe层12的较大晶格结构适应于硅衬底10的较小晶格结构。
在图2中,以足以使SiGe层12的上表面部分非晶化的注入浓度和能量,将原子可控地离子注入SiGe层12,如箭头“A”所示。如Ge或Si的任何中性非晶化原子可以用做离子注入原子。由此,在SiGe层12的上表面区域形成非晶层14。在一个实施例中,形成的非晶层14的厚度为约30到300,约为SiGe层厚度的三分之一。也可以使用如He、Ar等的惰性气体代替Ge或Si,但是用量必须很高,会导致其它不希望的泄露问题。
离子注入期间,原子与SiGe层12的晶格结构碰撞并引起非晶化。在一个实施例中,为了非晶化,以约3×1014原子/cm2的杂质浓度离子注入Ge。退火非晶化的硅/SiGe材料时,形成了对SiGe层12的排列末端损伤。排列末端损伤由接合退火期间的损伤的填隙环组成。它们相对稳定并具有约100到500的尺寸,并具有相对均匀的密度。
从非晶区14和SiGe层12之间的界面向下到SiGe层12和硅衬底10之间的界面,在SiGe层12中嵌有排列末端损伤。通过控制离子注入浓度和能量可以准确地调制排列末端损伤的位置。由此,当离子注入原子形成非晶层14时,可控地选择注入浓度和能量,以使在SiGe层12中的排列末端损伤均匀分布。例如,以约1×1014原子/cm2到1×1016原子/cm2的注入浓度及约5KeV到100KeV的注入能量离子注入原子。下面将说明,排列末端损伤提供了错配位错的成核基础。
随后,进行退火,用于非晶层14的再结晶。在一个实施例中,在约500℃到1100℃的温度下进行约1秒到30分钟的退火。同样,可以通过峰值退火、快速热退火或其它退火技术进行退火。如图3所示,进行退火时,对应于排列末端损伤,形成排列末端填隙位错环16。在一个实施例中,排列末端填隙位错环16的密度为约1×105环/cm2到1×1012环/cm2
在退火SiGe层12并再结晶非晶层14时,释放施加到SiGe层12的压应变,并使SiGe层12得到弛豫,如图3中的箭头“B”所示。当应变的SiGe层12得到弛豫时,SiGe层12的弛豫,在SiGe层12和硅衬底10之间的界面处引起错配位错。这里,当产生错配位错时,排列末端填隙位错环16提供了错配位错的成核基础。由此,在希望的位置并以希望的密度均匀分布的排列末端填隙位错环16的严重影响之下,使错配位错18成核。
在一个实施例中,SiGe层中的错配位错的密度为约1×105#/cm2到1×1012#/cm2。图4示出了一个例子,其中沿连接两个相邻的排列末端填隙位错环16的线,均匀形成错配位错18。图4还示出了形成均匀地弛豫压应力的栅格的错配位错18。根据本发明,通过产生更多的错配位错可以增加弛豫。这可以通过增加排列末端填隙位错环16的密度来实现,因为错配位错的成核主要受排列末端填隙位错环16的支配。
图5示出了在弛豫SiGe层12上形成的硅层20。在一个实施例中,通过在SiGe层12上外延生长形成硅层20。由于弛豫SiGe层12具有大于硅的晶格常数,因此在SiGe层12上形成的硅层20,适应于弛豫SiGe层12的较大的晶格常数。这将双轴张应变施加到硅层20。
虽然没有示出,但是进行常规的工艺步骤,在双轴张应变的硅层20上形成器件。例如,在硅层20上形成栅极结构,二者之间具有栅极氧化物。通过离子注入杂质原子在张应变的硅层20中形成源和漏区。张应变的硅层相当于衬底,并提高了器件性能。
在上述实施例中,在衬底10上形成SiGe层12之后离子注入原子。然而,可以在形成SiGe层12之前将原子离子注入硅衬底10。可选地,可以在SiGe层12上形成硅层20之后进行离子注入。在这些情况中,硅弛豫的程度仍然会增加硅弛豫。
如前所述,根据本发明,由于下层SiGe层12的弛豫,硅层20为张应变。通过在压应变的SiGe层12中形成均匀分布的错配位错引起弛豫。由于在排列末端填隙位错环16的严重影响下使错配位错成核,在本发明中,在希望的位置并以希望的密度形成了排列末端填隙位错环16。通过可控地离子注入原子实现填隙位错环16的均匀分布,以形成对SiGe层的均匀分布的排列末端损伤。同样,本发明不需要形成厚的多层SiGe层以避免螺旋位错。因此,本发明提供了制造张应变硅层的时间和成本有效的方法。
虽然借助实施例说明了本发明,但是本领域的技术人员应该认识到,在所附的权利要求书的精神和范围内,可以对本发明进行修改。

Claims (20)

1.一种制造半导体器件的方法,包括以下步骤:
在硅衬底上形成压应变的SiGe层;
离子注入原子以在SiGe层中形成均匀分布的填隙位错环;以及
退火以在SiGe层中形成均匀分布的错配位错。
2.根据权利要求1的方法,其中形成SiGe层的步骤包括在硅衬底上外延生长SiGe层的步骤。
3.根据权利要求2的方法,其中形成厚度为100到10000的SiGe层。
4.根据权利要求1的方法,还包括在SiGe层上形成张应变的硅层的步骤。
5.根据权利要求1的方法,其中离子注入原子的步骤引起在SiGe层中的排列末端损伤。
6.根据权利要求1的方法,其中离子注入原子的步骤引起在SiGe层的表面部分中形成非晶层。
7.根据权利要求1的方法,其中原子为Ge或Si。
8.根据权利要求1的方法,其中以1×1014原子/cm2到1×1016原子/cm2的注入浓度及5KeV到100KeV的注入能量离子注入原子。
9.根据权利要求1的方法,其中在500℃到1100℃的温度下进行1秒到30分钟的退火步骤。
10.根据权利要求1的方法,其中填隙位错环的密度为1×105环/cm2到1×1012环/cm2
11.根据权利要求10的方法,其中错配位错的密度为1×105#/cm2到1×1012#/cm2
12.一种形成半导体衬底的方法,包括以下步骤:
在硅衬底上形成压应变的SiGe层;
将原子可控地离子注入SiGe层,以在其中引起均匀分布的排列末端损伤;
退火以在SiGe层中形成均匀分布的填隙位错环,其中均匀分布的填隙位错环使SiGe层中均匀分布的错配位错成核;以及
在SiGe层上形成张应变的硅层。
13.根据权利要求12的方法,其中离子注入原子的步骤引起在SiGe层的表面部分中形成非晶层。
14.根据权利要求12的方法,其中原子为Ge或Si。
15.根据权利要求12的方法,其中以1×1014原子/cm2到1×1016原子/cm2的注入浓度及5KeV到100KeV的注入能量离子注入原子。
16.根据权利要求12的方法,其中在500℃到1100℃的温度下进行1秒到30分钟的退火步骤。
17.一种半导体器件,包括:
硅衬底;
在硅衬底上形成弛豫SiGe层,所述SiGe层包括均匀分布的错配位错;以及
在弛豫SiGe层上形成张应变的硅层。
18.根据权利要求17的方法,其中在SiGe层中错配位错的密度为1×105#/cm2到1×1012#/cm2
19.根据权利要求17的方法,其中从上面看时,错配位错排列为栅格形状。
20.根据权利要求17的方法,其中形成厚度为100到10000的SiGe层。
CNB2004100581152A 2003-09-23 2004-08-13 在具有均匀错配位错密度的弛豫SiGe膜上的应变硅 Expired - Fee Related CN1326207C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/667,603 2003-09-23
US10/667,603 US6872641B1 (en) 2003-09-23 2003-09-23 Strained silicon on relaxed sige film with uniform misfit dislocation density

Publications (2)

Publication Number Publication Date
CN1601699A CN1601699A (zh) 2005-03-30
CN1326207C true CN1326207C (zh) 2007-07-11

Family

ID=34313339

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100581152A Expired - Fee Related CN1326207C (zh) 2003-09-23 2004-08-13 在具有均匀错配位错密度的弛豫SiGe膜上的应变硅

Country Status (3)

Country Link
US (2) US6872641B1 (zh)
JP (1) JP2005109474A (zh)
CN (1) CN1326207C (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7482252B1 (en) * 2003-12-22 2009-01-27 Advanced Micro Devices, Inc. Method for reducing floating body effects in SOI semiconductor device without degrading mobility
US7482214B2 (en) 2003-12-30 2009-01-27 Texas Instruments Incorporated Transistor design and layout for performance improvement with strain
EP1571241A1 (en) * 2004-03-01 2005-09-07 S.O.I.T.E.C. Silicon on Insulator Technologies Method of manufacturing a wafer
GB2418531A (en) * 2004-09-22 2006-03-29 Univ Warwick Formation of lattice-tuning semiconductor substrates
GB0424290D0 (en) * 2004-11-02 2004-12-01 Koninkl Philips Electronics Nv Method of growing a strained layer
US7294848B2 (en) * 2005-05-26 2007-11-13 Avago Technologies General Ip (Singapore) Pte. Ltd. Light-emitting Group IV semiconductor devices
US7247885B2 (en) * 2005-05-26 2007-07-24 Avago Technologies General Ip (Singapore) Ltd. Pte. Carrier confinement in light-emitting group IV semiconductor devices
US7186626B2 (en) * 2005-07-22 2007-03-06 The Regents Of The University Of California Method for controlling dislocation positions in silicon germanium buffer layers
FR2896255B1 (fr) * 2006-01-17 2008-05-09 Soitec Silicon On Insulator Procede d'ajustement de la contrainte d'un substrat en un materiau semi-conducteur
US7560326B2 (en) * 2006-05-05 2009-07-14 International Business Machines Corporation Silicon/silcion germaninum/silicon body device with embedded carbon dopant
US20090004458A1 (en) * 2007-06-29 2009-01-01 Memc Electronic Materials, Inc. Diffusion Control in Heavily Doped Substrates
CN102590936B (zh) * 2011-01-10 2013-04-24 中国科学院上海微系统与信息技术研究所 锗悬浮膜式二维光子晶体微腔及制备方法
CN102590935B (zh) * 2011-01-10 2013-04-24 中国科学院上海微系统与信息技术研究所 锗悬臂梁式二维光子晶体微腔及制备方法
JP6247181B2 (ja) * 2014-09-05 2017-12-13 東京エレクトロン株式会社 シリコン又はゲルマニウム又はシリコンゲルマニウム膜の成膜方法および成膜装置
US9761699B2 (en) 2015-01-28 2017-09-12 International Business Machines Corporation Integration of strained silicon germanium PFET device and silicon NFET device for finFET structures
US9472575B2 (en) 2015-02-06 2016-10-18 International Business Machines Corporation Formation of strained fins in a finFET device
US9472671B1 (en) 2015-10-31 2016-10-18 International Business Machines Corporation Method and structure for forming dually strained silicon
US9831324B1 (en) * 2016-08-12 2017-11-28 International Business Machines Corporation Self-aligned inner-spacer replacement process using implantation
CN108110618A (zh) * 2016-11-25 2018-06-01 中国科学院半导体研究所 一种多波长硅基微腔激光器阵列及其制备方法
US10679901B2 (en) 2018-08-14 2020-06-09 International Business Machines Corporation Differing device characteristics on a single wafer by selective etch

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5084411A (en) * 1988-11-29 1992-01-28 Hewlett-Packard Company Semiconductor processing with silicon cap over Si1-x Gex Film
US5810924A (en) * 1991-05-31 1998-09-22 International Business Machines Corporation Low defect density/arbitrary lattice constant heteroepitaxial layers
US6521041B2 (en) * 1998-04-10 2003-02-18 Massachusetts Institute Of Technology Etch stop layer system
JP2003128494A (ja) * 2001-10-22 2003-05-08 Sharp Corp 半導体装置の製造方法及び半導体装置

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
DE3676781D1 (de) 1985-09-13 1991-02-14 Siemens Ag Integrierte bipolar- und komplementaere mos-transistoren auf einem gemeinsamen substrat enthaltende schaltung und verfahren zu ihrer herstellung.
US4860067A (en) * 1986-06-20 1989-08-22 International Business Machines Corporation Semiconductor heterostructure adapted for low temperature operation
JPS6476755A (en) 1987-09-18 1989-03-22 Hitachi Ltd Semiconductor device
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
JPH03116820A (ja) * 1989-09-29 1991-05-17 Shin Etsu Handotai Co Ltd ミスフィット転位制御方法
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5102810A (en) * 1990-03-13 1992-04-07 General Instrument Corp. Method for controlling the switching speed of bipolar power devices
US5097308A (en) * 1990-03-13 1992-03-17 General Instrument Corp. Method for controlling the switching speed of bipolar power devices
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US6039803A (en) * 1996-06-28 2000-03-21 Massachusetts Institute Of Technology Utilization of miscut substrates to improve relaxed graded silicon-germanium and germanium layers on silicon
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5888885A (en) * 1997-05-14 1999-03-30 Lucent Technologies Inc. Method for fabricating three-dimensional quantum dot arrays and resulting products
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6689211B1 (en) * 1999-04-09 2004-02-10 Massachusetts Institute Of Technology Etch stop layer system
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
DE19859429A1 (de) * 1998-12-22 2000-06-29 Daimler Chrysler Ag Verfahren zur Herstellung epitaktischer Silizium-Germaniumschichten
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
JP3273037B2 (ja) * 1999-10-12 2002-04-08 株式会社日立製作所 ヘテロ構造半導体多層薄膜の製造方法
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
WO2001093338A1 (en) * 2000-05-26 2001-12-06 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
JP2004519090A (ja) * 2000-08-07 2004-06-24 アンバーウェーブ システムズ コーポレイション 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
JP3933405B2 (ja) * 2001-03-06 2007-06-20 シャープ株式会社 半導体基板、半導体装置及びそれらの製造方法
US6784074B2 (en) * 2001-05-09 2004-08-31 Nsc-Nanosemiconductor Gmbh Defect-free semiconductor templates for epitaxial growth and method of making same
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
JP2005504436A (ja) 2001-09-21 2005-02-10 アンバーウェーブ システムズ コーポレイション 画定された不純物勾配を有するひずみ材料層を使用する半導体構造、およびその構造を製作するための方法。
JP3970011B2 (ja) * 2001-12-11 2007-09-05 シャープ株式会社 半導体装置及びその製造方法
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US6746902B2 (en) * 2002-01-31 2004-06-08 Sharp Laboratories Of America, Inc. Method to form relaxed sige layer with high ge content
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
AU2003274922A1 (en) * 2002-08-23 2004-03-11 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups and related methods
US7594967B2 (en) * 2002-08-30 2009-09-29 Amberwave Systems Corporation Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
US7388259B2 (en) 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6734527B1 (en) * 2002-12-12 2004-05-11 Advanced Micro Devices, Inc. CMOS devices with balanced drive currents based on SiGe
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6995427B2 (en) * 2003-01-29 2006-02-07 S.O.I.Tec Silicon On Insulator Technologies S.A. Semiconductor structure for providing strained crystalline layer on insulator and method for fabricating same
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US8008724B2 (en) 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7205206B2 (en) 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7354806B2 (en) 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods
US7186626B2 (en) * 2005-07-22 2007-03-06 The Regents Of The University Of California Method for controlling dislocation positions in silicon germanium buffer layers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5084411A (en) * 1988-11-29 1992-01-28 Hewlett-Packard Company Semiconductor processing with silicon cap over Si1-x Gex Film
US5810924A (en) * 1991-05-31 1998-09-22 International Business Machines Corporation Low defect density/arbitrary lattice constant heteroepitaxial layers
US6521041B2 (en) * 1998-04-10 2003-02-18 Massachusetts Institute Of Technology Etch stop layer system
JP2003128494A (ja) * 2001-10-22 2003-05-08 Sharp Corp 半導体装置の製造方法及び半導体装置

Also Published As

Publication number Publication date
JP2005109474A (ja) 2005-04-21
US6872641B1 (en) 2005-03-29
US20050064686A1 (en) 2005-03-24
CN1601699A (zh) 2005-03-30
US7964865B2 (en) 2011-06-21
US20050164477A1 (en) 2005-07-28

Similar Documents

Publication Publication Date Title
CN1326207C (zh) 在具有均匀错配位错密度的弛豫SiGe膜上的应变硅
US6703293B2 (en) Implantation at elevated temperatures for amorphization re-crystallization of Si1-xGex films on silicon substrates
US6709903B2 (en) Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
JP5039912B2 (ja) ヘテロ集積型歪みシリコンn型MOSFET及びp型MOSFET及びその製造方法
US6632728B2 (en) Increasing the electrical activation of ion-implanted dopants
US9761607B2 (en) Method for producing strained semi-conductor blocks on the insulating layer of a semi-conductor on insulator substrate
US6972247B2 (en) Method of fabricating strained Si SOI wafers
KR20130034059A (ko) 매립 절연 층과 결합된 응력기의 탄성 에지 이완을 사용하는 변형 반도체
JPH06342805A (ja) 珪素からなる半導体装置の製造方法
JP2011142325A (ja) Cmos用歪トランジスタの集積化
US20070122987A1 (en) Method for fabricating an nmos transistor
JP2003347399A (ja) 半導体基板の製造方法
US8361868B2 (en) Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
US9460923B2 (en) Method of forming a strained silicon layer
JP2006080510A (ja) ホウ素またはヘリウムと、水素とともにシリコンを注入することによって、Ge含有量が高い緩和Si1−XGeX(0<x<1)層を形成する方法
JP4446425B2 (ja) 半導体基板の製造方法
JPH05308069A (ja) 埋設絶縁層の製作方法
JP2004103805A (ja) 半導体基板の製造方法、半導体基板及び半導体装置
US7867861B2 (en) Semiconductor device employing precipitates for increased channel stress
US9059201B2 (en) Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
US20040014302A1 (en) Method of forming silicon on insulator wafers
KR100634005B1 (ko) Cmos 소자용 변형된 실리콘 에피층의 형성 방법
US6593199B1 (en) Method of manufacturing a semiconductor component and semiconductor component thereof
Mooney Improved CMOS performance via enhanced carrier mobility

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070711