CN1326224C - 在基底蚀刻制程中的干涉终点侦测 - Google Patents

在基底蚀刻制程中的干涉终点侦测 Download PDF

Info

Publication number
CN1326224C
CN1326224C CNB2004100623530A CN200410062353A CN1326224C CN 1326224 C CN1326224 C CN 1326224C CN B2004100623530 A CNB2004100623530 A CN B2004100623530A CN 200410062353 A CN200410062353 A CN 200410062353A CN 1326224 C CN1326224 C CN 1326224C
Authority
CN
China
Prior art keywords
substrate
light
wavelength
etching
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004100623530A
Other languages
English (en)
Other versions
CN1577786A (zh
Inventor
范可瑞兰
岁芝峰
杉宏晴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1577786A publication Critical patent/CN1577786A/zh
Application granted granted Critical
Publication of CN1326224C publication Critical patent/CN1326224C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Abstract

一种蚀刻基底的方法,包括以下步骤。首先,在一制程区域中放置一基底,其中该基底包括具有一厚度的一层,并且该层具有介于图案化掩模的特征图案之间的多个暴露区域。接着,导入一蚀刻气体到该制程区域中。接着,提供能量给该蚀刻气体,以蚀刻该层。之后,通过从该基底反射一光线,该光线具有一波长,该波长被选择以在该基底中具有为该层厚度的1.5倍到4倍的一相干长度。以及,侦测该反射光,来决定蚀刻该基底的该层的一终点。此外,透过选择该光线的该波长,可以将一吸收差异极大化,其中该吸收差异为介于图案化掩模中光线的吸收与在该层中光线的吸收之间的一差异。

Description

在基底蚀刻制程中的干涉终点侦测
技术领域
本发明是有关于在一基底的该蚀刻中一终点的侦测方法及装置。
背景技术
在制造电子装置,例如电子电路与显示器的一基底制程中,蚀刻制程被用以在基底中,蚀刻有关电子装置的膜层或组件的图案。例如,该图案可能包括栅极(gate)、介层孔(via hole)、接触孔(contact hole),或内连接线(interconnection line)。传统上,包括光阻或硬掩模层的抗蚀刻特征图案(etch-resistant feature)的一图案化掩模,被形成在基底中,并且基底的介于抗蚀刻特征图案之间的暴露区域(exposed area)被蚀刻以形成图案。
在蚀刻制程中,一终点侦测方法被用以计算并控制穿过介电层的蚀刻进行,例如用以终止或改变蚀刻以到达一预定的沟槽蚀刻深度。在干涉终点侦测方法中,如图1所示(现有技术),一光线76被导入到基底10上,并且一反射光78从基底10穿出。随着时间变化,反射光78中部分的建设性(constructive)与破坏性(destructive)干涉,会调变光线78以形成干涉条纹(interference fringe),例如强度的最大值与最小值。反射光78可借由一侦测器被侦测,该侦测器会产生一干涉信号,借由监测干涉信号可以决定蚀刻制程的一终点。当反射信号显示出,从介于来自基底10的表面一主要反射50,与来自该些层,例如主要从第二层22的反射之间的干涉,所得到的条纹,该干涉条纹可被用于测量蚀刻率、蚀刻深度与决定是否已到达一蚀刻制程终点。
然而,光线76的一部分76b(入射到介于该些掩模特征图案62的暴露区域61),会部分传播到在第一层30与第二层22的下方的更深的层23,例如到第三层23,或第四层(未绘示)。从这些更深的层23,部分的光线51-53被反射,而会不必要地与主要反射50相互干涉,而在反射光78中增加了噪声与多余的干涉条纹,因此会使得在反射信号中,更难以辨识出有意义的干涉条纹。入射到基底10的光线的另一部分76a,会从掩模特征图案62,例如掩模15的表面17,或甚至是掩模层下方的层30、22、23等被反射。该些额外的反射40-44亦会与反射光78相互干涉,而被加到全部的反射信号中,因而减少了有效信噪比(signal to noise ratio),并且可能会指示出错误的终点。
当半导体装置的制程的线宽逐渐变小,在侦测终点上也需要较高的精确度(precision)与更好的准确度(accuracy)。来自掩模特征图案62与更深的层23的反射52、53、40-44,因为在反射信号中加入了噪声,相当程度地限制了终点侦测的精确度与准确度。一般而言,此噪声可以使用滤波器,例如带通滤波器来移除,但是这会增加终点侦测的复杂度,而且经常无法完全移除噪声。为了要蚀刻更浅的沟槽(trench),并且蚀刻沟槽到一更精确的深度(depth),更佳的是具有较高精确度的一终点侦测器。在传统干涉终点侦测器中,加到反射信号的噪声会降低侦测终点的能力。例如,有些传统干涉终点侦测器,对于被蚀刻的一沟槽,具有一最小可侦测深度大约为320nm。
因此,具有较高精确度的基底制程步骤的干涉式侦测终点是有必要的。此外,可以避免错误终点,而可以提高准确度的干涉式侦测终点也是是有必要的。
发明内容
本发明公开了一种蚀刻基底的方法,包括以下步骤。首先,在一制程区域中放置一基底,其中该基底包括具有一厚度的一层。接着,导入一蚀刻气体到该制程区域中,并提供能量给该蚀刻气体,以蚀刻该层。然后,借由(i)从该基底反射一光线,该光线具有一波长,该波长被选择以在该基底中具有为该层厚度的1.5倍到4倍的一相干长度(coherent length),(ii)侦测该反射光,来决定蚀刻该层的一终点。
在本发明的另一实施例中,提供蚀刻该基底的另一方法。其中该基底的该层具有介于一图案化掩模的特征图案的间的多数个暴露区域。蚀刻该层的终点借由(i)从该基底反射一光线,该光线具有被选择的一波长,以将一吸收差异极大化,该吸收差异为介于图案化掩模中该光线的吸收与在该层中该光线的吸收之间的一差异。以及(ii)侦测该反射光以决定该基底蚀刻制程的一终点来决定。
本发明公开了一种蚀刻基底的设备,包括例如一腔体(该腔体包括一基底底座用以夹住一基底)、一气体分布器用以导入一蚀刻气体到该腔体、一气体能量源用以提供能量给该蚀刻气体以蚀刻该基底的该层、一光源用以反射从该基底来的一光线,其中该光线具有被选择的一波长以具有该基底中的一相干长度(为该层的厚度的大约1.5倍到大约4倍)、一光线侦测器用以侦测该反射光并对应到该反射光的一被测量强度以产生一信号,以及一控制器用以计算该信号以决定该基底蚀刻制程的一终点。
在本发明的另外一实施例中,提供蚀刻基底的另一设备。其中该基底的该层具有介于一图案化掩模的特征图案之间的多数个暴露区域。从该基底反射一光线,并且该光线具有被选择的一波长,以将一吸收差异极大化,其中该吸收差异为介于图案化掩模中该光线的吸收与在该层中该光线的吸收之间的一差异。
为让本发明的上述和其它目的、特征图案、和优点能更明显易懂,下文特举一较佳实施例,并配合所附图式。
附图说明
图1为一已知的基底上,一光线被导入与从基底被反射的一示意剖面侧视图;
图2为依据本发明所绘示的一基底上一光线被导入与从基底被反射的一示意剖面侧视图;
图3为一强度信号对一波长大约为254nm的一反射光随时间变化的一图标;
图4绘示一强度信号对一波长小于大约220nm的一反射光随时间变化的一图标;
图5为一图标,绘示使用一波长大约为254nm的一光线可达到的一最小蚀刻深度,以及使用一波长小于大约220nm的一光线可达到的一最小蚀刻深度,二者皆为介于该些掩模特征图案的暴露区域的百分比的函数;
图6为一图标,绘示一掩模与一介电层的吸收系数(α),二者皆为导入其上的一光线的一波长(λ)的函数;
图7为用以蚀刻一基底的一层、侦测一蚀刻终点,以及终止该蚀刻制程或改变制程状态的制程步骤的一流程图;
图8为为依据本发明所绘示的一基底制程设备与终点侦测系统的一示意剖面侧视图;
图9为图8的基底制程设备的一控制器的示意图。
图式标示说明:
10:基底                       15:掩模
17:表面                       22:第二层
23:更深的层                   30:第一层
40-44、51-53:反射部分的光线   50:主要反射
61:暴露区域                   62:掩模特征图案
76:光线                       76a、76b:光线76的一部分
78:反射光                     110:基底
115:图案化掩模                162:特征图案
161:暴露区域                  130:第一层
122、123:下方的层             176:光线
178:反射光                    150-153:反射
210:强度信号                  115:掩模
176a、176b:光线的部分         126、127:接口
240:基底制程设备              242:制程腔体
244:制程区域                246:底座
248:气体分布器              250:出口
252:抽出系统                256:气体能量源
258:感应天线                260、262:电极
264:终点侦测系统            266:光源
268:聚焦组件                270:侦测器
270:光线侦测器              274a、274b:凸面聚焦镜
280:光点                    282:窗口
284:光线定位器              286:镜子
302:计算机                  304:硬件接口电路板
306:中央处理器              308:内存
310:可移除储存媒体          312:不可移除储存媒体
314:随机存取内存            316:显示器
318:光笔                    320:计算机可读取程序
322:制程程序装置指令组      324:腔体管理器指令组
326:基底定位指令组          328:气体流量控制指令组
330:气体压力控制指令组      332:温度控制指令组
334:气体能量源控制指令组    336:制程监测指令组
337:光线侦测指令组          338:工厂自动化主机端计算机
340:主机端软件程序
具体实施方式
一终点侦测系统,对于基底上的集成电路的制造是有用的,特别是对于基底中的介电、半导体,或是导体层的蚀刻是相当有用的。介电层与半导体层,时常会互相层叠在一起,而使得,例如要在终止该蚀刻制程蚀刻时,要穿过上方一较厚介电层,而不要蚀刻超过下方一较薄的半导体层变的难以控制。介电层可能包括,例如,低介电常数(low-k)介电层。而半导体层可能包括,例如,多晶硅(polysilicon)或硅。然而,本发明也可以被用于其它制程,例如蒸发(vaporization)等,而不应被限制在此处所提供的范例与实施例中。
绘示在图2中的基底110,可以作为使用本发明的制程来蚀刻的一基底的一示范说明的实施例,但是不应被用以限制本发明的范围。基底110包括,一图案化掩模115,例如包括借由微影(lithographic)方法所形成的光阻(photoresist)与/或硬掩模(hard mask)(例如氧化硅或氮化硅)特征图案162的一图案化掩模115。介于掩模特征图案162的间,露出了用以蚀刻基底110的下方的层的暴露区域161。在介电层的蚀刻中,一般掩模115包括,例如光阻。在暴露区域161处,在掩模115的平面下方,基底110亦包括将被蚀刻的一第一层130,以及在该第一层130下方的一下方的层122。例如,第一层130可能是一介电层,例如一低介电常数(low-k)介电层。在一实施例中,一下方的层122包括,例如一厚度大约为10nm到大约300nm的一薄二氧化硅(SiO2)层。
依据本发明的一实施例,用于基底蚀刻的一终点侦测方法将会被叙述。其中第一层130被蚀刻,而其终点会以高准确度与高精确度被侦测,因此可以避免不必要地蚀刻或损害下方的层122。如图2所示,具有一被选择波长的一光线176,在蚀刻制程中,被聚焦到基底110上,并且反射光178的强度被测量。当蚀刻进行时,借由光线176的各个反射150-153之间相互建设性或破坏性地干涉,以产生具有一快速调变强度的一反射光178,而调变强度被监测以指出终点。
在本发明的一实施例中,光线176的波长被选择以在基底110中具有一限制相干长度(coherent length)(d),以降低在反射光178的强度信号210中的噪声。当光线176经过具有相干长度(d)的基底110时,会维持一已定义相位(defined phase),因此具有相干长度的光线176的各个被反射部分150、151,会彼此干涉以创造出干涉条纹。在图2中,相干光线被绘示成实线与虚线。
然而,当最初的相干光线176经过基底110中的路径时,因为光线176的自我干涉(self-interference),以及借由基底层的吸收与再发射(re-emission),光线176会渐渐地失去该干涉。在超过相干长度之后,光线176会变的不同调(incoherent)(如图2中点虚线(dotted-dashed line)所绘示的线)。当时间经过,不同调反射152、153实质上并不会调变全反射光束178的强度。换句话说,在一部份的光线176在基底110中以小于相干长度传播后,该部分的光线176会失去其已定义相位,因此介于光线176的这些被反射部分的间的干涉,实质上并不会发生。相干长度(d)可以使用以下的比例式来近似:
Figure C20041006235300101
此处λ为已经考虑过同调(coherence)的波长,而Δλ为光线176的波长的频宽(bandwidth)。在第一层130中,光线176的波长λ反比于第一层130的折射率(refractive index)。
波长选择包括,例如一特定波长或一特定波长频宽,以限制在基底110中的相干长度。例如,为了减少相干长度(d),将被侦测的一特定波长λ可以选择成较小的以减少上述方程式中的分子λ2。或者是,在分母的波长频宽Δλ可以被增加以减少该相干长度(d)。有时候,波长选择牵涉到调整所使用的特定波长λ,以及波长的频宽(Δλ)。在一实施例中,如图2所示,通过选择一波长,光线176的相干长度会是,例如第一层130的一厚度的大约1.5倍到大约4倍,或甚至是第一层130的厚度的大约2倍到大约3倍。
此外,或者是,通过选择光线176的波长,光线176的一第一部分176a会照射在掩模115的特征图案162上,而实质上在掩模115中会完全被吸收。若光线的第一部分176a从掩模115被反射,其将会导致反射信号中具有多余的干涉条纹,而会干扰侦测该终点的准确与精确性。
然而,相当重要的是,光线176的一第二部分176b,实质上来回经过第一层130。入射光线176的第二部分176b输入将被蚀刻的基底110的暴露区域161,以产生一蚀刻信号,还有部分地被反射。紫外光光束176的第二部分176b通过第一层130,并且从基底110被反射,其中有助益地增加了从第一层130反射的强度比上从掩模115反射强度的比率。第二部分176b的一第一小部分151通过第一层130,从介于第一层130与一第一下方的层122之间的接口126被反射。第二部分176b的一第二小部分152通过介电层130与第一下方的层122,然后从介于第一下方的层122与一深的第二下方的层123之间的一接口127被反射。第二部分176b的一第三小部分153通过第一层130、第一下方的层122、深的第二下方的层123,然后从深的第二下方的层123的底部128被反射。
例如,掩模115可能具有一已知的吸收系数(α),反比于光线176输入第一层130的穿透深度,在穿透深度处,光线176的强度透过一因子1/e被减少。吸收系数为波长的一函数,并且可以被绘图成一曲线,其中局部最大值看起来像是曲线中向上的波峰,以表示用以增加或减少吸收的最佳的波长。通过考虑此吸收系数,掩模115的厚度,以及第一层130的吸收系数,光线176的波长被选择,以将一吸收差异极大化(其中Δα=α掩模-α第一层),代表介于掩模115中光线176的吸收(α掩模),以及第一层130中光线176的吸收(α第一层)的一差异。在一实施例中,掩模115的吸收系数被选择成吸收长度(1/α)会小于大约1μm。光线176实质上在输入一厚度为至少约1μm的一掩模115大约一1μm的深度后被吸收。
图6为绘示吸收系数(α)为波长(λ)的一函数的图标。如上所述,光线176的相干长度(d)反比于其波长频宽(Δλ)。在一较佳实施例中,介于掩模115中的吸收系数与第一层130的吸收系数的差异(Δα),在波长频宽(Δλ)的一条件下,通过提供一必要的相干长度(d),例如为第一层130的厚度的大约1.5倍到大约4倍,或甚至是此厚度的大约2倍到大约3倍,将被极大化。
在掩模115中与第一层130中,特定被选择波长的反射或吸收,在基底制程中可以事先被决定,例如在上述的终点侦测的第一阶段的前。例如,在用于决定终点的光线176的紫外光波长时,此校正步骤可以产生用于每一基底110的一反射或吸收(Asnapshot@)。光线的一宽频光谱,例如一光线的宽频闪光(flash),被导入到基底110。从基底110被反射的光线会被侦测,以决定该特定基底110的吸收与反射特性。
图3为一图标,绘示一波长约为254nm的一光线76的反射信号的相对强度对经过时间(秒)的一函数。在此说明的实施例中,第一层30为具有一折射系数(n)约为1.47的一低介电常数(low-k)介电层。在干涉信号中峰对峰(peak-to-peak)的距离为dpp=λ/(2n),此处λ为光线76的波长,而n为第一层30的折射系数。在此范例中,dpp被计算为大约86.4nm。光线76实质上不被掩模15吸收,因此不必要的反射会出现在全部的反射光78中。传统上,反射光78会被接收并且被变换成一电子信号,而信号处理被用以去除电子信号中不必要的反射的贡献。例如,电子信号可能包含由介于一沟槽反射50与掩模反射44的间的偏移相位(shifting phase)所引起的干涉成分。此外,若掩模15部分地被蚀刻,因此掩模15的厚度因而减少,偏移相位可能发生于从掩模15的表面与从掩模41-44下方的一反射之间的一反射40,以贡献一低频率干涉成分。一数字信号处理器(digital signal processor,DSP)可以被用来执行信号处理以移除该些不必要的干涉成分,但是信号处理会消耗时间,而使得电子信号不能被监测以出现终点的指示。因此,最小延续时间(在其中具有该终点)被数字信号处理器的时间需求以及光线76的波长所限制。在此范例中,信号处理花费,例如大约35秒以产生一“干净的(clean)”电子信号,而之后在一终点可以被侦测之前大约还需花费13秒。因此,此延迟时间总共约48秒,相当于深度为大约320nm的蚀刻。因此,蚀刻深度小于等于大约320nm。
与图3相较之下,图4为绘示一波长小于大约220nm的一光线176的反射信号的相对强度对经过时间(秒)的一函数。掩模115的层实质上在此波长会吸收光线176,因此可获得一较高的信噪比。因为具有较高的信噪比,所以只需较少的信号处理或甚至不需要。在本实施例中,信号处理大约需要20秒,而再12秒后可以获得最小终点,此处的蚀刻制程是到达一较小的需求蚀刻深度,大约为180nm。
在终点的最小沟槽深度的一比较可以被确认。请参照图5,其中绘示一习知较长波长的终点侦测系统(菱形点的线(diamond line),波长为254nm),以及依据本发明的一较短波长的终点侦测系统(三角点的线(triangle line),波长为215nm)。图中绘示最小沟槽深度(单位为埃(angstroms))对于基底110的暴露区域与全部的区域的比例(单位为百分比)的一函数。当暴露区域增加时,从该掩模115的特征图案的反射会减少,因此此二方法皆改善了精确度。然而,可发现暴露区域的百分比,较短的波长的精确度的改善比较长的波长要好。例如,对于小于大约50%的一暴露区域,使用254nm光线的最小可侦测沟槽深度大约为310nm。相对而言,使用215nm光线的最小可侦测沟槽深度小于大约190nm。
因此,更佳的是,当在第一层130中光线176的吸收依然较低时,增加光线176在掩模115中的吸收。较佳地是,当在第一层130中光线176的吸收依然较低时,波长被选择在小于大约240nm,以改善光线176在掩模115中的吸收。例如,波长可能被选择在小于大约240nm,或甚至是在大约150nm到大约220nm之间,以最佳化光线176的各别的吸收。该些波长选择,通过移除介于主要反射150与来自更深的层123的不必要的反射153之间的干涉,特别是可以改善信噪比。例如,在光线176中,该些波长可能大约位于波长频宽的中心。
本发明的蚀刻与终点侦测方法,藉由改善时间维度(time dimension)中终点侦测的精确度,可以显著地改善基底良率(yield),借此以降低介电层130下方的层122、123的蚀刻或其它损害。例如当蚀刻一低介电常数(low-k)介电层130,而下方的层122为一薄栅极氧化(gate oxide)层。例如,可以达成一最小可侦测沟槽深度小于大约200nm。此外,最小可侦测暴露区域161也被降低。藉由减少在基底110中光线176的相干长度以及增加在掩模115中光线176的吸收,额外的与噪声的干涉条纹可以被移除,因此改善终点侦测的准确度。藉由在下方的层122被侵蚀性蚀刻制程步骤损害之前,终止蚀刻制程,本终点侦测方法提供较高的良率,以及较好的集成电路的品质。
现有技术中,终点可藉由计算在反射光178的强度信号210中的干涉条纹的数目被侦测,在该强度信号210中干涉条纹为周期性的点(periodic points),例如局部最小值或最大值(在该处强度信号210的微分(derivative)大约为0)。例如,终点可能藉由计算一系列的最大值,或者是藉由计算一系列的最小值来侦测。一直到下一个周期点位在信号波形的形状上之间,片段的条纹可以依据所计算的时间被计算。从基底110被反射的混合的紫外光光束178的调变的干涉循环(interference cycle)的数目,大约为d(2n/λ0),此处n为第一层130的折射率,d为蚀刻深度,而λ0为光线176在真空的波长。一旦干涉条纹的一预定的数目被计算出来,代表已接近蚀刻终点。或者是,终点可以通过比较反射强度信号210与一被预期强度图案被侦测。
在如图7所示的蚀刻制程与终点侦测的流程图中,将被蚀刻的第一层130的厚度会连续地被测量。在一实施例中,蚀刻继续进行到接近结束,例如到大约剩下30nm的第一层130还留在基底110上,在该点,蚀刻制程被终止,或者是在该点,第一制程状态被改变到第二制程状态以降低蚀刻率。第二制程状态对于第一层130,可提供一较低与较容易控制的蚀刻,以及增加蚀刻选择比(etching selectivity)以提升第一层130相对于下方的层122的蚀刻比。蚀刻率可以藉由改变蚀刻气体的组成来降低,例如藉由移除侵蚀性气体(aggressive gas)、降低射频(radio frequency,RF)偏压(bias)功率大小,以及降低基底温度等。
此处的叙述终点侦测方法,在已到达第一层130的一预定厚度后,可用于侦测层130的厚度,以及可控制地改变制程状态。在蚀刻制程中,终点侦测方法可以用于改变制程气体组成,以提供特定的蚀刻率或蚀刻选择比。终点侦测方法可被用于侦测何时最多的第一层130已被蚀刻,因此第一制程状态可以被改变到较低的蚀刻率或是第二制程状态,或者反之亦然,而可以获得该需要的蚀刻率、蚀刻选择比的改变,或蚀刻制程中的任何其它性质的改变。例如,较高/较低的蚀刻率,或具有一不同的组成的一下方的层123的蚀刻。例如,终点侦测方法可被用于终止一第一高的侵蚀(aggressive)蚀刻步骤的蚀刻制程(其中由于在蚀刻气体中使用氟化物气体而可以提供高蚀刻率),以决定第二与较低活性(active)的蚀刻步骤的一起始点(其中实质上避免使用具有氟化物气体的一蚀刻气体来蚀刻剩余的第一层130,因此具有较低的蚀刻率),因此可以更容易控制蚀刻制程。
在一基底制程设备240,例如绘示在图8的示意图的实施例(例如AppliedMaterials Inc.,Santa Clara,California的设备)中,基底110被蚀刻。设备240包括,例如一制程腔体242,其中具有一制程区域244用以处理基底110,以及一底座(support)246(例如一静电吸盘(electrostatic chuck))用以在制程区域244中夹持基底110。制程腔体242的顶盖可以包括,例如平坦、方形、拱形(arcuate)、圆锥形(conical)、圆球形(dome-shaped),或多重半径圆球形(multi□radius dome-shaped)。较佳地,顶盖为一圆球形(dome-shaped)用以在整个制程区域244中提供等离子体电源的一均匀的分布,而比起一平坦顶盖,也可以对基底表面提供一均匀的等离子体离子密度。
基底110可通过一机器手臂(robot arm)(未绘示),从一承载变换腔体(load-lock transfer chamber)(未绘示)传送过一晶圆间缝阀(slit valve)(未绘示),并且被放置到腔体242的一制程区域244中。基底110藉由一静电吸盘被底座246夹持,而透过底座246的孔洞(aperture)所供应的氦气(He),可以控制基底110的温度。然后,在制程腔体242中的制程状态被设定,以进行基底110的层130的制程。该制程状态包括,例如一或多个制程气体的组成与流率、气体能量源(gas energizer)的功率大小、气体压力,以及基底温度。此制程也可以以多个阶段被执行,例如,每一阶段各具有不同的制程状态。例如,在一蚀刻制程中,一或多个制程气体的组成,包括用以蚀刻基底110的蚀刻气体,经由气体分布器(gas distributor)被导入到腔体242中。用以蚀刻基底110上层的适当的蚀刻气体包括,例如,含氯的(chlorine-containing)气体与含氟的(fluorine-containing)气体,例如碳氟化物(fluorocarbon)及其混合物。腔体242被维持在一压力,例如大约0.1mTorr到大约400mTorr的间。蚀刻气体的组成亦被选择,以提供高蚀刻率,与/或用于蚀刻上方介电层130对于下方的层122的高蚀刻选择比。当许多层依序地被蚀刻时,第一、第二与第三蚀刻气体组成可以依序被导入到腔体242以蚀刻每一特定层。
制程气体,例如此处所述的蚀刻气体,经由一气体分布器248(包括一制程气体源(gas source)与包括一气体流量控制阀的一气体流量控制系统),被导入到腔体242的制程区域244。气体分布器248可以包括,例如一或多个位于基底110(如图所示)之处或周边的气体出口(outlet)250,或被固定在其中具有出口的腔体242的顶盖上(未绘示)的一喷洒头。所散布的制程气体与蚀刻剂副产物(byproduct),从该制程腔体242经由一抽出系统252被抽出(例如,包括一粗抽泵(roughing pump)与一涡轮分子泵(turbomolecular pump))。在抽出系统252中,一节流阀254被提供以控制所散布的制程气体的流量与在腔体242中制程气体的压力。
通过使用一气体能量源256可以,从该制程气体产生一等离子体,其中气体能量源256可通过耦接一电场到腔体242的制程区域244,或是到邻接于制程腔体242的一远程区域,来提供能量给制程气体。制程区域244中的等离子体被维持在适合蚀刻基底110的介电层130的一第一制程状态。一适当的气体能量源256包括,例如由一或多个感应线圈所组成的一感应天线258,其感应线圈为圆形对称,并具有与延伸过该腔体242的中心的纵向垂直轴一致的一中心轴,并且垂直于基底110的一平面。当感应天线258设置于圆球形顶盖附近,腔体242的顶盖包括,例如为射频电磁场可穿透,以及为一电子绝缘体层的介电层(例如氧化铝)。施加到感应天线258的射频电压的频率包括,例如大约50kHz到大约60MHz,或甚至包括大约13.56MHz。而施加到天线258的射频(RF)功率大小包括,例如从大约100W到大约5000W。
除了感应天线258之外,一或多个制程电极260、262等可以被用来加速或提供能量给腔体242中的等离子体离子。制程电极260、262包括腔体242的一顶盖或侧壁,其中腔体242被电性接地或偏压以作为一第一电极260,而第一电极260与基底110下方的一第二电极262之间以电容形式(capacitively)连接,以形成一电容电场(capacitive electric field)用以产生或提供能量给腔体242中的等离子体。较佳地,通过包括用以提供一等离子体产生射频电压给第二电极262的一交流(AC)电压供应器,以及用以提供一吸盘电压给电极260的一直流(DC)电压供应器的一电极电压供应器,第一与第二电极260、262被相互之间相对地电性偏压。交流(AC)电压供应器提供一射频(RF)产生电压,其具有一或多个频率为大约400kHz到大约13.56MHz,而功率大小为大约50W到大约3000W。
制程腔体242更包括一终点侦测系统264,其运作依据上述的终点侦测方法,以侦测腔体242中实施的一制程的一终点。通常,终点侦测系统264包括,例如用于发射入射光线176的一光源266,以及用于测量来自基底110的反射光178的强度光线的一侦测器270,以产生反射强度信号210。一聚焦组件268可以选择性地(optionally)被配置,其用以聚焦入射光线176到基底110。一控制器300计算反射光信号中干涉条纹的数目。此外,控制器300也可以比较实时测量的反射信号波形的部分与已储存的特性波形(或其它代表性的图案等),并且当此二波形实质上具有相同形状时,调整制程腔体242的制程状态。
光源266包括一单色或多色光源,用以产生一入射光线176,其中入射光线176具有足够高的一强度,而可以提供具有一可测量强度的来自基底110反射的一反射光178。在另一实施例中,光源266包括,例如腔体242中的周遭等离子体(ambient plasma)。在另一实施例中,光源266包括一单色光源,用以提供光线的一被选择波长,例如,一氦氖(He-Ne)或ND-YAG雷射。在另一实施例中,光源266可提供多色光线,例如一氙气(Xe)灯或汞镉(Hg-Cd)灯。选择性地,多色光源266可以被滤光(filtered)以提供具有被选择波长的一入射光线176,或色光滤波器(color filter)可以被放置在光线侦测器270之前,以滤除除了光线需要的波长之外所有不必要的波长,用以在反射光178输入到光线侦测器270以测量其强度。例如,滤波器可被用以滤除不是上述所需要的波长频宽(Δλ)的波长,以获得在基底110中光线176所需要的相干长度。一般而言,此光源266会产生一同调(coherent)紫外光光束176。例如,光源266可用于在波长小于大约240nm,例如大约150nm到大约220nm时,产生光线的一发射光谱。
一或多个凸面聚焦镜274a、274b等被用于聚焦来自光源266的入射光线176成一光点280到基底110上,并且用于聚焦从光线侦测器270的主动表面上反射的反射光178。光点280的大小或面积应该足够大,以补偿基底110的表面位像(surface topograpgy)中的变动(varimion),以获得高纵深比(aspect ratio)特征图案具有小开口,例如介层孔(vias)或深窄沟槽(deep and narrow trenches)的蚀刻。反射光178的面积应该足够大以驱动大部分的光线侦测器270的主动光线侦测表面。入射与反射光176、178被导入经过制程腔体242的一可穿透窗口282,而允许光线176、178进出制程区域244。
选择性地,一光线定位器284被用以移动入射光线176通过基底表面被定位到介电层130的一适当的部分,以及,选择性地,被定位到掩模115一适当的部分,用以“停泊(park)”光点280来监视基底制程。光线定位器284包括,例如一或多个主要(primary)镜子286,各自以小角度旋转以偏斜来自光源266的光线176到基底110的不同的位置(如图所示)。额外的次要(secondary)镜子(未绘示)可以被用以拦截从基底110反射的反射光178,并聚焦光线178到光线侦测器270中。在另一实施例中,光线定位器284被用于扫瞄光线176成穿过基底表面的一光栅图案。在此实施例中,光线定位器284包括,例如由一可动级(stage)(未绘示)所组成的一扫瞄组件,其中配置有光源266、聚焦组件268、集光透镜,以及侦测器270。可动级可以藉由一驱动机制,例如一步进马达(stepper motor),以设定好的间隔(interval)来移动,以移动光点280通过基底110。
光线侦测器270包括,例如一感旋光性(light sensitive)电子组件,例如一光电倍增管(photomultiplier),太阳能电池(photovotalic cell),光电二极管(photodiode),或光敏晶体管(phototransistor),其可以提供对应到从基底110反射的反射光178的一测量强度的一电子信号。一信号滤波器(未绘示)可以被设置在光线侦测器270之前。该信号可以是一电流通过一电子组件的一电流大小的一改变形式,或是施加于一电子组件的一电压的改变的一形式。反射光178经过建设性与/或破坏性干涉,会增加或减少光线178的强度,而光线侦测器270会提供有关于反射光178的测量强度的一电子输出信号。
由光线侦测器270所产生的电子信号,会输入到一控制器300并被计算。控制器300与相关的计算机可读取程序320的一实施例的一说明的方块图被绘示在图9中。控制器300包括,例如多数个适配卡包括例如,模拟(analog)与数字(digital)输入与输出电路板、接口电路板(例如一硬件接口电路板304与马达控制器电路板)。控制器300更包括,例如一中央处理器(cenrtralprocessing unit,CPU)306,例如Synergy Micro Systems,California提供的一68040微处理器(microprocessor),或是Intel Corporation,Santa Clara,California所提供的一Pentium处理器,如图9所示,其被连接到一内存308与周边计算机组件。较佳地,内存308包括,例如一可移除储存媒体310(例如一光盘(CDdrive)或软盘(floppy drove)读取媒体),一不可移除储存媒体312(例如一硬盘读取媒体(hard drive)),以及随机存取内存(random access memory,RAM)314。介于一运算器(operator)与控制器之间的300接口可以是,例如一显示器(display)316以及一光笔(light pen)318。光笔318透过其尖端(tip)的一光线感应器,侦测显示器316所发出的光线。为了选择一特殊屏幕(screen)或功能,运算器触碰(touch)显示器316的屏幕中的一指定区域,并且按下光笔318上的按键。一般而言,被触碰的区域可以通过改变颜色,或显示一新的目录(menu),以确认介于使用者与控制器300的间的通讯。
在控制器300中的计算机可读取程序320可实时(real time)计算,基底110上剩余的介电层130的厚度,而藉以调整制程腔体242中的制程状态。一般而言,计算机程序计算强度信号210中反射光178的干涉条纹的数目,然后当到达一预定数目的条纹时,依据程序(guideline)通知(alter)腔体242中的制程状态。或者计算机可读取程序320可以包括,例如程序代码以比较反射信号的形状与一被储存特性波形(或其它代表性的图案),并且当该被监测反射信号与被储存特性波形或图案匹配(match)时,以此决定蚀刻制程的终点。
计算机可读取程序320可以被储存在内存308,例如在不可移除储存媒体312或在可移除储存媒体310中。计算机可读取程序320通常包括,例如制程控制软件(包括程序代码)以操作腔体242与其组件,并且操作制程监测软件以监视在腔体242的安全系统软件,以及其它控制软件执行的制程。计算机可读取程序320可以被写入到任何传统的计算机可读取程序语言中,例如汇编语言、C++、Pascal,或是Fortran等语言。适当的程序代码使用一传统的文字编辑器被输入到一单一档案或多档案,并且被储存或内建(embodied)在内存308的计算机可用媒体中。若输入码的文字为一高位阶语言,该程序代码会被组译,并且得到的组译器码之后会与预组译链接库程序的一目标代码(object code)连结。为了执行被连结与被组译的目标代码,使用者利用目标代码,使用中央处理器(CPU)306以读取与执行该码以执行形成程序320中所预定的任务(task)。
图9亦绘示计算机可读取程序320的一特定实施例的一层次(hierarchchical)控制结构的一说明的方块图。使用光笔接口318,一使用者可以输入指令到显示器316中所显示的目录或屏幕相关的计算机可读取程序320。计算机可读取程序320包括,例如程序代码以控制基底位置、气体流量、气体压力、温度、射频(RF)功率大小、一特定制程的其它参数,以及监视腔体制程的程序代码等。制程组(process set)包括,用以实施特定的制程的预定的制程参数的群组。制程参数是为制程状态,包括例如气体组成、气体流率(flowrate)、温度、压力,以及气体能量源设定(例如射频(RF)或微波能量大小)。
制程程序装置指令组(instruction set)322包括,例如程序代码用以从计算机可读取程序320接受一腔体类型与组(chamber type and set)的制程参数,以控制其运作。程序装置程序322,透过传输特定制程参数到用以在制程腔体242中控制多个(multiple)处理任务的一腔体管理器指令组324,以启始制程组的执行。一般而言,腔体管理器指令组324包括一基底定位指令组326、一气体流量控制指令组328、一气体压力控制指令组330,一温度控制指令组332,一气体能量源控制指令组334,以及一制程监测指令组336。一般而言,基底定位指令组326包括,例如程序代码用以控制腔体组件中,例如加载基底110到底座246,以及选择性地,包括在腔体242中升起基底110到一需要的高度等动作。气体流量控制指令组328包括,例如程序代码用以控制制程气体中不同的组成的流率。气体流量控制指令组328控制气体流量控制阀(未绘示)的开/关(open/close)位置,以获得需要的气体流率。气体压力控制指令组330包括,例如程序代码用以藉由调节腔体242的抽出系统252中节流阀254的开口大小,控制腔体242中的压力。气体能量源控制指令组334包括,例如程序代码用以提供能量给腔体242中的一气体。例如,气体能量源控制子程序(subroutine)334可能包括,例如程序代码用以设定在腔体242中施加到制程电极的射频(RF)偏压电压功率大小。选择性地,一温度控制指令组可能被用于控制腔体组件,例如底座246的部分(section)温度。
制程监测指令组336包括,例如程序代码用以监测腔体242中的一制程。在一实施例中,制程监测指令组336包括,例如一光线侦测指令组337以控制光线侦测器270。例如,光线侦测指令组337可能包括,例如程序代码以设定反射光178的侦测参数,例如波长的范围,或可能包括处理从侦测装置来的侦测信号的程序代码。此外,光线侦测指令组337可能包括,例如程序代码用以依据由运算器所输入的一参数组决定一制程的终点。例如,侦测器270传递与反射光178的强度有关的一信号到控制器300。控制器300中的光线侦测指令组337可处理对应到反射光178的反射信号以作为时间与波长的一函数。当强度信号210在一特定预选择的时间后已到达,例如一预定的大小之后,腔体制程的终点可由光线侦测指令组337所决定。当已到达制程终点时,由光线侦测指令组337输出一信号到一工厂自动化主机端计算机338,以终止腔体制程或改变制程状态。
由控制器300所接收到与/或所计算的资料信号,可以被输入到工厂自动化主机端计算机338。工场自动化主机端计算机338包括,例如一主机端软件程序340,用以计算来自一些系统、平台或腔体的资料,并用于每一批(batch)的基底110,或是用于一延伸时间周期(extended period ftime),以辨识(i)用于基底110上的制程。(ii)在一单一基底110上的一统计关系可能改变的一性质。(iii)一批基底110上的一统计关系可能改变一性质等等的统计制程控制参数。主机端软件程序340也可使用,例如用于执行在位(in-situ)制程计算,或用于其它制程参数控制的资料。一适当的主机端软件程序包括,例如前述的AppliedMaterials公司的一WORKSTREAMJ软件程序。工厂自动化主机端计算机338更可以用于,例如提供指令信号以(i)从处理程序中移除特定基底110。例如,若一基底的性质不适合,或并不落在一统计得到的范围值,或者是,若一制程参数落在一可接受的范围的外时。(ii)。在一特定腔体242中终止制程。或者是,(iii)当基底110或制程参数出现一不合适的性质时,调整制程状态。工场自动化主机端计算机338也可以,例如对应到透过主机端软件程序340的资料的计算,在开始或结束基底110的制程提供指令信号。
虽然本发明已以较佳实施例公开如上,但并非用以限定本发明,任何本领域的技术人员,在不脱离本发明的实质和范围内,都可以依据具体实施方式中提供的实例有所变动因此本发明的保护范围当视权利要求书所界定的范围为准。

Claims (12)

1.一种蚀刻基底的方法,其特征在于,包括:
(a)在一制程区域中放置一基底,其中该基底包括具有一厚度的一层;
(b)导入一蚀刻气体到该制程区域中;
(c)提供能量给该蚀刻气体,以蚀刻该层;以及
(d)决定蚀刻该层的一终点,是通过以下步骤完成:
(i)从该基底反射一光线,该光线具有一波长,该波长被选择以在该基底中具有为该层厚度的1.5倍到4倍的一相干长度;以及
(ii)侦测该反射光,以决定该基底的一蚀刻制程的一终点。
2.如权利要求1所述的蚀刻基底的方法,其特征在于:还包括选择该波长,以在该基底中具有为该层的厚度的2倍到3倍的一相干长度。
3.如权利要求1所述的蚀刻基底的方法,其特征在于:包括依据一近似的比例:相干长度正比于λ2/Δλ来选择该波长,其中λ为该波长,而Δλ为在该光线中波长的频宽。
4.如权利要求1所述的蚀刻基底的方法,其特征在于:该层包括介于一图案化掩模的多数个特征图案之间的多数个暴露区域,并且还包括选择该波长,以将一吸收差异极大化,其中该吸收差异为介于在该图案化掩模中该光线的吸收与在该层中该光线的吸收之间的一差异。
5.如权利要求1所述的蚀刻基底的方法,其特征在于:包括选择该波长小于240nm。
6.如权利要求5所述的蚀刻基底的方法,其特征在于:包括选择该波长在150nm到220nm之间。
7.一种蚀刻基底的设备,其特征在于包括:
一腔体,该腔体包括一基底底座用以夹住一基底,其中该基底包括具有一厚度的一层;
一气体分布器,用以导入一蚀刻气体到该腔体;
一气体能量源,用以提供能量给该蚀刻气体,以蚀刻该基底的该层;
一光源,用以从该基底反射一光线,其中该光线具有一波长,该波长被选择以在该基底中具有为该层的厚度的1.5倍到4倍的一相干长度;
一光线侦测器,用以侦测该反射光并产生相关于该反射光的一被测量强度的一信号;
一控制器,用以估算该信号,以决定该基底的一蚀刻制程的一终点。
8.如权利要求7所述的装置,其特征在于:该光源用以导入具有该波长的该光线,该波长被选择以具有为该层厚度的大约2倍到大约3倍的一相干长度。
9.如权利要求7所述的装置,其特征在于:该光源用以导入具有该波长的该光线,其中包括依据一近似的比例:相干长度正比于λ2/Δλ来选择该波长,其中λ为该波长,而Δλ为在该光线中波长的频宽。
10.如权利要求7所述的装置,其特征在于:该光源用以导入具有波长小于240nm的该光线。
11.如权利要求10所述的装置,其特征在于:该光源用以导入具有波长为150到220nm的该光线。
12.如权利要求7所述的装置,其特征在于:该层包括介于一图案化掩模的多数个特征图案之间的多数个暴露区域,其中该光源用以导入具有该波长的该光线,该波长被选择以将一吸收差异极大化,其中该吸收差异为介于在该图案化掩模中该光线的吸收与在该层中该光线的吸收之间的一差异。
CNB2004100623530A 2003-07-07 2004-07-06 在基底蚀刻制程中的干涉终点侦测 Expired - Fee Related CN1326224C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/615,159 US6905624B2 (en) 2003-07-07 2003-07-07 Interferometric endpoint detection in a substrate etching process
US10/615,159 2003-07-07

Publications (2)

Publication Number Publication Date
CN1577786A CN1577786A (zh) 2005-02-09
CN1326224C true CN1326224C (zh) 2007-07-11

Family

ID=33564505

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100623530A Expired - Fee Related CN1326224C (zh) 2003-07-07 2004-07-06 在基底蚀刻制程中的干涉终点侦测

Country Status (4)

Country Link
US (1) US6905624B2 (zh)
KR (1) KR101046986B1 (zh)
CN (1) CN1326224C (zh)
TW (1) TWI340990B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
JP4890746B2 (ja) * 2004-06-14 2012-03-07 株式会社ディスコ ウエーハの加工方法
US7799138B2 (en) * 2006-06-22 2010-09-21 Hitachi Global Storage Technologies Netherlands In-situ method to reduce particle contamination in a vacuum plasma processing tool
JP5441332B2 (ja) * 2006-10-30 2014-03-12 アプライド マテリアルズ インコーポレイテッド フォトマスクエッチングのための終点検出
CN100592212C (zh) * 2007-03-06 2010-02-24 中国科学技术大学 光栅离子束刻蚀的光学在线检测装置及检测方法
KR100958692B1 (ko) * 2008-02-05 2010-05-18 (주)아이씨디 반응 종료점 검출 시스템, 이를 포함하는 식각 장치 및반응 종료점 판정방법
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
CN104465352B (zh) * 2014-11-28 2018-09-04 上海华力微电子有限公司 消除多晶硅刻蚀工艺中多晶硅残余的方法
TWI629720B (zh) * 2015-09-30 2018-07-11 東京威力科創股份有限公司 用於濕蝕刻製程之溫度的動態控制之方法及設備
US10564256B2 (en) * 2016-04-01 2020-02-18 Rockwell Collins, Inc. Beam sharpening radar system and method
CN107546094B (zh) * 2016-06-28 2019-05-03 中微半导体设备(上海)股份有限公司 监测等离子体工艺制程的等离子体处理装置和方法
CN107546141B (zh) * 2016-06-28 2020-12-04 中微半导体设备(上海)股份有限公司 监测等离子体工艺制程的装置和方法
US11107738B2 (en) 2016-11-16 2021-08-31 Nova Ltd. Layer detection for high aspect ratio etch control
US10816891B2 (en) * 2016-12-14 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Photomask and fabrication method therefor

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1115115A (zh) * 1994-07-08 1996-01-17 现代电子产业株式会社 使用相移掩模在半导体器件中制造细环形电荷存储电极的方法
US5693178A (en) * 1996-01-18 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Electrical test structure to quantify microloading after plasma dry etching of metal film
US6130016A (en) * 1999-04-09 2000-10-10 Advanced Micro Devices, Inc. Method for forming semiconductor structures using a calibrating reticle
CN1349253A (zh) * 2000-10-12 2002-05-15 株式会社东芝 半导体装置的制造方法及半导体装置

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3612692A (en) 1968-11-21 1971-10-12 Ibm Dielectric film thickness monitoring and control system and method
US3824017A (en) 1973-03-26 1974-07-16 Ibm Method of determining the thickness of contiguous thin films on a substrate
JPS5414953B2 (zh) 1973-04-13 1979-06-11
US3985447A (en) 1975-08-29 1976-10-12 Bell Telephone Laboratories, Incorporated Measurement of thin films by polarized light
US4147435A (en) 1977-06-30 1979-04-03 International Business Machines Corporation Interferometric process and apparatus for the measurement of the etch rate of opaque surfaces
US4198261A (en) 1977-12-05 1980-04-15 Gould Inc. Method for end point detection during plasma etching
US4141780A (en) 1977-12-19 1979-02-27 Rca Corporation Optically monitoring the thickness of a depositing layer
US4208240A (en) 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
US4328068A (en) 1980-07-22 1982-05-04 Rca Corporation Method for end point detection in a plasma etching process
US4317698A (en) 1980-11-13 1982-03-02 Applied Process Technology, Inc. End point detection in etching wafers and the like
US4367044A (en) 1980-12-31 1983-01-04 International Business Machines Corp. Situ rate and depth monitor for silicon etching
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4611919A (en) 1984-03-09 1986-09-16 Tegal Corporation Process monitor and method thereof
US4618262A (en) 1984-04-13 1986-10-21 Applied Materials, Inc. Laser interferometer system and method for monitoring and controlling IC processing
DE3600346A1 (de) 1986-01-08 1987-07-09 Fraunhofer Ges Forschung Verfahren zur abbildenden laserinterferometrie und laserinterferometer zur durchfuehrung des verfahrens
US4847792A (en) 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
US4846928A (en) 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
US4861419A (en) 1987-08-04 1989-08-29 Texas Instruments Incorporated Apparatus and method for production process diagnosis using dynamic time warping
US5151584A (en) 1988-07-20 1992-09-29 Applied Materials, Inc. Method and apparatus for endpoint detection in a semiconductor wafer etching system
US4953982A (en) 1988-07-20 1990-09-04 Applied Materials, Inc. Method and apparatus for endpoint detection in a semiconductor wafer etching system
US4927485A (en) 1988-07-28 1990-05-22 Applied Materials, Inc. Laser interferometer system for monitoring and controlling IC processing
US4972072A (en) 1988-10-03 1990-11-20 Tritec Industries, Inc. System for detecting a film layer on an object
US5131752A (en) 1990-06-28 1992-07-21 Tamarack Scientific Co., Inc. Method for film thickness endpoint control
US5362356A (en) 1990-12-20 1994-11-08 Lsi Logic Corporation Plasma etching process control
EP0511448A1 (en) * 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
KR100363340B1 (ko) * 1993-05-20 2003-02-19 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리방법
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
US5564830A (en) 1993-06-03 1996-10-15 Fraunhofer Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method and arrangement for determining the layer-thickness and the substrate temperature during coating
US5503707A (en) 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
JPH0897189A (ja) 1994-09-29 1996-04-12 Nec Yamagata Ltd 真空処理装置のクリーニング方法
EP0735565B1 (en) 1995-03-31 1999-06-02 International Business Machines Corporation Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
JPH0927611A (ja) 1995-07-11 1997-01-28 Seiko Epson Corp 光検出部を備えた面発光型半導体レーザ及びその製造方法並びにそれを用いたセンサ
US5848088A (en) * 1995-07-11 1998-12-08 Seiko Epson Corporation Surface emission type semiconductor for laser with optical detector, method of manufacturing thereof, and sensor using the same
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US6081334A (en) 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6831742B1 (en) * 2000-10-23 2004-12-14 Applied Materials, Inc Monitoring substrate processing using reflected radiation

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1115115A (zh) * 1994-07-08 1996-01-17 现代电子产业株式会社 使用相移掩模在半导体器件中制造细环形电荷存储电极的方法
US5693178A (en) * 1996-01-18 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Electrical test structure to quantify microloading after plasma dry etching of metal film
US6130016A (en) * 1999-04-09 2000-10-10 Advanced Micro Devices, Inc. Method for forming semiconductor structures using a calibrating reticle
CN1349253A (zh) * 2000-10-12 2002-05-15 株式会社东芝 半导体装置的制造方法及半导体装置

Also Published As

Publication number Publication date
TW200503073A (en) 2005-01-16
US20050006341A1 (en) 2005-01-13
TWI340990B (en) 2011-04-21
CN1577786A (zh) 2005-02-09
KR20050005799A (ko) 2005-01-14
US6905624B2 (en) 2005-06-14
KR101046986B1 (ko) 2011-07-07

Similar Documents

Publication Publication Date Title
CN1326224C (zh) 在基底蚀刻制程中的干涉终点侦测
US7969581B2 (en) Determining endpoint in a substrate process
CN101165867B (zh) 采用反射辐射监控衬底处理
US6712927B1 (en) Chamber having process monitoring window
CN100514544C (zh) 用于在等离子体处理系统中确定端点的方法
US6905800B1 (en) Etching a substrate in a process zone
US20050202575A1 (en) Semiconductor fabricating apparatus and method and apparatus for determining state of semiconductor fabricating process
KR20010042798A (ko) 반도체 공정을 위한 개선된 엔드포인트 검출 방법 및 장치
US6635573B2 (en) Method of detecting an endpoint during etching of a material within a recess
CN100459027C (zh) 采用反射辐射监控衬底处理
US6849151B2 (en) Monitoring substrate processing by detecting reflectively diffracted light
WO2002025696A9 (en) Reducing deposition of process residues on a surface in a chamber
KR20090051154A (ko) 종점 판정방법
KR100902365B1 (ko) 반도체제조장치
KR20070032036A (ko) 플라즈마 프로세싱 시스템에서 종료점을 결정하는 방법 및장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070711

Termination date: 20110706