CN1327493C - 处理装置部件的装配机构及其装配方法 - Google Patents

处理装置部件的装配机构及其装配方法 Download PDF

Info

Publication number
CN1327493C
CN1327493C CNB2004100955964A CN200410095596A CN1327493C CN 1327493 C CN1327493 C CN 1327493C CN B2004100955964 A CNB2004100955964 A CN B2004100955964A CN 200410095596 A CN200410095596 A CN 200410095596A CN 1327493 C CN1327493 C CN 1327493C
Authority
CN
China
Prior art keywords
groove
electrode assemblie
shield
projection
annular component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004100955964A
Other languages
English (en)
Other versions
CN1630041A (zh
Inventor
先崎滋
佐佐木利树
青砥雅
长山将之
三桥康至
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1630041A publication Critical patent/CN1630041A/zh
Application granted granted Critical
Publication of CN1327493C publication Critical patent/CN1327493C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel

Abstract

本发明提供一种处理装置部件的装配机构,具备可以使构成处理室的顶板部的上部电极组件和电极组件升降的升降机构,其特征在于:上部电极单元由上部组件和下部组件可分离、合体地构成,下部组件具有圆柱状电极组件和可嵌合于圆柱状电极组件的周围的环状构件,在圆柱状电极组件的外周面或环状构件的内周面的任一方上形成多个突起,而在任意另外一方上形成与突起相对应的多条槽,槽是由为了应使圆柱状电极组件和环状构件进行嵌合将突起导向嵌合方向而向嵌合方向延伸的第1槽、和为了应使一旦嵌合的圆柱状电极组件和环状组件相对旋转对突起进行导向而向旋转方向延伸的第2槽构成,而且,第2槽随着加深向嵌合方向倾斜。

Description

处理装置部件的装配机构及其装配方法
(本案是题为“处理装置及其维护方法、处理装置部件的装配机构及其装配方法、锁定机构及其锁定方法”(中国国家专利申请号“018095097”、国际申请号PCT/JP01/04066、国际申请日“2001年5月16日”)的分案申请)
技术领域
本发明涉及处理装置及其维护方法、处理装置部件的装配机构及其装配方法、锁定机构及其锁定方法。
背景技术
在半导体器件的制造过程广泛使用等离子体处理装置。等离子体处理装置在处理室内具备对置配置的上部电极和下部电极。在这样的等离子体处理装置中,通过在上部电极施加高频电压,使处理室内的处理气体等离子化,对下部电极上的被处理体实施等离子体处理。
可是,配备有上部电极的上部电极单元具有:收存向上部电极上供给高频电压的馈电棒等的馈电部件的屏蔽盒;或者收存匹配器等的匹配盒;或者处理气体供给系统等一体装配的复杂构造。因此,上部电极单元作为整体,重量大,并且体积也大。
因此,在进行上部电极或处理室内清扫等维护时,操作者将上部电极单元分解为可以处理的重量或大小的构件后,需要进行维护。并且,在维护结束后,需要再将各构件装配成上部电极单元。
这样,目前,每次进行维护时都必须进行装置的分解装配。其结果,存在所谓装置的运转效率低下的问题。另外,在装配时必须正确地进行各部件的定位。因此,作业变得烦杂,而且也存在所谓作业时间增加的问题。通常,屏蔽盒或匹配盒配置在操作者难以作业的高处。因此,操作者必须以严格的姿势进行各构件的装卸作业。其结果,对操作者存在所谓承受负担的问题。
上部电极通过金属制螺丝等的连接手段,安装在支持上部电极的冷却板等构件上。因此,为了防止异常放电,用绝缘性的屏蔽体覆盖上部电极周围等的连接机构的安装部分。目前,屏蔽体通过连接手段安装在冷却板上。然而,就连接手段的构造来说,如果屏蔽体和冷却极的线膨胀系数不同,由于处理时的热引起屏蔽体和冷却板不同而产生畸变,结果有时在连接手段上施加负荷,会使连接手段受到损伤。
目前,屏蔽体只是简单地叠合设置在上部电极的处理室侧面上。因此,在上部电极和屏蔽体之间产生垂直错位,成为扰乱等离子体的一个原因。其结果,存在所谓不能提高处理均匀性的问题。
发明内容
本发明是鉴于现有技术具有的上述问题而开发的,本发明的目的在于提供一种可能解决上述问题点以及其它问题点的、新颖且改良的处理装置及其维护方法、处理装置构件的装配机构及其装配方法、锁定机构及其锁定方法。
为了解决上述课题,根据本发明的第1观点,本发明提供的处理装置是具备构成处理室的顶板部的上部电极单元和可以使上部电极单元升降的升降机构的处理装置,其特征为:上部电极单元由处理室侧的下部组件和电压供给侧的上部组件构成;下部组件和上部组件只能通过设置于上部电极单元的外周面上的锁定机构进行分离、合体;下部组件可以通过处理室内部和外部的压力差和上部电极单元自重、而不用机械机构气密性地固定在处理室的顶板部。
根据本发明,由操作者容易操作的2个组件构成上部电极单元。因此,可以使重量大的上部电极单元分开后拆卸。而且,各组件可以通过升降机构装卸。其结果,可以减轻操作者的负担。另外,根据本发明,只通过锁定机构的开闭,就可以容易进行下部组件和上部组件的装卸。而且,根据锁定机构,可以对下部组件和上部组件进行定位。因此,可以使各组件彼此之间可靠地合体、密封。
并且,根据本发明,下部组件通过处理室内外的压力差和上部电极的自重固定在处理室上。通过这样的构成,如果处理室内外的压力差小,则可以容易拆卸下部组件。另外,如果把下部组件置于处理室上,使处理室内减压,可以密闭处理室。因此,可以容易且迅速地进行处理室内的开放和密闭作业。其结果,可以缩短处理室内的维护作业时间。并且,下部组件不通过机械机构而固定。因此,作业时难以发生微粒,可以抑制处理室内的污染。
而且,在处理室维护时,优选在将锁定机构锁定的状态下使上部组件和下部组件一体地上升。根据这样的构成,通过升降机构的动作,可以从处理室一体地拆卸各组件。因此,可以容易地对处理室内进行维护。可以减轻操作者的负担。
而且,在下部组件中包含需要更换或洗净的构件的情况下,在构件维护时,优选在使锁定机构不锁定的状态下,只使上部组件上升。根据这样的构成,可以使下部组件留在处理室上原封不动,拆卸上部组件。因此,可以容易地进行上部组件和下部组件的维护。
另外,根据本发明的第2观点,本发明提供的处理装置的维护方法是如上所述构成的处理装置的维护方法,其特征为:包括:在已锁定了锁定机构的状态下,通过升降机构使上部组件和下部组件一体上升后,维护处理室内的步骤。
另外,根据本发明的第3观点,本发明提供的处理装置的维护方法是如上所述构成的处理装置的维护方法,其特征为:包括:在已锁定了锁定机构的状态下,通过升降机构使上部组件上升后,对上部组件和/或下部组件进行维护的步骤。
根据上述第2及第3观点这样的发明,可以一边减轻操作者负担,一边容易且迅速地进行处理室内、或者上部组件和/或下部组件的维护。
另外,根据本发明的第4观点,本发明提供的处理装置部件的装配机构是圆柱状电极组件和可嵌合于圆柱状电极组件周围的环状构件的处理装置部件的装配机构,其特征为:在圆柱状电极组件的外周面或环状构件的内周面的任一方上形成多个突起,在任意另一方形成与突起相对应的多条槽,槽是由为了使圆柱状电极组件和环状构件进行嵌合将突起导向嵌合方向而向嵌合方向延伸的第1槽、和为了使一旦嵌合的圆柱状电极组件和环状组件相对旋转对突起进行导向而向旋转方向延伸的第2槽构成,而且,第2槽随着深度加大而向嵌合方向倾斜。
根据本发明,可以不用螺丝等的连接手段,只通过旋转动作就可对圆柱状电极组件和环状构件进行装卸。因此,可以达到减轻操作者的负担、以及缩短操作时间。此外,圆柱状电极组件和环状构件之间通过突起和槽的嵌合而固定。并且,固定后,突起也可以在槽中移动。因此,即使圆柱状电极组件和环状构件由各自线膨胀系数不同的材料形成,因处理时的热产生不同的畸变,也可以缓和施加在突起或槽上的负荷。其结果,圆柱状电极组件和环状构件所采用的材料的选择范围广,能够消除装置设计的制约。
在突起到达第2槽的最深处时,优选的结构是,圆柱状电极组件和环状构件的处理室一侧的面形成齐平面。根据这样的构成,在圆柱状电极组件和环状构件之间不形成垂直错位。因此,处理室内产生的等离子体不会紊乱,可以对被处理体实施均匀处理。
而且,优选在圆柱状电极组件上形成嵌入环状构件的台阶部。根据这样的构成,可以使圆柱状电极组件和环状构件的处理室一侧的面做成齐平面。
而且,在由电极板和冷却板的组件构成圆柱状电极组件、由屏蔽体构成环状构件的情况下,优选在冷却板或屏蔽体上形成突起或槽。通过这样的构成,可以容易地进行圆柱状电极组件和环状构件的维护。
另外,根据本发明的第5观点,本发明提供的处理装置部件的装配机构的装配方法是如上所述构成的处理装置构件的装置机构的装配方法,其特征为:包括如下步骤:将环状构件嵌合至圆柱状电极组件内,以便突起沿着第1槽被导向,然后将环状构件和圆柱状电极组件相对旋转,以便突起沿着第2槽被导向。根据这样的构成,能够容易且迅速地进行圆柱状电极组件和环状构件的嵌合。
另外,根据本发明的第6观点,本发明提供的锁定机构是通过使转轴旋转自如的固定销向对应槽突出后退动作、而使固定销侧构件和对应槽侧构件固定的锁定机构,其特征为:固定销侧构件,由安装固定销、并允许处于固定销向垂直方向突出的第1位置和固定销向斜方向倾斜后退的第2位置之间的固定销进行旋转动作的第1构件、和可相对第1构件移动、形成可使固定销插通的贯通孔的第2构件构成,在贯通孔上形成有:通过使第1构件和第2构件向锁定方向相对移动、使固定销从第2位置移动到第1位置时的成为支点的第1突起;和通过使第1构件和第2构件向非锁定方向相对移动,使固定销从第1位置移动到第2位置时的成为支点的第2突起。
根据本发明,通过第1构件和第2构件的相对移动,可以使固定销侧构件和对应槽侧构件容易装卸。因此,与用连接手段固定的情况相比,可以减轻对操作者的负担,而且可以缩短作业时间。此外,由于未使用连接构件,所以可以抑制微粒的发生。
而且,对于对应槽侧构件、第1构件、第2构件,在采用配置于同心圆上的圆柱状或环状构件时,优选将相对移动方向作为旋转方向。通过这样的构成,可以容易地进行固定销侧构件和对应槽侧构件的装卸。
另外,根据本发明的第7观点,本发明提供的锁定机构的锁定方法是如上所述构成的锁定机构的锁定方法,其特征为:包括如下步骤:使第1构件和第2构件向锁定方向相对移动,将固定销从第2位置配置到第1位置,对固定销侧构件和对应槽构件进行固定。根据这样的构成,可以容易地对固定销侧构件和对应槽侧构件进行固定。
而且,如果进行包括如下步骤的步骤:使第1构件和第2构件向非锁定方向相对移动,从第1位置配置到第2位置,使固定销侧构件和对应槽构件分离的步骤,则可以容易地使固定销侧构件和对应槽侧构件分离。
另外,根据本发明的第8观点,本发明提供的锁定机构是通过使第1构件和置于第1构件上的第2构件相对转动而进行固定的锁定机构,即,具备有:设置于第1构件或第2构件中的任一方上、设置具有轴部和比轴部直径大的头部的销构件的雄构件;设置于第1构件或第2构件中的任意另外一方上、由具有可插入头部的槽宽的插入孔、以及与插入孔连通、具有比头部小比轴部大的槽宽、沿着旋转半径的锁定槽而构成的雌构件,雌构件在插入孔附近形成为薄壁,沿着锁定槽随着远离插入孔,顺序地形成为厚壁。再者,在组装例如由下部组件(第1构件)和置于下部组件上的上部组件(第2构件)构成的上部电极时,可以适用本发明。
而且,根据本发明的第9观点,可提供上述锁定机构的锁定方法。即,通过把雄构件的销构件插入到雌构件的插入孔内,沿着锁定槽使第1构件和第2构件相对旋转,可良好地锁定第1构件和第2构件。
附图说明
图1是表示可适用本发明的蚀刻装置的剖面示意图。
图2是表示图1所示的蚀刻装置的上部电极单元的放大剖面示意图。
图3(a)是表示图1所示的蚀刻装置的上部电极和冷却板和屏蔽体处于嵌合状态的立体示意图。图3(b)是表示从(a)状态卸下屏蔽体时的状态的立体示意图。
图4是表示从图3(b)状态卸下上部电极时的状态的立体示意图。
图5是用于说明图1所示的蚀刻装置的屏蔽体的表面处理的概略说明图。
图6是用于说明图1所示的蚀刻装置的屏蔽体的表面处理的概略说明图。
图7(a)是表示图1所示的蚀刻装置的屏蔽体的安装部分的放大剖面示意图。图7(b)是表示图1所示的蚀刻装置的屏蔽体的内周面的侧面放大示意图。
图8(a)是将图2所示的锁定时的锁定机构在沿A-A线的平面切断的剖面示意图。图8(b)是将图2所示的非锁定时的锁定机构在沿A-A线的平面切断的剖面示意图。
图9是表示锁定机构的另外实施方式的剖面示意图。
图10(a)是将图9所示的锁定机构在沿着A-A线的平面切断的剖面示意图,图10(b)是图9所示的锁定机构的俯视示意图。
图11(a)是表示图1所示的蚀刻装置的下部组件的维护时的状态的立体示意图。图11(b)是表示与(a)相对应的上部电极单元周边的剖面放大示意图。
图12(a)是表示图1所示的蚀刻装置的处理室内的维护时的状态的立体示意图。图12(b)是表示与(a)相对应的上部电极单元周边的剖面放大示意图。
图13(a)是表示升降机构的变形例的概略的主视图。图13(b)是与(a)相对应的侧视图。
具体实施方式
以下,参照附图详细说明将本发明的处理装置及其维护方法、处理装置部件的装配机构及其装配方法、锁定机构及其锁定方法适用于等离子体蚀刻装置及其维护方法中的优选的实施方式。
(1)蚀刻装置的整体构成
首先,参照图1,大致说明蚀刻装置100的结构。处理室102具备上部开口的近乎圆筒形的导电性处理容器104。处理容器104借助于未图示的接地线进行安全接地。并且,在处理室102的顶板部上气密性地安装上部电极单元106。在处理室102内配置可以载置被处理体、例如半导体晶片(以下称为“晶片”)W的导电性下部电极108。
上部电极单元106的构造构成本发明的核心,关于其详细结构及动作,在后叙述。通过匹配器112在上部电极单元106上施加从高频电源110输出的高频电压、例如13.56MHz的电压。并且,通过匹配器116在下部电极108上施加从高频电源114输出的高频电压、例如380KHz的电压。通过施加这样的电压,使导入处理室102内的处理气体等离子体化,对晶片W实施蚀刻处理。并且在处理室102内的气体通过涡轮分子泵118再借助下部电极108周围的排气反射板120、开闭阀122、排气量调整阀124,适当地进行排气。
如上所述,主要构成了可以适用本发明的蚀刻装置100。其次,详述成为本发明核心的上部电极单元106的结构。
(2)上部电极单元的构成
上部电极单元106,如图1及图2所示,主要由上部及下部组件126、128构成。下部组件128由上部电极(电极板)130、冷却板(冷却板)132、屏蔽体134、绝缘体136构成。上部组件128由屏蔽盒138、匹配盒140、馈电棒142、导电体144、绝缘体146、反射板148构成。以下,对各组件的结构加以说明。
(a)下部组件的构成
首先,如果就下部组件188的结构加以说明,则上部电极130由例如依靠CVD法或热压法制成的SiC或者阳极氧化处理的铝构成,如图3及图4所示,形成为近乎圆盘状。并且,在上部电极130上,如图2~图4所示,形成用于向处理室102内排出处理气体的多个排气孔130a。
而且,所谓CVD法,是在减压条件下使用Si系气体、在高纯度碳材表面上气相成长SiC的制法。所谓热压法,是在依靠CVD法制成的SiC粉末上添加硼等的粘合剂、并在高温高压下烧结的制法。并且,柱状结晶硅具有与单晶硅同等的结晶特性、与单晶硅同等或其以上的加工性和热传导性,可以非常简单且低成本地制造。
另外,在上部电极130的处理室102侧外缘部,如图2、图3(b)及图4所示,在嵌合后述的屏蔽体134时,形成用于使上部电极130和屏蔽体134在处理室102一侧的面平齐的本实施方式的台阶部130b。根据这样的构成,如图2及图3(a)所示,在嵌合屏蔽体134时,不会在上部电极130和屏蔽体134之间产生垂直错位。其结果,不会使处理室102内生成的等离子体紊扰,可以获得均匀的处理。
另外,在上部电极130的上部,如图2及图3(b)所示,在将电压传送给上部电极130的同时,通过螺丝等的连接构件安装冷却板132,用于将上部电极130产生的热传送到构成上部组件126的导电体144。而且,由于连接构件150被屏蔽体134覆盖,所以不在处理室102内露出。
冷却板132由例如已经阳极氧化处理的铝构成,如图3及图4所示,形成为近乎圆柱状。此外,在冷却板132上。如图2及图4所示,设置用于将通过构成上部组件126的档板148的处理气体送到上部电极130的排气孔130a的气体供给线路132a。
另外,在冷却板132的外周上,如图2~图4所示,形成伸出部132b,用于与绝缘体136上形成的台阶部136a啮合。形成伸出部132b和台阶部136a,以便在嵌合时,上部电极130或屏蔽体134和绝缘体136在处理室102一侧的面成为齐平面。因此,在绝缘体136和屏蔽体134之间也不形成垂直错位,可进一步防止等离子体扰乱。
并且,在冷却板132上,如图2~图4所示,安装用于覆盖上部电极130的处理室102侧外缘部、并防止上述的连接构件150向处理室102内露出的屏蔽体134。屏蔽体134由电介质材料、例如石英构成,如图3(b)及图4所示,大体形成为环状。
并且,对屏蔽体134实施用于抑制微粒发生的表面处理。在进行该表面处理时,首先,通过机械加工,在大体形成为环状形状的屏蔽体134的表面、例如向处理室102内的露出面上施以喷砂处理,除去所谓工具标记或针眼等破碎层,使表面平坦化。而且,喷砂处理与进行到除去破碎层为止的通常喷砂处理相比,时间较长,例如约为通常喷砂处理的时间的2倍左右。接着,将过度的喷砂处理后的屏蔽体134放在浓度为15%左右的HF溶液内浸泡10分~60分钟,优选浸泡30~60分,进行HF(氢氟酸)处理。
通过这样的处理,如图5所示,与只进行机械加工、或者进行机械加工和通常喷砂处理的情况相比,可以降低屏蔽体134的表面粗糙度。其结果,如图6所示,与只进行机械加工、或者进行机械加工和通常喷砂处理相比,可以降低由屏蔽体134发生的微粒数。屏蔽体134的表面粗糙度,如图5所示,随着HF处理时间加长而变高。可是,如果该处理时间为10~60分钟,则如图6所示,可以降低微粒数。
另外,通过在屏蔽体134的表面(暴露于等离子体中的部分)上由CVD法覆盖SiC膜,可以使耐等离子体性上升。优选SiC膜为2~3mm左右,如果由处理过程时间预测SiC膜的消耗量、并在母材石英露出以前进行SiC膜覆盖,则不会消耗母材,可以重复使用。
另外,在屏蔽体134的冷却板132一侧的面(内周面)上,如图2~图4、图7所示,形成用于嵌合屏蔽体134的本实施方式的多条槽134a。形成槽134a,以便与在冷却板132的外周面上形成的多个突起132c相对应。并且,如图7(b)所示,槽134a由第1槽134aa和第2槽134ab构成。形成第1槽134aa,以便在屏蔽体134的嵌合方向、例如相对于屏蔽体134的处理室102侧面的垂直方向伸延。并且,形成第2槽134ab,以便随着在圆周方向越来越深而向嵌合方向、例如屏蔽体134的处理室102侧面方向倾斜。而且,在喷砂处理的前后,即使附加火琢或磨砂等处理也可以获得相同效果。
通过这样的构成,在安装时,首先,将屏蔽体134嵌合在冷却板132上,以便突起132c和第1槽134aa相对应。其后,如果使屏蔽体134向与第2槽134ab的延伸方向相反的方向旋转,则可以把屏蔽体134安装在冷却板132上。并且,在拆卸时,进行与上述相反顺序的动作,首先,使屏蔽体134向第2槽134ab的延伸方向旋转。其后,如果从冷却板132拔出屏蔽体134,则可以取出屏蔽体132。因此,可以不用螺丝等的连接构件进行屏蔽体134的装卸。其结果,可以容易地进行屏蔽体134的装卸,可以减轻维护操作者的负担。另外,根据这样的构成,因为屏蔽体134不用连接构件固定,所以安装后也可以移动。因此,如在屏蔽体134由石英构成、冷却板132由铝构成的情况下,即使线膨胀系数不同的构件之间进行组合,也可以减轻由于处理时产生的热引起的、对屏蔽体134或冷却板132的负荷。其结果,可以扩展屏蔽体134或冷却板132的构成材料的选择范围。
并且,由上述的上部电极130、冷却板132、屏蔽体134形成的一体化组件,如图2所示,被绝缘体136支持。绝缘体136是具有使传输高频电压的冷却板132和处理容器104绝缘的功能的绝缘构件,例如由陶瓷构成。并且,绝缘体136大体形成为筒状,以便包围冷却板132和屏蔽体134的周围。
并且,在绝缘体136的内周上部形成可以与在上述冷却板132的外周上形成的伸出部132b啮合的台阶部136a。通过这样的构成,由上部电极130、冷却板132、屏蔽体134构成的组件,可以通过简单地插入到绝缘体136内而固定在给定位置上。因此,可以不用连接构件而简单地对该组件进行装卸。
并且,在绝缘体136的外周下部形成可以与处理容器104的上端部啮合的台阶部136b。根据这样的构成,绝缘体136通过简单地嵌合在处理容器内,可以配置在给定位置上。并且,在绝缘体136和冷却板132之间、以及与处理容器104之间设置作为密封构件的O型环152、154。并且,绝缘体136通过以下详述的锁定机构156装卸自如地固定在构成上部组件126的屏蔽盒138上。
(b)上部组件的构成
其次,如果就上部组件126的构成加以说明,则屏蔽盒138是用于防止高频电压向装置外部泄漏的,例如由不锈钢构成,如图2所示,大体形成为筒状,以便包围馈电棒142及导电体144和下部组件128的周围。并且,屏蔽盒138被处理容器148支持。并且,屏蔽盒138通过处理容器104及未图示的接地线接地。
另外,屏蔽盒138通过本实施方式的锁定机构156装卸自如地固定在构成下部组件128的绝缘体136上。如图8所示,该锁定机构156主要由固定绝缘体(对应槽侧构件)136的固定销156a、借助于旋转轴支持固定销156a的支持构件(第1构件)156c构成。固定销156a,例如由不锈钢构成,大体形成为棒状。支持构件156c例如由铝构成,大体形成为环状,以便可以相对于屏蔽盒(第2构件)138的外周面旋转移动。另外,在支持构件156c上设置允许固定销在如图8(a)所示那样相对绝缘体136在垂直方向突出的第1位置和如图8(b)所示那样倾斜、从绝缘体136退避的第2位置之间移动的槽156d。
并且,在屏蔽盒138的侧壁上,如图8所示,形成将固定销156a可以插通至设置于绝缘体136的外周面上的固定销对应槽136c的贯通孔138a。贯通孔138a形成为固定销156a可以在上述第1及第2位置间移动的形状。另外,在贯通孔138a的内壁面上设置第1及第2突起138b、138c。在使支持构件156c向如图8(a)所示的非锁定方向移动时,第1突起138b成为固定销156a从上述第2位置移动到第1位置时的支点。另外,在使支持构件156c向如图8(b)所示的锁定方向移动时,第2突起138c成为固定销156a从上述第1位置移动到第2位置时的支点。
通过这样的构成,屏蔽盒138和绝缘体136通过使支持构件156c相对于屏蔽盒138相对移动,例如向锁定方向旋转,固定销156a在第1位置向固定销对应槽突出并固定。此外,屏蔽盒138和绝缘体136通过使支持构件156c相对于屏蔽盒138向非锁定方向转动,固定销156a从第2位置退避并分离。其结果,不用螺丝或螺栓等固定构件就可以容易且迅速地对包含屏蔽盒的上部组件126和包含绝缘体136的下部组件128进行装卸自如地固定。另外,锁定机构156具有对上部组件126和下部组件128定位的功能,能够使各组件彼此之间可靠地固定。
锁定上部组件126和下部组件128的锁定机构,也可以做成更简便的结构。下面,参照图9及图10,说明这样简便构成的锁定机构256的结构。
该锁定机构256由设置于成为下部组件128的一部分的绝缘体136上的雄构件256c、和设置于成为上部组件126的一部分的屏蔽盒138上的雌构件256a构成。如图中所示,雄构件256c可以构成为固定在绝缘体135上的销构件。销构件由轴部256″和比其轴部256″直径大的头部256′构成。
在雌构件256a上形成用于插入雄构件256c并可移动的槽256b。该槽256b由具有可插入雄构件256c的头部256c′的槽宽的插入孔256b′、和与该插入孔256b′连通、并具有比雄构件256c的头部256c′小比轴部256c″大的直径的槽宽的锁定槽256b″构成。这样构成锁定槽256″,以便大体沿着屏蔽盒138的旋转半径分配,使插入的雄构件256c根据转动可以导向。此外,雌构件256a在插入孔256b′附近形成为薄壁,随着远离锁定槽256″,顺序地形成为厚壁。通过这样的构成,在使雄构件256c从插入孔254b′插入时,使其头部256c′从插入孔254b′突出,随着沿着锁定槽256″导向雄构件256c,其头部256c′和锁定槽256″表面靠近,最终在头部256c′的背面与锁定槽256″的表面接触时刻,实现锁定完成那样的锁定机构。
其次,如果对上述锁定机构256的动作简单说明,首先,在要将上部组件126锁定在下部组件128上时,使在下部组件128上形成的雄构件256c插入到上部组件126的雌构件256a的插入孔256b′内。接着,边在锁定槽256″内对雄构件256c导向,边使上部组件126转动,若头部256c′的背面最终与锁定槽256″的表面接触,则锁定完成。在不想将上部组件126锁定在下部组件128上时,可以进行相反的动作。如上所述,根据锁定机构256,通过更简便的构成,可以实现锁定机构。而且,就雄构件和雌构件的配置来说,即使倒过来构成也可以获得相同效果。
另外,如图2所示,在屏蔽盒138上载置容纳匹配器112的、例如不锈钢制的匹配盒140。在匹配盒140的底部,借助于未图示的绝缘构件,固定住向屏蔽盒138内突出的大体呈凸状的匹配器112的输出部112a。在输出部112a上连接有用于把高频电压传送到导电体144上的馈电棒142。
馈电棒142由例如不锈钢制的大体呈管状构件构成,借助于具有弹力性的导电性的未图示的多面接触子与形成于上述输出部112a及导电体144上的输入部144a连接。并且,匹配器112的输出部112a和馈电棒142的上端部用未图示的螺丝固定。另一方面,馈电棒142的下端部和导电体144的输入部144a用未图示的销等在数mm左右可上下自如地固定。通过这样的构成,如果把上部组件126载置在下部组件128上,则导电体144通过其自重,贴紧在冷却板132上,确保处理室102的气密性。
在这样的情况下,冷却板132通过导电体144的重量,紧贴在绝缘体136上。并且,绝缘体136通过导电体144和下部组件128的重量贴紧在处理容器104上。其结果,因为上述各构件相互贴紧,所以可以保持处理室102内气密。如果对处理室102内进行真空排气,则通过处理容器104内外的气压差,冷却板132和绝缘体136之间、以及绝缘体136和处理容器104之间更加贴紧,因此可以进一步提高处理室102的气密性。
并且,导电体144是用于如上所述那样把高频电压传送到冷却板132上,例如由阳极氧化处理的铝形成的大体呈圆盘状构件构成。在导电体144的下部,形成可以容纳用于使处理气体扩散的反射板148的空间144b。在导电体144上内装用于把来自未图示的气体供给源的处理气体提供给空间144的气体供给线路144c。在空间144b内配置的反射板148由例如经阳极氧化处理的铝形成的大体呈圆盘状的上部及下部反射板148a、148b构成。上部及下部反射板148a、148b通过连接构件158固定在导电体144上。在上部及下部反射板148a、148b上分别形成贯通孔148aa、148ba。通过这样的构成,处理气体通过气体供给线路144c、反射板148、气体供给线路132a传送到排气孔130a。
并且,在导电体144上内装用于吸收处理时由上部电极130产生的热、并使上部电极130维持在给定温度的使制冷剂循环的制冷剂循环路144d。并且,在电导体144和冷却板132之间安装了作为密封构件的O型环160和用于确保导体性的导电性O型环162。
并且,导电体144通过使导电体144和屏蔽盒138绝缘的绝缘体146被支持。绝缘体146由绝缘性材料、例如陶瓷构成,大体形成为筒状,以便可以包围在导电体144的周围。并且,在绝缘体146的内周上部形成台阶部146a,用于可以与在导电体144的外周上部形成的伸出部144c啮合。通过这样的构成,导电体144通过插入到绝缘体146内,由绝缘体146支持。另外,在绝缘体146的外周下部形成台阶部146b,用于可以与在屏蔽盒138内壁下部形成的伸出部138d啮合。通过这样的构成,绝缘体146与导电体144一起被屏蔽体138支持。
并且,在屏蔽盒138的外周周围连接有用于使上部组件126单个地、或者上部及下部组件126、128一体地从安装位置移动并从蚀刻装置100中分离的升降机构164。而且,关于上部及下部组件126、128的装卸构成,在后叙述。
(3)上部及下部组件的装卸构成
下面,以下部组件128的维护及处理室102内的维护的情况为例,对上部及下部组件126、128的装卸构成加以说明。
(a)下部组件的维护
在对下部组件128进行维护时,首先,如图11所示,解除用于固定绝缘体136和屏蔽盒138的锁定机构156。其后,通过升降机构164,使上部组件126上升,从安装位置退避。通过这样的步骤,露出下部组件128。正如已经说明的那样,因为不用螺丝等来固定上部组件126和下部组件128,所以使上述操作成为可能。
接着,如图3(a)所示,维护操作者用手取出由嵌入绝缘体136内的一体化的上部电极130、冷却板132和屏蔽体134构成的组件。通过这样的步骤,在处理室102上只剩下绝缘体136。取出的组件如图3(b)及图4所示,按照屏蔽体134、上部电极130的顺序取出,并与冷却板132分离。其后,对处理时产生的反应性物的附着、或者因等离子体的撞击而消耗的上部电极130及屏蔽体134进行清洗或更换。维护结束后,通过进行与上述相反顺序的步骤,将上部电极130、冷却板132、屏蔽体134恢复为原来状态。如上所述,因为冷却板132和屏蔽体134没用螺丝等固定,所以可以迅速且简单地进行维护。并且,即使对于在处理室102上载置的绝缘体136来说,通过操作者取出,也可以进行维护。另外,即使对于上部电极组件126来说,也可以进行维护。
其后,再次把由维护好的上部电极130、冷却板132、屏蔽体134构成的组件嵌入绝缘体136内,并安装好。此时,如果不再安装由取出的上部电极130、冷却板132、屏蔽体134构成的组件,而是安装备用的维护好的组件,则可以缩短维护时间。而且,通过使升降机构164下降,把上部组件安装在下部组件128上,用锁定机构156固定屏蔽体138和绝缘体136,由此可完成下部组件128的维护作业。
(b)处理室内的维护
在维护处理室102内时,首先,如图12所示,对固定绝缘体136和屏蔽盒138的锁定机构156进行锁定。接着,通过升降机构164使上部及下部组件126、128一体上升,从安装位置退避。通过这样的步骤,使处理室102内完全开放。其后,处理室102内的维护,例如进行除去附着在处理容器104内壁上的附着物的清洗。而且,与上述顺序相反,通过使升降机构164下降,将上部及下部组件126、128一体地载置于处理容器104上,由此完成维护作业。
以上,参照附图说明了本发明的优选实施方式,然而本发明的构成并不限于此。在专利申请的权利范围所述的技术思想的范畴内,如果是专业人员,应该能想到各种变更例及修正例,就这些变更例及修正例来说,也应属于本发明的技术范围。
例如,在上述的实施方式中,尽管在上部及下部组件中规定了特定的构件并加以说明,然而,本发明并不限于这样的规定。本发明即使在各组件内所包含的构件与上述实施方式不同的情况下也可以实施。
并且,在上述实施方式中,以在冷却板上形成突起、在屏蔽体上形成槽的构成为例进行了说明,然而本发明并不限于这样的构成。本发明通过在冷却板或屏蔽体的任一方上形成突起或槽也可以实施。
并且,在上述实施方式中,以通常在屏蔽体上连接升降机构的构成为例进行了说明,然而本发明并不限于这样的构成。本发明即使只在维护时把升降机构固定在上部组件上固定也能实施。
并且,在上述实施方式中,以通过升降机构使上部组件或下部组件升降的构成为例进行了说明,然而本发明并不限于这样的构成。本发明不只使上部组件或下部组件升降,也适用于转动的情况。
图13示出升降机构的变形例。图13(a)是升降机构264的主视图,图13(b)是升降机构264的侧视图。在图13(a)、(b)中,双点划线表示上部及下部组件126、128相对于处理容器104开放的状态,实线表示上部及下部组件126、128相对于处理容器104封闭的状态。
该升降机构264由一体或独立地支持上部及下部组件126、128的负荷的主气缸265、和一体支持上部及下部组件126、128的负荷并在上升时接通以及单独地支持上部组件126并在上升时关闭的辅助气缸266构成。即,构成辅助气缸266,以便在具有接通/关闭选择式的调整止动器267的同时,与上述的锁定机构156连动,并且与锁定机构156的解除动作连动,辅助气缸266关闭(保持在伸长状态下)。在图13(b)中,符号d表示调整止动器267的移动范围。通过这样的构成,即使在只对上部组件126进行开闭操作时,也能防止上部组件126跳起来,可以安全地进行开闭操作。
并且,在上述实施方式中,以对上部组件和处理室内进行维护的构成为例进行了说明,然而本发明并不限于这样的构成。本发明也适用于对上部组件进行维护的情况。
并且,在上述实施方式中,以在上部电极施加高频电压的构成为例进行了说明,然而本发明并不限于这样的构成。本发明在即使上部电极是接地电极时也能实施。此时,也能以导电体、馈电棒、冷却板作为接地线路使用。
并且,在上述实施方式中,以平行平板型蚀刻装置为例进行了说明,然而,本发明并不限于这样的构成。本发明也能适用于磁控管型或诱导耦合型等各种等离子体处理装置中。另外,本发明不只是蚀刻装置,也可以进行抛光处理或成膜处理等各种等离子体处理。并且,本发明也能适用于在LCD用玻璃基板上实施处理的装置。
根据本发明,由于把重量大的上部电极单元分成操作者容易操作的两个的上部及下部组件,而且通过升降机构移动,所以可以减轻操作者的负担。并且,由于在上部及下部组件彼此之间、各组件的构成部件彼此之间不用连接手段,或者减少使用连接手段进行连接的部位,所以可以缩短操作时间。
产业上的可利用性
本发明是可以适用于在半导体器件制造步骤中所使用的处理装置中,尤其是适用于具备构成处理室顶板部的上部电极单元以及可以使其上部电极单元升降的升降机构的处理装置中。
符号说明
100  蚀刻装置
102  处理室
104  处理容器
106  上部电极组件
108  下部电极
110  高频电源
112  匹配器
114  高频电源
116  匹配器
118  泵
120  排气反射板
122  开平阀
124  排气量调整阀
126  上部组件
128  下部组件
130  上部电极
132  冷却板
132c 突起
134  屏蔽体
134a 槽
136  绝缘体
136c 固定销对应槽
138  屏蔽盒
138a 贯通孔
138b 第1突起
138c 第2突起
140  匹配盒
142  馈电棒
144  导电体
146  绝缘体
148  反射板
150  连接构件
150  O型环
150  O型环
156  锁定机构
156a 固定销
156c 第1构件
164  升降机构
W    晶片

Claims (7)

1.一种处理装置部件的装配机构,具备构成处理室的顶板部的上部电极单元和使所述上部电极单元升降的升降机构,其特征在于:
所述上部电极单元由上部组件和下部组件可分离、合体地构成,
所述下部组件具有圆柱状电极组件和可嵌合于所述圆柱状电极组件的周围的环状构件,
在所述圆柱状电极组件的外周面或所述环状构件的内周面的任一方上形成多个突起,而在任意另外一方上形成与所述突起相对应的多条槽,
所述槽是由为了使所述圆柱状电极组件和所述环状构件进行嵌合将所述突起导向嵌合方向而向嵌合方向延伸的第1槽、和为了使一旦嵌合的所述圆柱状电极组件和所述环状组件相对旋转对突起进行导向而向旋转方向延伸的第2槽构成,
而且,所述第2槽随着圆周方向的加深向所述嵌合方向倾斜。
2.根据权利要求1所述的处理装置部件的装配机构,其特征在于:在所述突起到达所述第2槽的最深处时,所述圆柱状电极组件和所述环状构件的在处理室一侧的面成为齐平面。
3.根据权利要求2所述的处理装置部件的装配机构,其特征在于:在所述圆柱状电极组件上形成所述环状构件嵌入的台阶部。
4.根据权利要求1所述的处理装置部件的装配机构,其特征在于:所述圆柱状电极组件是电极板和冷却板的组件,所述环状构件是屏蔽体,所述突起或所述槽形成在所述冷却板或所述屏蔽体上。
5.根据权利要求2所述的处理装置部件的装配机构,其特征在于:所述圆柱状电极组件是电极板和冷却板的组件,所述环状构件是屏蔽体,所述突起或所述槽形成在所述冷却板或所述屏蔽体上。
6.根据权利要求3所述的处理装置部件的装配机构,其特征在于:所述圆柱状电极组件是电极板和冷却板的组件,所述环状构件是屏蔽体,所述突起或所述槽形成在所述冷却板或所述屏蔽体上。
7.一种处理装置部件的装配机构的装配方法,该处理装置部件的装配机构具备圆柱状电极组件和嵌合在所述圆柱状电极组件的周围的环状构件,在所述圆柱状电极组件的外周面或所述环状构件的内周面的任一方上形成多个突起,在任意另外一方上形成与所述突起相对应的多条槽,所述槽是由为了使所述圆柱状电极组件和所述环状构件进行嵌合将所述突起导向嵌合方向而向嵌合方向延伸的第1槽、和为了使一旦嵌合的所述圆柱状电极组件和所述环状组件相对旋转对突起进行导向而向旋转方向延伸的第2槽构成,所述第2槽随着圆周方向的加深向所述嵌合方向倾斜,其特征在于:
包括使所述环状构件嵌合在所述圆柱状电极组件上、以便使所述突起沿着第1槽被导向、然后使所述环状构件和所述圆柱状电极组件相对旋转、以便使所述突起沿着所述第2槽被导向的步骤。
CNB2004100955964A 2000-05-17 2001-05-16 处理装置部件的装配机构及其装配方法 Expired - Fee Related CN1327493C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000144966 2000-05-17
JP2000144966 2000-05-17

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB018095097A Division CN1199247C (zh) 2000-05-17 2001-05-16 等离子体刻蚀处理装置及其维护方法

Publications (2)

Publication Number Publication Date
CN1630041A CN1630041A (zh) 2005-06-22
CN1327493C true CN1327493C (zh) 2007-07-18

Family

ID=18651542

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2004100955964A Expired - Fee Related CN1327493C (zh) 2000-05-17 2001-05-16 处理装置部件的装配机构及其装配方法
CNB018095097A Expired - Fee Related CN1199247C (zh) 2000-05-17 2001-05-16 等离子体刻蚀处理装置及其维护方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB018095097A Expired - Fee Related CN1199247C (zh) 2000-05-17 2001-05-16 等离子体刻蚀处理装置及其维护方法

Country Status (6)

Country Link
US (2) US6899786B2 (zh)
JP (1) JP4896337B2 (zh)
KR (2) KR100638917B1 (zh)
CN (2) CN1327493C (zh)
TW (1) TWI228747B (zh)
WO (1) WO2001088971A1 (zh)

Families Citing this family (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001088971A1 (fr) * 2000-05-17 2001-11-22 Tokyo Electron Limited Dispositif de traitement et procede d'entretien du dispositif, mecanisme et procede de montage d'une piece du dispositif de traitement, et mecanisme de verrouillage et procede de blocage du mecanisme de verrouillage
KR100776843B1 (ko) * 2001-02-09 2007-11-16 동경 엘렉트론 주식회사 성막 장치 및 Ti막 성막 장치
JP4308018B2 (ja) * 2002-02-01 2009-08-05 東京エレクトロン株式会社 エッチング方法
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP4420380B2 (ja) * 2003-09-10 2010-02-24 大日本スクリーン製造株式会社 基板処理装置
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
US20050103267A1 (en) * 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
KR100890522B1 (ko) * 2005-01-28 2009-03-27 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR100667598B1 (ko) * 2005-02-25 2007-01-12 주식회사 아이피에스 반도체 처리 장치
US7475832B2 (en) * 2005-06-02 2009-01-13 Tropical Ventures Llc Portable water discharging amusement device and related methods
US7530474B2 (en) * 2005-05-23 2009-05-12 Tropical Ventures Llc Water discharging devices
KR100897176B1 (ko) * 2005-07-20 2009-05-14 삼성모바일디스플레이주식회사 유도 결합형 플라즈마 처리 장치
JP4642608B2 (ja) * 2005-08-31 2011-03-02 東京エレクトロン株式会社 基板処理装置および基板処理システム
JP4674512B2 (ja) * 2005-09-12 2011-04-20 パナソニック株式会社 プラズマ処理装置
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
TWI277662B (en) * 2005-11-21 2007-04-01 Chunghwa Picture Tubes Ltd Chemical vapor deposition equipment
US7883579B2 (en) 2005-12-14 2011-02-08 Tokyo Electron Limited Substrate processing apparatus and lid supporting apparatus for the substrate processing apparatus
US7575638B2 (en) * 2007-02-02 2009-08-18 Lam Research Corporation Apparatus for defining regions of process exclusion and process performance in a process chamber
US7740736B2 (en) * 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
KR101346081B1 (ko) * 2006-06-20 2013-12-31 참엔지니어링(주) 플라스마 에칭 챔버
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
KR100920417B1 (ko) * 2007-08-01 2009-10-14 주식회사 에이디피엔지니어링 센싱유닛 및 이를 가지는 기판처리장치
JP5650547B2 (ja) * 2008-03-14 2015-01-07 ラム リサーチ コーポレーションLam Research Corporation カムロック電極クランプ
KR101007711B1 (ko) * 2008-05-19 2011-01-13 주식회사 에스에프에이 플라즈마 처리장치
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
JP5430192B2 (ja) * 2009-03-19 2014-02-26 東京エレクトロン株式会社 温度調節装置、温度調節方法、基板処理装置及び対向電極
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
WO2012137408A1 (ja) * 2011-04-04 2012-10-11 キヤノンアネルバ株式会社 処理装置
CN102796993B (zh) * 2011-05-27 2014-05-28 北京北方微电子基地设备工艺研究中心有限责任公司 Cvd设备和该cvd设备的控制方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6375163B2 (ja) * 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10435786B2 (en) * 2014-09-10 2019-10-08 Applied Materials, Inc. Alignment systems employing actuators providing relative displacement between lid assemblies of process chambers and substrates, and related methods
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6557523B2 (ja) * 2015-06-19 2019-08-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6869101B2 (ja) * 2017-05-12 2021-05-12 株式会社ダイセル 接着剤層形成装置、半導体チップ製造ライン、及び積層体の製造方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP2019053924A (ja) 2017-09-15 2019-04-04 東京エレクトロン株式会社 プラズマ処理装置
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10876208B2 (en) * 2018-01-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for fabricating a semiconductor device
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2022019436A (ja) * 2020-07-17 2022-01-27 東京エレクトロン株式会社 エッチング処理装置、石英部材及びプラズマ処理方法
KR102371550B1 (ko) * 2020-08-31 2022-03-07 주식회사 엔이아이디 반도체 제조를 지원하는 제어 시스템을 조립하는 방법 및 시스템

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61164507A (ja) * 1985-01-16 1986-07-25 三洋電機株式会社 固定装置
US5690795A (en) * 1995-06-05 1997-11-25 Applied Materials, Inc. Screwless shield assembly for vacuum processing chambers

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63109013A (ja) 1986-10-27 1988-05-13 Canon Inc 光記録媒体用基板の成形に用いる型の製造方法
JPS63164507A (ja) * 1986-12-26 1988-07-07 Hitachi Metals Ltd 静磁波共振素子
JPH0442569Y2 (zh) * 1986-12-31 1992-10-08
JP3131432B2 (ja) 1989-11-24 2001-01-31 株式会社東芝 機械翻訳方法及び機械翻訳装置
JP3167799B2 (ja) 1992-07-29 2001-05-21 株式会社東芝 オンライン手書き文字認識装置
JP3242166B2 (ja) * 1992-11-19 2001-12-25 株式会社日立製作所 エッチング装置
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH0938481A (ja) * 1995-07-27 1997-02-10 Mitsubishi Electric Corp 真空室用点検窓
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
KR100246858B1 (ko) * 1997-05-07 2000-03-15 윤종용 건식 식각 장치
US5882416A (en) * 1997-06-19 1999-03-16 Advanced Technology Materials, Inc. Liquid delivery system, heater apparatus for liquid delivery system, and vaporizer
US6689264B1 (en) * 1997-09-04 2004-02-10 Cypress Semiconductor Corp. Semiconductor wafer clamp retainer
JPH11140648A (ja) * 1997-11-07 1999-05-25 Tokyo Electron Ltd プロセスチャンバ装置及び処理装置
US6423642B1 (en) * 1998-03-13 2002-07-23 Semitool, Inc. Reactor for processing a semiconductor wafer
TW492041B (en) * 2000-02-14 2002-06-21 Tokyo Electron Ltd Method and device for attenuating harmonics in semiconductor plasma processing systems
WO2001088971A1 (fr) * 2000-05-17 2001-11-22 Tokyo Electron Limited Dispositif de traitement et procede d'entretien du dispositif, mecanisme et procede de montage d'une piece du dispositif de traitement, et mecanisme de verrouillage et procede de blocage du mecanisme de verrouillage
US7211170B2 (en) * 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61164507A (ja) * 1985-01-16 1986-07-25 三洋電機株式会社 固定装置
US5690795A (en) * 1995-06-05 1997-11-25 Applied Materials, Inc. Screwless shield assembly for vacuum processing chambers

Also Published As

Publication number Publication date
CN1630041A (zh) 2005-06-22
TWI228747B (en) 2005-03-01
CN1429404A (zh) 2003-07-09
US7481903B2 (en) 2009-01-27
US20040108068A1 (en) 2004-06-10
KR20030013419A (ko) 2003-02-14
WO2001088971A1 (fr) 2001-11-22
US6899786B2 (en) 2005-05-31
KR100638916B1 (ko) 2006-10-25
US20050150456A1 (en) 2005-07-14
KR20050047134A (ko) 2005-05-19
KR100638917B1 (ko) 2006-10-25
CN1199247C (zh) 2005-04-27
JP4896337B2 (ja) 2012-03-14

Similar Documents

Publication Publication Date Title
CN1327493C (zh) 处理装置部件的装配机构及其装配方法
US10468282B2 (en) Method and apparatus for substrate transfer and radical confinement
KR101419081B1 (ko) 입자 감소 특징을 갖는 상부 전극 백킹 부재
US9355876B2 (en) Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
JP5188385B2 (ja) プラズマ処理装置及びプラズマ処理装置の運転方法
JP6564946B2 (ja) プラズマ処理装置
WO2013162774A1 (en) Method and apparatus for independent wafer handling
KR20020010681A (ko) 진공 처리 장치
TWI576910B (zh) 具有用以去耦合離子及自由基控制之源的半導體處理系統
JP3924721B2 (ja) シールドリングの分割部材、シールドリング及びプラズマ処理装置
JP2023527342A (ja) 高温真空分離処理ミニ環境
JP4367959B2 (ja) プラズマ処理装置
KR100501618B1 (ko) 플라즈마 처리 장치 및 실드 링
KR102504269B1 (ko) 지지 유닛 및 이를 포함하는 기판 처리 장치
US11791195B2 (en) Substrate processing apparatus
CN114203506B (zh) 一种等离子体处理装置及其方法
WO2023148861A1 (ja) プラズマ処理装置のクリーニング方法
WO2023018596A1 (en) Process module chamber providing symmetric rf return path
KR20240043799A (ko) 대칭적인 rf 리턴 경로를 제공하는 프로세스 모듈 챔버
JP2004363418A (ja) プラズマ処理装置
KR20060033235A (ko) 커플러에 의한 액추에이터 결합 구조를 갖는 건식 식각 장치
JP2013197534A (ja) プラズマ処理装置及びプラズマ処理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070718

Termination date: 20200516