CN1348552A - 具有诸垂直堆叠处理室的半导体晶片生产系统和单轴双晶片传送系统 - Google Patents

具有诸垂直堆叠处理室的半导体晶片生产系统和单轴双晶片传送系统 Download PDF

Info

Publication number
CN1348552A
CN1348552A CN00806652A CN00806652A CN1348552A CN 1348552 A CN1348552 A CN 1348552A CN 00806652 A CN00806652 A CN 00806652A CN 00806652 A CN00806652 A CN 00806652A CN 1348552 A CN1348552 A CN 1348552A
Authority
CN
China
Prior art keywords
wafer
chamber
load lock
semiconductor wafer
transferring arm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN00806652A
Other languages
English (en)
Inventor
理查德·N·萨维齐
弗兰克·S·米纳
赫尔德·R·卡维尔海拉
菲利普·A·特罗安尼
丹·L·考森蒂尼
埃里克·R·沃恩
布鲁斯·E·梅尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SILICON VALLY GROUP HEAT SYSTEM Inc
Silicon Valley Group Thermal Systems LLC
Original Assignee
SILICON VALLY GROUP HEAT SYSTEM Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SILICON VALLY GROUP HEAT SYSTEM Inc filed Critical SILICON VALLY GROUP HEAT SYSTEM Inc
Publication of CN1348552A publication Critical patent/CN1348552A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Abstract

一个半导体晶片处理系统包括一个有诸垂直堆叠的半导体晶片处理室和一个专用于每个半导体晶片处理室的装载锁定室。每个处理室包括一个用于当处理晶片时保持一个晶片的卡盘。可以将诸多室舱定位在一个线性排列中。该系统进一步包括一个有一个双晶片单轴传送臂的设备,该传送臂包括一个枢轴地安装在所述的装载锁定室内围绕单个枢轴转动的作为一个整体的臂。该设备用于同时在装载锁定室和处理室之间携带两块晶片,一块未处理晶片和一块已处理晶片。本发明也提供一个利用该公布系统的方法。

Description

具有诸垂直堆叠处理室的半导体 晶片生产系统和单轴双晶片传送系统
发明者
Richard Norman Savage
Frank Steve Menagh
Helder Rodrigues Carvalheira
Philip Andrew Troiani
Dan Lester Cossentine
Eric Robert Vaughan
Bruce Edwin Mayer与相关申请的交叉参考
本申请对1999年4月2日提出的序列号为No.60/127,532,标题为“NEAR ATMOSPHERIC CVD SYSTEM WITH VERTICALLY-STACKED PROCESS CHAMBERS”的美国临时专利申请有优先权。
本申请也对1999年4月2日提出的序列号为No.60/127,650,标题为“SINGLE-AXIS DUAL-WAFER TRANSFER SYSTEM”的美国临时专利申请有优先权。
本发明的背景
本发明的领域
本发明一般地涉及诸半导体晶片处理系统,诸设备和诸方法。特别是,本发明涉及一个具有诸垂直堆叠处理室的结构,该结构使轨迹最少而同时使一个半导体晶片处理系统的生产量最大。例如,用本发明可以在一个接近大气压的化学汽相沉积(CVD)系统,一个快速热氧化系统或诸其它类型的晶片处理系统中传送诸晶片。本发明也特别涉及一种晶片传送设备和方法,该设备和方法能够用一个围绕单个传动轴旋转的作为一个整体的传递臂在一个装载锁定室和一个处理室之间移动诸半导体晶片。
相关技术的描述
常规地,用复杂的设备在诸装载锁定室和诸处理室之间对诸半导体晶片进行处理。机械的复杂性已经导致设备非常昂贵,晶片处理速度缓慢和发生故障之间的平均时间很短这样的后果。
一种常规的晶片处理系统的一个例子是美国专利No.4,934,315,该专利是由Linnebach等人申请的,题目为“System for ProducingsSemiconductor Layer Structure By Way of Epitaxial Growth”。这种多个反应器室系统接受要处理的诸晶片,在那里将诸晶片安装在一个大气输送装置中的各个托座中。将诸托座和诸晶片堆叠在一个装载室中,然后在那里沿着一条直线路径通过多个反应器室传送携带各自晶片的每个托座。诸反应器室水平地沿着该直线路径排列。
美国专利No.4,822,756,该专利是由Hirayama申请的,题目为“Reaction Furnace and Method of Operating the Same”,公布了一种包括一个晶片支持舟皿的反应炉,该舟皿从一个升降机舱通过一个装载室转动进入一个处理室。虽然诸装载室和诸处理室看起来好象在一个垂直方向上堆叠起来,但是压力气体系统和真空系统离诸处理室水平地放置,这样毫无益处地增加了反应炉的轨迹。
美国专利No.4,423,701,该专利是由Nath等人申请的,题目为“GlowDischarge Deposition Apparatus Including a Non-Horizontally DisposedCathode”,公布了一种具有垂直地定位要处理的诸晶片或诸基片的诸沉积室的多室辉光放电沉积设备。诸沉积室相互水平定位。一个可转动的臂从该室取出诸基片,使得该臂沿一个通道引导方向推动诸基片。
美国专利No.4,816,098,该专利是由Davis等人申请的,题目为“Apparatus for Transferring Workpieces”,公布了一种系统,在该系统中为了减少诸晶片的污染在真空中将诸晶片放在一个真空晶片载体上装载到该系统上。通过一个真空装载锁定装置和一个只能一次传输一块晶片的两个轴的机器人臂传送诸晶片进入一个有多个处理舱的聚集工具。
美国专利No.5,664,254,该专利是由Ohkura等人申请的,题目为“Substrate Processing Apparatus and Substrate Processing Method”,公布了一种用于多个处理单元的堆叠安排。虽然可以将诸处理单元垂直地堆叠起来,但是只提供了一个主输送装置用于将诸基片传送到每个处理单元,从而不能使每个处理单元的生产量达到最大。该专利也公布了安装在一个三级结构中用于传送一个基片或晶片的多个保持臂。将诸保持臂装置在主输送装置上,并由一个复杂的包括一个垂直驱动轴和马达并结合一个有一个使每个保持臂工作的驱动马达和皮带的水平定位的传送基座使诸保持臂工作。
美国专利No.5,058,526,该专利是由Matsushita等人申请的,题目为“Vertical Laod Lock Reduced-Pressure Type Chemical VaporDeposition Apparatus”,公布了一种装载/卸载室,它类似于在一个常规的聚集工具中看到的装载锁定室。一个包括位于一个装载/卸载室的一个卸载部分中的诸冷凝剂循环管的冷却器对诸被处理的晶片进行冷却。
美国专利No.5,664,925,该专利是由Muka等人申请的,题目为“Batchloader for Load Lock”,公布了一种常规的单块晶片剪型传送臂。美国专利No.5,613,821,该专利是由Muka等人申请的,题目为“ClusterTool Batchloader of Substrate Carrier”和美国专利No.5,607,276,该专利是由Muka等人申请的,题目为“Batchloader for Substrate Carrier onLoad Lock”,也公布了诸类似的常规的单块晶片剪型传送臂。
美国专利No.5,778,968,该专利是由Hendrickson等人申请的,题目为“Method for Heating or Cooling Wafers”,公布了一种用一个在晶片上的可以调节压力的气体,加热或冷却收藏在真空室内的一块晶片的方法。类似地,美国专利No.5,588,827,该专利是由Muka申请的,题目为“Passive Gas Substrate Thermal Conditioning Apparatus andMethod”,公布了一种位于一个热调节室中的热传输板,该热传输板或者被加热或者被冷却以便改变一块基片的温度。
本发明的总结
根据本发明的一个半导体基片或晶片处理系统和一个半导体基片或晶片传送设备克服了上面讨论的诸常规系统的诸缺点。根据本发明,一个半导体晶片处理系统包括一个多室舱,该多室舱具有多个垂直堆叠装载锁定处理室组合,一个大气压前端装置,该装置具有一个大气压前端机器人用于在一个晶片盒和诸装载锁定处理室组合之间传送半导体晶片,一个用于每个室组合堆叠的公共的处理化学品配送系统,和一个用于每个装载锁定处理室组合的专用晶片传送设备。处理系统也可以包括定位在一个直线排列中的两个或多个多室舱。一个装载锁定室是专门用于每个处理室的,这些室一起形成各自的装载锁定处理室组合。在每个装载锁定室内在晶片传送设备的一个单枢轴传送臂下面放置一块冷却板。该冷却板具有诸提升销用于从枢轴传送臂移走诸晶片。在每个处理室内都有一个具有一个卡盘夹紧表面和诸销的晶片卡盘组件用于在处理室内放置诸晶片。在本发明的一个实施例中,晶片卡盘组件在处理室内传送一块晶片使它通过一个化学蒸汽沉积注入器以便进行处理。
一个晶片传输设备为每个装载锁定处理室组合服务。每个晶片传送设备包括一个传送臂用于在装载锁定室和处理室之间携带和传输两块或多块晶片。传送臂围绕一个从装载锁定室伸出的枢轴旋转。该传送设备具有在装载锁定室和处理室之间同时携带两块晶片的能力。该晶片传送设备也包括一个缩回/原来位置和一个伸出位置,其中单枢轴允许传送臂在缩回和伸出位置之间旋转。当旋转臂处在缩回位置时冷却板放置在旋转臂下面。该晶片传送设备也包括在传送臂内结合成一个整体的一个下晶片架和一个上晶片架。
本发明发明的另一个特点是一种将未处理晶片从装载锁定室传送到处理室,将未处理晶片从上晶片架传送到一个安装在处理室中的半导体晶片卡盘,将半导体晶片卡盘从一个缩回位置传送到一个对晶片进行处理的伸出位置,同时在装载锁定室和处理室之间传送已处理晶片和第二块未处理晶片,并将已处理晶片从下晶片架传送到冷却板的方法。
本发明的一个目的是提供一个晶片处理系统,该系统具有多个装载锁定处理室组合,每个组合都具有一个专门用于一个处理室的装载锁定室和一个专用的在各个装载锁定和处理室之间移动诸晶片的传送臂。
本发明的一个目的是垂直堆叠两个或多个装载锁定处理室组合,形成一个多室舱以便减少系统的轨迹,从而使装载锁定处理室组合堆叠的垂直定位和布局最佳化。
本发明的另一个目的是以直线形式将诸多室舱与单个大气压前端晶片传送系统排列起来,该系统将诸基片配送给所有的装载锁定室以便使处理系统的轨迹最佳化。
本发明的另一个目的是为每个多室舱提供一个公共的处理化学配送系统和本地控制系统。
本发明的另一个目的是对于一个直线排列,垂直堆叠的处理系统和方法使晶片传动路径和处理定时最佳化,以便使每个处理室和整个处理系统的生产量达到最大。
本发明的另一个目的是使在一条轨迹内的诸处理室的数量最佳化,以便增大处理系统的生产量。
本发明的又一个目的是提供一种新的晶片传送臂和晶片传送方法,它们能够从单个大气压前端机器人,通过一个装载锁定室将诸半导体晶片移动到一个晶片处理室,在该晶片处理室中传送臂围绕有一个位于传送臂上的枢轴点的单个转动轴旋转以便从侧面装载处理室。
本发明的另一个目的是提供一个能够携带两块晶片的传送臂,以便促进和加快在装载锁定室和处理室之间的晶片传送。特别是,本发明的一个目的是提供一个能够同时携带一块未处理晶片和一块已处理晶片的传送臂,以便使系统生产量达到最大。
本发明的另一个目的是提供一块晶片冷却板以便减少在晶片处理后的晶片冷却时间,促进晶片从装载锁定室出来并进入一个盒子的传送,使在处理系统内的诸平行步骤最大化,从而增大处理系统的生产量。
诸图的简单描述
当我们结合所附诸图进行下列描述时我们就能更清楚地了解本发明的上述的和其它的诸目的,其中:
图1是一个根据本发明的处理系统的全景视图。
图2是图1所示的处理系统的一个平面视图。
图3是一个根据本发明的多室舱的透视图。
图4是一个表示一个常规的聚集工具系统的一个晶片移动路径图的图示说明。
图5是一个表示图1所示的处理系统的一个晶片移动路径图的图示说明。
图6是一个装载锁定室和一个处于伸出位置的装有两块晶片的传送臂的全景视图。
图7是一个图6所示的有移去盖子显示出处于缩回的原来位置的传送臂的装载锁定室的全景视图。
图8是一个与图6类似的包括一个支持结构但没有晶片的装载锁定室的全景视图。
图9表示一个与图6所示的传送臂类似的携带两块晶片的传送臂的全景视图。
图10是一个沿着图2的直线10-10所取的图2的处理室的透视截面视图。
图11是一个沿着图2的直线11-11所取的图2的处理室的透视截面视图。
图12是一个沿着图2的直线12-12所取的包括图2的装载锁定室和处理室的装载锁定处理室组合的透视截面视图。
图13是一个表示一个举例说明的公共的化学配送系统的图示说明图。
图14是一个根据本发明的处理系统的另一个实施例的平面视图。
图15是一个根据本发明的处理系统的另一个实施例的透视图。
图16(a)到16(l)简略地表示一个根据本发明的晶片传送系列。
图17是一个根据本发明的对于若干参数对于一个举例说明的200nm晶片的全行程结构进行的比较用的分析计算的图示说明图。
诸优先实施例的描述
现在我们将详细地参照本发明的诸优先实施例,它们的例子由所附诸图说明。当结合诸优先实施例描述本发明时,我们懂得这并不想将本发明限制在那些实施例中。相反地,本发明就是要覆盖可以被包含在如所附的权利要求书定义的本发明的精神和范围内的诸替换,诸修改和诸等效物。
本发明提出一种新的用于处理诸半导体晶片或基片的处理系统。我们指出为了本发明的目的,术语“晶片”有意指晶片和基片两者。本发明指向一个能与诸不同的晶片处理包括,但不限于,接近大气压的化学蒸汽沉积(CVD)或迅速的热氧化处理结合使用的系统,设备和方法。在用这种CVD处理的情形中,CVD处理可以包括一般在约5Torr和约760Torr之间,较典型地在约100Torr和约760Torr之间和通常在约200Torr和约600Torr之间的沉积处理压力。我们应该认识到本发明的处理室也可以工作在毫托范围内的较低的真空压力下。例如,附加诸适当的真空泵能够允许本发明工作在10mTorr到200mTorr的范围内,和较一般地在10mTorr到5Torr范围内。
参照图1-3,本发明的半导体晶片处理系统5的主要部件包括一个大气压前端(AFE)装置6,一个有多组垂直堆叠的处理室40的多室舱(MCM)20,由多组堆叠的处理室40共用的一个公共处理化学品配送系统24和一个公共控制系统21,和用于在各个处理室40和大气压前端装置6之间传送半导体晶片的装载锁定室80。
大气压前端装置6位于半导体晶片处理系统5的前面,与一个清洁的室壁(图中未画出)共面。大气压前端装置6包括一个或多个晶片盒或装载口7。这种晶片盒是常规的和在技术上已知的。一个AFE机器人8在诸盒子7、一个晶片校准器9(图1-3中未画出但是画出在图14中)和诸装载锁定室80之间传送诸晶片。机器人8在完成处理时将诸晶片送回到它们各自的盒子7。提供晶片校准器是为了在将晶片传送到诸装载锁定室80前实施晶片对中和槽口对准。这些部件都装在一个钢性的框架14中,用适当的环境空气处理设备为该框架规定了一个清洁的环境。AFE装置6的前表面与系统正面15合并在一起,系统正面15支持一个用户接口面板和显示器13。
参照图2和12,为每个处理室40提供一个装载锁定室80。诸装载锁定室支持器89,如图8所示,使每个装载锁定室80安置在与各自的处理室40相关的位置上。诸晶片在AFE装置6和每个处理室40之间通过各自的装载锁定室80移动。一个前端门阀10也气密地使每个装载锁定室80和AFE装置6连接起来。前端门阀10也气密地使装载锁定室80从AFE装置6隔开,使得装载锁定室80可以被抽真空。同样地提供一个处理室槽阀41使装载锁定室80与各自的处理室40连接起来。处理室槽阀41气密地使处理室40从装载锁定室80隔开,使得装载锁定室80可以与大气压相通而不会扰动处理室40的压力。
本发明的核心是给每个处理室40提供它自己的专用的装载锁定室和晶片传送臂以便使每个处理室生产量和整个处理系统的生产量两者都能达到最大。本发明进一步保证在处理后需要时间冷却晶片的基片处理情形中处理系统达到最大生产量。
典型地,一个装载锁定室用作一个在两个不同环境之间,例如,一个室温,大气压环境和一个温度提高了的抽真空的环境之间的缓冲器。这样,装载锁定室需要某个非零的操作时间以便调整装载锁定室的环境,首先与装载锁定室能够接受一块基片的环境匹配,然后与将基片传送到一个处理室的第二个环境匹配。虽然在装载锁定室中不进行基片处理,但是基片必须在装载锁定室中“等待”或化费某个非零时间。典型地,这个时间在数十秒量级,并可以多到数分钟。
如图4所示的诸已有技术的解决方法典型地包括一个向一个或多个装载锁定室配送诸基片的前端机器人。然后,一个常在一个室体中的第二个机器人在任何一个装载锁定室和若干个处理室中的任何一个之间传送诸基片。可以用前端机器人在进入一个装载锁定室前先将诸基片传送到一个对准器,然而,替代地中央体机器人可以在将晶片传送到一个处理室前先将晶片从一个装载锁定室传送到一个对准器。而不顾每个机器人都可以负责在多个舱之间配送诸晶片。对于用两个机器人的已有的处理系统可以用软件来计划时间,在以有技术的解决方法中经常用软件来计划时间。然而,如图4所示,这种时间计划可能是非常复杂的。
图4说明一个与已有技术处理系统对应的一个已有技术晶片移动路径图的一个例子,该系统包括4个装载盒CASS1,CASS2,CASS3,CASS4,一个前端机器人,4个装载锁定室LL1 UPPER,LL1 LOWER,LL2UPPER,LL2 LOWER,一个中央体机器人,和4个处理室PM1,PM2,PM3,PM4。因为单个中央体机器人在所有的装载锁定室和所有的处理室之间传送诸晶片,所以在这样一个系统中诸可能的晶片移动路径的数目总计为64条。例如,4条可能的“装载盒/前端机器人”路径乘以4条可能的“前端机器人/装载锁定室/中央体机器人”路径乘以4条可能的“中央机器人/处理室”路径等于64条可能的路径。
在某些应用中,诸已处理晶片在回到诸装载盒前可能需要冷却。已有技术的实践典型地包括晶片可以停留并冷却的一个或多个冷却室,或“等待”位置,它们只在中央体机器人能够达到的范围内。将热晶片的传送限制在只有中央体机器人能够达到的范围内,使设备为了处理热晶片所需的特殊材料(例如,诸终端操纵装置,诸晶片夹持器和诸表面,诸盒子等)减到最小,从而能够使成本降到最低。
然而,附加晶片必须在其中“等待”并冷却的一个冷却室或一个位置进一步增加了由中央体机器人移动晶片的时间计划的复杂性,于是也增加了中央体机器人相对于前端机器人的时间计划的复杂性。典型地,前端机器人和中央体机器人每个都能够非常快地传送诸晶片。然而,每个机器人的速度都受到晶片的“准备就绪状态”的制约,于是这种已有技术系统的时间计划的最佳化需要非常精确的定时,并需要关于诸不同的处理室和装载锁定室的可利用性的广泛的反馈和/或“向前看”的预测,以便使“等待”时间减到最小从而保证通过系统的最高生产量。在实践中,常常甚至到一个处理室(或装载锁定室)的晶片传送中的最小停顿都能够打乱整个系统中的全部晶片移动。时间计划者可以有意地在诸时间计划程序中包含诸稍微的延迟,以便以比最佳生产量低的代价接纳这些微小的可能的延迟。简而言之,在若干个可多次进入的晶片位置,包括诸装载盒,诸装载锁定室和诸处理室中间必须选择的两个机器人之间的同步是维持可以接受的生产量的关键,但是这种同步是非常复杂的。
与此相反,本发明采用一个在系统前端的机器人用于诸基片配送给一个或多个装载锁定室,每个装载锁定室对于各自的处理室都是专用的。每个装载锁定室包括一个专用的单独传送臂,用于在专用的装载锁定室和各自的处理室之间移动诸晶片。图5说明与一个根据本发明的处理系统对应的一个移动路径图。因为现在每个处理室都有一个专用的装载锁定室和传送臂而不是如已有技术那样共用诸装载锁定室和一个中央机器人,因为与已有技术比较极大地减少了可能的晶片移动路径的数目,所以极大地减少了对诸晶片移动的时间计划的复杂性。
如图5所示,一个根据本发明的处理系统有与图4所示的已有技术的处理室的数目相等的处理室。然而,本发明对于每个处理室都有一个专用的装载锁定室,于是提供4个装载锁定处理室组合LL/PM1,LL/PM2,LL/PM3,LL/PM4,并消除了对一个中央体机器人的需要。这样,可能的晶片移动路径的数目从已有技术的64条减少到本发明的16条。例如,4条可能的“装载盒/前端机器人”路径乘以4条可能的“前端机器人/装载锁定处理室组合”路径等于16条可能的路径。因此,因为要管理的路径选择的数目远少得多,所以本发明的时间计划就较不复杂。前端机器人将诸晶片配送给4个(在本例中)装载锁定室中的一个,一旦晶片放入了一个装载锁定室中,一条进入一个处理室和从一个处理室出来的晶片路径是固定的并且与任何其它的晶片路径无关。
根据本发明,在各个装载锁定处理室组合中包含装载锁定室环境变化和处理室处理的长过程,每个装载锁定处理室组合包括一个装载锁定室,一个传送臂和一个处理室。因为本发明在各个装载锁定室和处理室之间建立起诸平行路径,如由图5的诸箭头ARM所示,所以本发明允许诸基片的并行处理。于是因为附加了诸专用的装载锁定室和诸传送臂,能够进行独立和并行的基片处理,所以减少了时间计划中的复杂性。
本发明的另一个重要贡献是对于每个装载锁定处理室组合附加专用硬件,这些硬件除了它的互换性外在设计上足够简单使价格很便宜。因为每个处理室都有它自己的装载锁定室,所以在每个装载锁定处理室组合内建立起一个简单并且相对恒定的晶片传送平面。所以,能用一个有单个传送轴的传送臂在每个装载锁定室和各自的处理室之间传送一块晶片。进一步,当一个前端机器人有一个能够达到多个堆叠的装载锁定处理室组合的传送平面的垂直跨度时,能够减少总的工具轨迹。于是,本发明优先地包括单个前端机器人或与单个前端机器人结合起来使用,该单个前端机器人有多个轴能力和包含一个适当的垂直跨度并有多个低成本的单轴传送臂。
本发明的一个允许每个处理室40专用一个装载锁定室80的特点是在每个装载锁定室80中提供一块冷却板95,如图7所示。本发明的另一个允许这种专用的特点是提供一个双晶片单轴装载锁定传送臂82,如图6所示,传送臂82有同时传送两块晶片,包括一块未处理晶片和一块已处理晶片的能力。因为通过控制系统对于每个处理室只对一个传送轴进行控制,所以用本发明的配置能使成本有很大的降低。如图7和8所示,传送臂82只围绕传送臂枢轴83转动,于是控制系统需要控制的只是传送臂82围绕枢轴83的单个不复杂的角运动。
参照图7和8,一块冷却板95安装在装载锁定室80的里面。也提供一个有一个双晶片操纵装置终端84的作为一个整体的单轴装载锁定传送臂82(θ轴旋转),传送臂82允许两块晶片在终端操纵装置84上进行垂直同轴位移。传送臂82有单个伺服轴或枢轴83并由单个伺服机构如传送臂驱动马达组合79操纵。终端操纵装置84是一个U形部件允许将一块未处理晶片放在终端操纵装置84的一个上晶片架85上和将另一块已处理晶片放在下晶片架87上。这些架子是由形成诸架子85,87的诸水平板确定的并包括在终端操纵装置84的打开部分上的支持晶片边缘的支持体86,88。
传送臂82有一个原来位置,如图7所示,在该位置中传送臂82完全位于装载锁定室80内等待从前端机器人8配送一块未处理晶片,或等待由诸冷却板提升销96移去一块已处理晶片,如下面要讨论的那样。将晶片冷却板95并入装载锁定室80内以便在由AFE机器人8将诸已处理晶片从装载锁定室80移走前使诸已处理晶片冷却,使由于诸热变形晶片导致的诸晶片传送故障和由于诸高温的处理后晶片导致的盒材料损坏减到最少。将冷却板95安装在传送臂82下面,并使它相对于放置在上下晶片架85,87上的诸晶片同中心地对准。可以用液体,空气或惰性气体使冷却板95冷却到环境温度。例如,可以使约18℃到25℃的水通过冷却板95进行循环以便稳定它的温度。我们应该认识到也可以用诸其它的适当的液体温度范围。在冷却板95被液体冷却的情形中,可以使冷却液体通过冷却板95,卡盘组件60和处理室40的诸壁循环,以便保持它们的温度稳定。
冷却板95装备有诸冷却板提升销96,当装载锁定传送臂82位于原来位置时提升销96能够提升放置在下晶片架87上的诸晶片。诸冷却板提升销96的高度由一个冷却板提升销执行元件97控制,如图8所示。一旦提升了一块晶片,装载锁定传送臂82从原来位置旋转到一个伸出位置,如图6和8所示,将晶片留在诸冷却板提升销96上。然后使晶片下降到冷却板以便冷却晶片盘。另一种选择是,可以使晶片下降到一个稍高于冷却板95的位置,使得晶片不接触冷却板95就能得到冷却,从而使晶片的磨损和热应力减到最小。我们应该认识到可以实施诸不同的冷却形式,包括传导,对流或辐射冷却。
一种类似的方式中,诸冷却板提升销96伸出来将一块晶片提升到上晶片架85的高度,然后一当传送臂82回到它原来位置时就下降,将晶片放在上晶片架85上。如上所述,冷却板提升销执行元件97控制诸提升销96。冷却板提升销执行元件97位于装载锁定室80外面以便使装载锁定室80的尺寸减到最小。例如,可以将一个线性的螺旋管或诸其它已知的线性执行元件安装在装载锁定室80外面。用一个波纹管(图中未画出)以保持装载锁定室80的抽真空环境的方式将执行元件和诸冷却销96相互连接起来。另一方面,我们应该认识到如果想要的话作为一种替代方式也可以将一个冷却销执行元件安装在装载锁定室的里面。
关于诸晶片架85,87的形状,我们指出上晶片架85基本上为U形,从而当一块未处理晶片被诸伸出的卡盘提升销70保持在上面位置时,允许传送臂82从它的伸出位置缩回,如下面详细讨论的那样。特别是,上晶片架85的U形能防止诸提升销70接触上晶片架85或传送臂82。相反地,下晶片架87只是通过诸下晶片支持托88在晶片的反面支持晶片,如图9和12所示。如图12所示,U形下晶片架87的基底是打开的,从而当一块已处理晶片被诸伸出的冷却板提升销96支持在它们的上面位置时,允许传送臂82从它的缩回/原来位置伸出。
现在参照图10,图中画出了一个举例说明的处理室40。在这个实施例中,处理室40可以与一个化学汽相沉积(CVD)线性注入器组合43例如一个由Silicon Valley Group Thermal Systems,Scotts Valley,California制造的那类MultiBlokTM线性注入器合并,1998年7月10日提出的美国专利申请序列号No.09/113,823(代理人摘要No.A-59471-4)进一步描述了该类线性注入器,这里我们将该专利的全部公开内容作为参考文献结合进来。每个CVD线性注入器组合43采用诸线性注入器44,45对200mm晶片进行处理。另一方面,为了对300mm晶片进行处理,在单个CVD线性注入器组合中可以用三个线性注入器。然而,我们应该认识到根据本发明也可以利用诸其它类型的处理室。例如,可以用一个其中在提高的温度下生长和老炼诸薄膜的处理室,该处理室或者与上面讨论的诸CVD室组合起来或者取代上面讨论的诸CVD室。
在每个处理室40中都具有一个半导体晶片卡盘组件60。晶片卡盘组件60有若干基本功能包括:(1)从传送臂接收一块晶片和将一块晶片交给传送臂;(2)保持一块正在处理的晶片;(3)根据晶片的诸处理温度为一块晶片提供一个均匀的热环境;和(4)如果晶片处理方法需要的话在处理室内传送一块晶片。例如,在每个处理室40内都可以有晶片卡盘组件60,以便预热,固定,和与CVD线性注入器组合43有关地移动诸晶片。另一方面,也可以用卡盘组件60冷却一块晶片和/或与诸其它类型的处理工具如诸材料移去工具有关地传送晶片。
如图10所示,晶片卡盘组件60包括一个夹紧面62,一块半导体晶片就放在夹紧面62上。晶片卡盘组件60包括一个加热元件(图中未画出),该加热元件在用CVD注入器43对未处理晶片进行处理前先预热未处理晶片。也提供卡盘隔离器76隔离加到晶片上热。一个保住夹子如一个卡盘真空夹子63将晶片固定在卡盘组件60上。这些真空夹子在技术上是众所周知的所以不对它们作详细讨论。我们应该认识到也可以用诸其它的适当的夹紧装置如静电晶片夹紧装置。一般,以一种已知的方式用一个卡盘真空夹子63将一块晶片的背面真空地保持在晶片卡盘组件60的一个晶片卡盘平板62上。通过一个卡盘组合操纵用的链子59将真空夹子可工作地与一个真空源连接。操纵用的链子59向卡盘组件60提供功率,诸控制信号和冷却水而同时允许它在处理室40内移动。
使注入器气体维持在一般由数字49指示的诸沉积微带或诸微区域内,该微带被定义为直接位于诸线性注入器出口下面的区域。安放在晶片卡盘平板上的一块晶片的顶表面基本上与密封平板61的一个顶表面共面,它们两者被调整得能在诸线性注入器44,45的诸底部出口的近似1mm内通过。晶片的这种位置定义了在诸沉积微带49和处理室40的其它部分之间的一条低通导的气体路径。这条路径称为一个微室隔离区或半密封区。如下面讨论的那样,通过相对于注入器组合43调节一个卡盘传送框架64的位置,对1mm的半密封间隙进行调节。一旦设定了半密封间隙,当系统工作时就不再改变。
实践中,当卡盘处于一个缩回或装载位置时,将一块晶片放在卡盘表面62上,如图10-12所示。晶片放在诸卡盘提升销70上方,卡盘提升销70从上晶片架85提升晶片。传送臂82转回到它的原来位置将晶片留在诸卡盘提升销70上。然后,使晶片降低到卡盘表面62。诸卡盘提升销70一致地上升和下降并可操作地与一个卡盘提升销轭72连接。卡盘提升销轭72本身又可操作地与一个卡盘提升销线性驱动轴73连接,一个提升销伺服执行元件组合74使卡盘提升销线性驱动轴73工作。我们应该认识到也可以用诸其它装置控制线性运动。例如,能够提供一个蜗杆驱动使卡盘提升销轭72和/或诸卡盘提升销70进行线性运动。
参照图11,通过真空吸附将晶片固定在卡盘表面62上。卡盘组件60沿着卡盘传送框架64的卡盘导引轨道66移动,通过CVD注入器43到一个伸出位置。例如,一个卡盘移动执行元件67,如一个电伺服马达,通过一个卡盘移动驱动螺杆68可操作地沿着卡盘导引轨道66驱动卡盘组件60。一位熟练的技术人员懂得也可以用诸其它的卡盘移动装置。于是,在箭头A的方向在单次全行程中使固定在晶片卡盘表面62上的晶片移动到诸线性注入器44,45的诸注入器出口下面。单次全程行程允许由所有的注入器出口(即对于一个200mm晶片大小是2个注入器出口,对于一个300mm晶片大小是3个注入器出口)对整个晶片表面进行沉积。我们指出诸注入器和/或诸注入器出口的数目与晶片大小无关。为了使处理室40的生产量达到最佳可以改变诸注入器和/或诸注入器出口的数目。可以如对晶片进行想要的处理所需要的次数,重复这种全行程作用。也可以根据当晶片通过CVD注入器43时想要在晶片上形成的一个SiO2层的厚度调节卡盘移动速度。例如,在本发明的一个实施例中,移动速度在约1mm/s到约60mm/s的范围内。在完成沉积时,将晶片传送回到装载位置,在那里诸卡盘提升销70从晶片卡盘板62提升晶片,准备交给装载锁定传送臂82。
处理或反应器室40采用诸旁门54,图11和12,和诸终端门55,图10,允许容易地进行传送框架64和卡盘组件60检修和校准而不会扰动半密封间隙或沉积微区域,或任何其它的处理室部件,如CVD线性注入器43。如上所述,通过调节卡盘传送框架64的位置对1mm半密封间隙进行调节。这是通过调节诸卡盘调节器69完成的。如图2所示,优先地每个处理室40都有3个卡盘调节器69,图10中也画出了其中2个。这样一种配置允许精确地调节卡盘组件60的节距,转动和高度。例如,在处理室40包括一个CVD线性注入器43的情形中,为了精确地调节半密封间隙可以调节诸卡盘调节器69。也能够用诸卡盘调节器69调节一块晶片与诸其它处理工具有关的位置。这些调节器可以有诸调节螺丝或诸其它的众所周知的调节装置的形式。我们应该认识到也可以用多于或少于3个卡盘组合调节器。例如,可以用2个调节器,其中与处理室40有关地固定传送框架64的一个第三个点。
参照图2,成对地垂直安排诸处理室40以便满足工具地板面积或轨迹需求。将诸垂直堆叠的处理室对与一个公共化学品配送系统24和一个公共控制系统21的结合整体地称为一个多室舱(MCM)20。两个处理室可以基本上是相同的,例如,每个都包含诸独立的CVD线性注入器,诸晶片卡盘组合和诸卡盘传送框架。进一步,每个处理室都包括各自的专用的装载锁定室和冷却板。想要在两个室之间有所不同时,能够容易地提供这些不同而不会对其它方面产生影响。
另一方面,每个多室舱都能包含诸不同类型的处理室。例如,一个处理室40能够包括一个CVD线性注入器43,而另一个处理室,相对于第一个处理室垂直堆叠,能够包括一个快速热氧化工具(图中未画出)。进一步,我们应该认识到MCM 20可以包括一个垂直地堆叠在另一个上面的三个处理室40,如图15所示。又,我们应该认识到如上面讨论的那样,诸处理室40可以具有一个CVD处理室的形式,或诸其它形式的处理室,或它们的一个组合。
在MCM 20的诸处理室40相互之间的间距与特定的设计限制,如最大的前端机器人垂直行程,在诸处理室之间为了检修诸CVD注入器和化学品配送管道在诸处理室之间留出的进入空间23,以及由卡盘组合和传送框架的最大高度定义的处理室的总高度有关。如图12所示,本发明的卡盘提升销执行元件71位于处理室40的外面以便减少处理室40的总高度和体积。在提升销执行元件71和诸卡盘提升销70之间使用波纹管75以便保持处理室40的无尘,接近大气压的环境。
MCM 20的诸垂直堆叠的处理室40位于一个公共框架内,该框架支持一个公共处理化学品配送系统24和一个公共本地控制系统21。配送系统24位于MCM 20的顶部并将材料提供和配送给诸堆叠的处理室40。例如,配送系统24能够包括一个化学品配送系统用于将诸化学品配送给在MCM 20内的两个堆叠的处理室40。公共控制系统21可以位于MCM内,在下处理室40的下面。另一方面,与诸电子部件的数量和包装要求及为达到合理的处理和系统控制的任何距离限制有关,可以将这些部件放置在CVD处理系统旁边的一个分开的框架上。有了一个配送系统供应每个MCM 20的所有的处理室40,能够很大地节省成本和空间。我们应该认识到处理化学品配送系统24和公共控制系统21的实际位置可以在本发明的范围内变化。例如,配送系统24可以位于下处理室的下面或诸处理室之间。同样地,公共控制系统21可以位于上处理室的上面。
一个举例说明的用于三个堆叠的处理室的处理化学品配送系统24如图13所示。配送系统24包括一个有一个用于将诸化学品源分入CVD线性注入器43的管道装置25的化学品配送系统。另一方面,可以提供一个管道装置将诸化学品源分入少于三个或多于三个处理室的诸注入器。这样,在一个MCM 20的所有处理室40中同时开始和停止化学沉积。在各个用于诸晶片处理工作的处理室40之间没有各自的度量,控制或平衡化学品配送的装置。取而代之的是,一个化学品源分离点25和一个氧化剂分离点26基本上均匀地分别将诸化学品源和臭氧分配给各个CVD线性注入器43。因为每个处理室40都有诸独立的卡盘传送执行元件67,所以由于在诸处理室40之间的化学品配送的变化导致的沉积厚度中的任何轻微的变化都可以通过改变它的晶片卡盘传送速度来调节。可以为每个处理室提供各自的度量阀门或流控制器以便分别控制化学品源和臭氧到各个处理室40的配送。这样,就允许每个处理室40与诸其它的处理室无关地进行工作。本发明的公共配送系统使晶片处理系统的总成本和复杂性减到最小。我们应该认识到可以取而代之地将各自的配送系统,例如各自的流控制阀用于每个处理室,但是这种配置可能导致较高的成本。
为各个MCM 20的每个配送系统24提供一个臭氧发生器。然而,可以为与多个MCM 20对应的多个配送系统24提供一个液体化学品供应箱。当利用诸无水的HF清洁系统时,我们将一个类似的方法应用于诸无水的HF清洁系统,其中采用一个HF系统并通过一个管道装置将该HF系统无源地分配给一个MCM 20的所有处理室。然而,在设计的一个变体中,如果用一个原子氟产生源产生诸清洁的母体,则为每个处理室40提供一个氟产生源,虽然在一个MCM 20中的所有处理室40的清洁将同时进行以便使诸处理室40的生产量达到最佳和简化用于氟产生源的化学配送硬件。另一方面,诸其它的实施例能够包括用于在一个MCM中的两个处理室的单个原子氟源。
我们如此地安排本发明的晶片处理系统5的平面视图,使得以一种相互平行的线性方式安排一系列MCM 20,例如2个,3个或更多的MCM20。根据诸装载锁定室80的特别设计,诸MCM 20的这种安排可以与AFE装置6垂直,如图3所示,或者可以有一个角度,如图14所示。这样,可以认为晶片处理系统5是一个“线性排列”。
一位熟练的技术人员能够认识到可以在一个传送系统周围用诸其它的几何结构,例如一个围绕一个中央机器人的圆形安排,一个围绕一个传送中央机器人的在机器左边和右边的反射的平行安排,或诸其它的非线性安排,采用若干MCM,其中如果为每个处理室提供一个装载锁定室的话,可以采用诸堆叠的室。
上面讨论的处理室40和诸MCM 20的诸配置改善了在诸类似的线性注入器的聚集工具系统上的轨迹。例如,与有一个等效的数目的处理室,例如有4个处理室的诸常规系统比较,轨迹从一个已有技术系统的约168平方英尺(ft2)减少到一个根据本发明的系统的73平方英尺(ft2)。主要通过将诸CVD处理室40堆叠起来和消除典型地在诸常规的聚集工具CVD处理系统中提供的一个性质不同的传送舱体,实现轨迹中的这种减少,这有利于各个装载锁定室80与一个相应的CVD处理室40和大气压前端装置6的连接。又,因为不会由于典型地存在于诸常规系统中的在一个聚集工具系统中央的一个大的传送舱(TM)室和中央体机器人(如图4的图解所示)而损失空间,所以在线性排列布局中能够更有效地利用空间。
根据本发明的诸300mm应用(例如诸晶片)的CVD处理系统的诸轨迹面积可以与诸200mm应用的诸常规系统的诸轨迹面积相比较。本发明为原来为诸200mm应用设计的诸晶片处理设备提供了巨大的优点,因为这些设备可以与对于用该设备的现有的地板空间的诸300mm应用的创造性系统结合。
本发明的半导体晶片处理系统,半导体晶片传送设备和方法提供了许多技术上的益处。例如,通过消除了为移动晶片所需的多个伺服轴使生产和处理成本,以及系统的复杂性降到最少。将半导体处理设备,例如传送臂82的运动减少到一个围绕单个轴的运动,从而降低了总的自动化成本。使用作为一个整体的单轴传送臂也消除了在传送机构中的诸链接部件,从而使复杂性和潜在的可靠性故障减到最少。因为在诸装载锁定室中诸运动部件较少,所以本发明的单轴传送臂进一步使粒子形成和沾染减到最少。单轴传送臂由于允许诸处理室从侧面进行装载,使整个系统的深度减到最小,从而使系统的诸包装约束达到最佳化。而且,通过在每个装载锁定室中放置一块冷却板,不需要一个专用的分开的冷却台。因为本发明的冷却板安排采用了用于从传送臂移走晶片的诸冷却板提升销,所以它不需要诸附加的传送臂轴。这相对于已有技术的将晶片冷却和传送这两种功能放置在单个节省空间的装置中的晶片冷却和传送方法来说是一个很大的优点。
在本发明的一个实施例中,处理室的处理顺序涉及一个全程沉积策略,在该策略中使薄膜厚度或诸掺杂剂浓度对于化学反应的开始和稳定性的敏感性减到最小。另一方面,处理室的处理顺序可能涉及如在1998年7月10日提出的美国专利No.09/113,730(代理人文档No.A-66484)中描述的有源循环传送,这里将它的整个公开内容作为参考文献结合进来。
减少轨迹,减少成本与不降低整个系统生产量的结合导致取得每块晶片的较低化费和较低的每块晶片处理成本。例如,在本发明的一个实施例中,为了取得这种制造一个5000埃的BPSG膜的工具的预期费用,与系统中诸处理室的数目有关(即6个处理室对4个处理室),对于每块晶片约在$2.65-$2.72之间。作为比较,用已有技术系统沉积一块相同的膜需要的化费,与具体的制造商和模式有关,在$3.59-$4.95范围内。这个举例说明的比较表示每块晶片可节省26%-45%的费用。举例说明的优先实施例的工作
具体参照图16(a)-(l),图解地说明一个举例说明的根据本发明的半导体晶片的传送和处理方法,在该方法中一个装载锁定室80与各自的处理室40连接。一个类似于传送臂83的装载锁定传送臂(在图16中未画出)从一个前端机器人8(在图16中未画出)接收诸半导体晶片,并在装载锁定室和处理室之间移动诸晶片。
在装载锁定室80内画出的三个层表示当将晶片放在装载锁定室80内的上晶片架85′,下晶片架87′,和冷却板95′上时的晶片位置。图中未画出稍微在冷却板上方的第4个“扣住”晶片位置,但是下面将讨论它。在处理室40内画出的两个层表示当将晶片放在处理室40内的上晶片架85″,下晶片架87″上时的晶片位置。图中未画出诸其它的晶片位置如诸“卡盘表面”和“预热”晶片位置,但是下面将讨论它们。
举例说明的半导体晶片的传送和处理方法包括下列诸步骤。
1.将诸晶片盒7放在大气压前端(AFE)装置6的前平面上它们各自的台座或自动装载口上。
2.大气压前端机器人8从晶片盒7移走第1块未处理晶片并将它传送到晶片对准器9。
3.晶片对准器转动第1块未处理晶片,计算晶片的重心并确定晶片的偏移。又,根据具体的处理需要确定和定位晶片槽口位置。
4.机器人8执行一个检测偏移的步骤,其中以一个计算的偏移从对准器9移出第1块未处理晶片,以便使第1块未处理晶片完美地处于装载锁定传送臂82的执行元件终端84的中心。
5.使装载锁定室80与大气压连通并打开。
6.当传送臂82在它原来位置中,用大气压前端机器人8将第1块未处理晶片A放在传送臂执行元件终端84的上晶片架85上,如图16(a)所示。然后关闭装载锁定室80,将它抽真空到一个基本上等于处理室40内的压力的传送压力。
7.当抽真空完成时,处理室槽阀41打开,装载锁定传送臂82转动将第1块未处理晶片A送入处理室40,如图16(b)所示。这时,在卡盘组件60中的诸卡盘提升销70处于“下”位置,即在卡盘表面62的下面。
8.装载锁定传送臂82精确地确定第1块未处理晶片A的与卡盘组件60的直径同心的位置。然后诸卡盘提升销70上升与在装载锁定传送臂82上的第1块未处理晶片A接触,并将第1块未处理晶片A提升到装载锁定传送臂82的上方到达未处理晶片“装载”位置,允许装载锁定传送臂82缩回到装载锁定室80内,将第1块未处理晶片A留在诸卡盘提升销70的顶部。
9.诸卡盘提升销70缩回到一个“晶片预热”位置,该位置定义为在晶片卡盘板62上方的0.25-0.75mm处。在完成8-20秒的预热时间后,使诸卡盘提升销70完全脱落,使第1块未处理晶片A被真空地夹在晶片卡盘板62上,如图16(c)所示。
10.一个臭氧发生器放电功率迅速地从零输出跃上处理设定点的输出,典型地定义为120g/m3@40slm。臭氧通过注入器流到密封板上并在这个10-15秒的稳定期间中流出排气装置。同时,当将晶片安装在卡盘上,并打开液体源化学品,例如,TEOS,TEB和TEPo的阀门使它们流过注入器43进入在密封板61上方的沉积微区域49时,使晶片A的温度稳定化,。
11.在臭氧和热稳定化期间结束后开始传送晶片,将第1块未处理晶片移动到CVD注入器43的下面。当臭氧和液体源化学品撞击第1块加热的未处理晶片A的表面并发生化学反应在晶片上形成一层SiO2时立即开始沉积,这就定义了实际的CVD处理过程。
12.传送执行元件67以一种线性的方式和一个恒定的速度将卡盘组件60移动到注入器43的下面,直到整个未处理晶片A都被来自注入器的诸沉积气体撞击为止。与厚度,组成和诸其它的处理需要有关,由从诸注入器出口喷出的诸注入器气体在未处理晶片上均匀地和完全地沉积出一个薄膜,从而将第1块未处理晶片A转变成第1块已处理晶片A′。
13.当进行上述步骤10-12中描述的CVD处理时,装载锁定传送臂82回到它在装载锁定室80中的原来位置。关闭处理室槽阀41,使装载锁定室80与大气连通并打开。由AFE机器人8将第2块未处理晶片B放在装载锁定传送臂执行元件终端84的上晶片架85上,如图16(c)所示。然后关闭装载锁定室80,将它抽真空到传送压力。在完成抽真空后,装载锁定室80保持空载直到完成上述诸步骤10-12中描述的第1块未处理晶片的CVD处理为止。
14.当完成第1块晶片的CVD处理时,臭氧放电功率迅速下降,诸液体源化学品或者从注入器43流出或者被切断。在将卡盘组件60移回到它的装载位置并在它的装载位置等待若干秒钟直到注入器达到零化学品浓度后,放开真空夹头63,为移走第1块未处理晶片作好准备。
15.在放开第1块已处理晶片A′后,打开处理室槽阀41,诸卡盘提升销70将第1块已处理晶片A′提升到装载锁定传送臂执行元件终端84的下晶片架87上方稍稍高出处,例如,高出约0.75-1.5mm的高度。请注意这个已处理晶片的“未装载”位置是在步骤9的“预热”位置上方但是在步骤8的未处理晶片“装载”位置的下方。
16.装载锁定传送臂82,携带在执行元件终端84的上晶片架85上的第2块未处理晶片B,转动进入处理室40并使它自己与在诸卡盘提升销70上的第1块已处理晶片同心,如图16(d)所示。然后诸卡盘提升销70下降到“下”位置,允许将第1块已处理晶片A′放在终端执行元件84的下晶片架87上。现在装载锁定传送臂82转回到装载锁定室80内,携带在下晶片架87上的第1块已处理晶片A′和在上晶片架85上的第2块未处理晶片B,如图16(e)所示。
17.在装载锁定传送臂82转回到它的原来位置后,由位于晶片冷却板95中的诸冷却板提升销96将在下晶片架87上的第1块已处理晶片A′提升到终端执行元件84上方约0.75-1.5mm处,当装载锁定传送臂82处于它的原来位置时晶片冷却板95直接位于终端执行元件84下方并与终端执行元件84同心,如图16(f)所示。一旦由诸冷却板提升销96提升第1块已处理晶片A′时,装载锁定传送臂82携带在终端执行元件84的上晶片架85上的第2块未处理晶片B转回到处理室40内,如图16(g)所示。现在以与在上面的步骤8和9中描述的并如图16(h)所示的相同的方式将第2块未处理晶片B装载到晶片卡盘组件60上。
18.与步骤17同时,使第1块已处理晶片A降低到冷却板95或在冷却板95稍微上方的诸冷却板提升销96的“扣住”位置,该位置在冷却板95表面上方稍高一些,例如,近似0.25mm处,如图16(g)所示。现在装载锁定传送臂82自由地转回到它的直接在冷却板95上的第1块已处理晶片A′上方的原来位置。冷却板95,它可以被液体或空气冷却到环境温度,在近似60秒钟内将已处理晶片冷却到70℃以下,在这段时间中装载锁定室80与大气连通。
19.当完成步骤18的装载锁定室的连通时,前端门阀10打开,诸冷却板提升销96将第1块已处理晶片A″提升到一个中间高度,该中间高度在冷却高度和终端执行元件84的未装载高度之间,如图16(h)所示。AFE机器人8从诸冷却板提升销96收回第1块已处理晶片A″,并将第1块已处理晶片A″放回到晶片盒7内,如图16(i)所示。
20.当前端门阀10打开,装载锁定室80在大气中时,将第3块未处理晶片C装载到装载锁定室中,如图16(h)所示和如步骤13所描述的,并重复以后的诸步骤,如图16(j)-(l)所示。
本发明的方法和设备通过一个平行的处理构造增加诸处理室和整个处理系统的生产量,在该构造中每个处理室都有它自己专用的装载锁定室和专用的晶片传送臂,得到一个有一个能够将两个或两个以上的装载锁定/处理室组合垂直堆叠起来的垂直范围的前端机器人的服务。通过将诸室组合堆叠起来并使整个设计最佳化,能够使系统的轨迹减到最少。对于每个处理室提供一个单轴传送臂,通过简化每块晶片进入诸处理室的路径和减少对于每个处理室的晶片装载/卸载时间,增加处理室的生产量。提供一个双晶片传送系统,通过在诸各个装载锁定室和处理室之间同时传送一块未处理晶片和一块已处理晶片使晶片装载/卸载时间减到最少,增加每个处理室的生产量。在每个装载锁定中提供一块冷却板,通过能够与诸其它晶片的处理平行地进行诸已处理晶片的冷却和移动,进一步增加每个处理室和整个处理系统的生产量。
为了说明和描述本发明,我们已经在上面进行了本发明的诸特定实施例的描述。我们并不想让这些实施例包罗一切或将本发明完全限制在所公布的诸形式中,显然借助上面的指教可以作出许多修改和变化。选择和描述诸实施例是为了最好地解释本发明的诸原理和它的实际应用,从而能使熟练的技术人员能够最好地以诸不同的修改利用本发明和诸不同的实施例,以便适合于具体的想要的应用。我们有意用这里所附的权利要求书和它们的等效物定义本发明的范围。这里将所有的在本专利说明书中引用的出版物和专利申请都作为参考文献结合进来,就像特别地和单独地指出每个单独的出版物或专利申请作为参考文献被结合进来一样。

Claims (25)

1.一个半导体晶片处理系统包括:
一个大气前端装置,它包括一个用于传送一块半导体晶片的前端机器人;
一个多室舱,所述多室舱包括多个垂直堆叠的半导体晶片处理室;
一个装载锁定室,它提供给每个半导体晶片处理室的,其中所述的诸机器人将晶片传送到所述的诸装载锁定室中;和
一个晶片传送设备,它提供给每个装载锁定室晶片和对每个各自的晶片处理室是专用的,用于在所述的每个装载锁定室和所述的各个晶片处理室之间传送晶片。
2.一个根据权利要求1的半导体晶片处理系统,它进一步包括一个将诸化学品供应给所述的多个所述的处理室的公共的处理化学品配送系统。
3.一个根据权利要求1的半导体晶片处理系统,它进一步包括至少两个多室舱,所述的诸多室舱定位在一个线性排列中。
4.一个根据权利要求1的半导体晶片处理系统,在该系统中所述的晶片传送设备包括一个枢轴地安装在所述的装载锁定室内的双晶片单轴传送臂,所述的传送臂有一个缩回的原来位置,一个伸进所述的处理室的伸出位置,和单个枢轴,围绕该枢轴所述的传送臂在所述的缩回位置和伸出位置之间转动。
5.一个根据权利要求4的半导体晶片处理系统,其中所述的传送臂进一步包括一个下晶片架和一个上晶片。
6.一个根据权利要求4的半导体晶片处理系统,其中每个所述的装载锁定室进一步包括一个当所述的传送臂处于所述的缩回位置时安置在所述的传送臂下面的冷却板。
7.一个根据权利要求6的半导体晶片处理系统,其中所述的冷却板进一步包括多个提升销用于提升晶片以便在所述的上晶片架和所述的下晶片架上进行晶片的装载和卸载。
8.一个根据权利要求1的半导体晶片处理系统,其中每个所述的处理室9进一步包括一个晶片卡盘组合用于从所述的传送臂接收晶片和将晶片保持在所述的处理室内。
9.一个根据权利要求8的半导体晶片处理系统,其中每个所述的晶片卡盘组合包括:
一个卡盘夹紧表面,用于将一块晶片固定在所述的晶片卡盘上;和
多个提升销,用于在所述的传送臂和所述的夹紧表面之间传送晶片。
10.一个根据权利要求8的半导体晶片处理系统,其中所述的晶片卡盘组合包括一个卡盘传送框架,用于在一个装载位置和一个处理位置之间传送晶片。
11.一个根据权利要求10的半导体晶片处理系统,它进一步包括一个卡盘组合调节器,用于与所述的处理室有关地调节所述的卡盘组合的槽口,转动和高度。
12.一个根据权利要求10的半导体晶片处理系统,它包括三个卡盘组合调节器,用于与所述的处理室有关地调节所述的卡盘组合的槽口,转动和高度。
13.一个根据权利要求1的半导体晶片处理系统,其中所述的处理室包括:
一个固定地安装在所述的处理室内的化学蒸汽沉积线性注入器;
一个晶片卡盘组合,用于从所述的传送臂接收晶片和经过所述的线性注入器传送晶片。
14.一个半导体晶片处理系统包括:
一个双晶片单轴传送臂,用于在一个装载锁定室和一个半导体晶片处理室之间携带和传送诸半导体晶片,所述的传送臂有一个枢轴地安装在所述的装载锁定室内围绕单个枢轴转动的作为一个整体的臂;
其中用所述的传送臂适合于同时在所述的装载锁定室和处理室之间携带至少两块晶片。
15.一个根据权利要求14的半导体晶片处理系统,所述的传送臂包括:
一个缩回的原来位置和一个伸出位置,在该伸出位置所述的传送臂伸进所述的处理室,其中所述的单个枢轴允许所述的传送臂在所述的缩回位置和伸出位置之间转动;和
一个冷却板,当所述的传送臂处于所述的缩回位置时该冷却板位于所述的传送臂下面。
16.一个根据权利要求15的半导体晶片处理系统,其中所述的冷却板进一步包括多个提升销,用于在所述的冷却板和所述的传送臂之间传送诸晶片。
17.一个根据权利要求14的半导体晶片处理系统,其中所述的传送臂进一步包括一个下晶片架用于携带一块已处理晶片和一个上晶片架用于携带一块未处理晶片。
18.一个根据权利要求14的半导体晶片处理系统,其中用所述的传送臂适合于同时携带一块未处理晶片和一块已处理晶片。
19.一个半导体晶片处理方法,它包括下列诸步骤:
提供一个有一个传送臂的装载锁定室,该传送臂包括一个用于携带诸未处理晶片的上晶片架和一个用于携带诸已处理晶片的下晶片架,和一个半导体晶片处理室;
在所述的装载锁定室和所述的处理室之间同时传送一块已处理晶片和一块未处理晶片。
20.一个根据权利要求19的半导体晶片处理方法,它进一步包括下列步骤:
在同时在所述的装载锁定室和所述的处理室之间传送一块已处理晶片和一块未处理晶片前先对所述的装载锁定室抽真空。
21.一个根据权利要求19的半导体晶片处理方法,其中提供的步骤进一步包括在所述的装载锁定室内提供一块冷却板,所述的方法进一步包括:
将所述的已处理晶片从所述的下晶片架传送到所述的冷却板。
22.一个根据权利要求21的半导体晶片处理方法,它进一步包括:
将在所述的上晶片架上的所述的未处理晶片从所述的装载锁定室传送到所述的处理室;
将所述的未处理晶片从所述的上晶片架传送到一个安装在所述的半导体晶片处理室中的晶片卡盘;
经过一个安装在所述的半导体晶片处理室中的化学汽相沉积注入器,将所述的晶片卡盘从一个缩回位置传送到一个伸出位置,从而使一块未处理晶片被处理成一块已处理晶片。
23.一个根据权利要求19的半导体晶片处理方法,它在同时传送步骤前进一步包括下列诸步骤:
接收在传送臂上的第1块未处理晶片;
将所述的第1块未处理晶片传送到所述的处理室;
同时将所述的第1块未处理晶片处理成第1块已处理晶片并接收在传送臂上的第2块未处理晶片;和
当将所述的第2块未处理晶片保持在所述的传送臂上时用所述的传送臂收回所述的第1块已处理晶片。
24.一个半导体晶片处理方法,它包括下列步骤:
提供一个包括一个用于传送一块半导体晶片的前端机器人的大气压前端装置,一个包括多个垂直堆叠的半导体晶片处理室的多室舱,一个用于每个半导体晶片处理室的装载锁定室,和一个用于每个装载锁定室的晶片传送设备,每个所述的装载锁定室和每个所述的晶片传送设备专用于各自的晶片处理室;
通过所述的机器人在所述的大气压前端装置和所述的诸装载锁定室中的一个之间传送一块晶片;和
通过所述的晶片传送设备在所述的一个装载锁定室和各自的晶片处理室之间传送晶片。
25.一个半导体晶片处理系统,它包括:
一个可移动的晶片装载盒;
一个大气压前端装置,它包括一个用于传送一块半导体晶片的前端机器人;
多个半导体晶片处理室,每个所述的半导体晶片处理室都包括
一个晶片卡盘组合用于在所述的处理室内传送晶片,所述的晶片卡盘组合有一个卡盘夹紧表面用于将一块晶片固定在所述的晶片卡盘上,
多个提升销用于在所述的传送臂和所述的夹紧表面之间传送晶片,和一个卡盘传送框架用于在一个装载位置和一个处理位置之间传送薄片。
一个多室舱,所述多室舱包括两个或多个所述的在一个垂直堆叠定位中的半导体晶片处理室;
一个提供给每个半导体晶片处理室的装载锁定室,它包括
一个双晶片单轴传送臂适合于在所述的装载锁定室和所述的半导体晶片处理室之间携带和传送诸半导体晶片,所述的传送臂有一个枢轴地安装在所述的装载锁定室内围绕单个枢轴转动的作为一个整体的臂,所述的传送臂有一个缩回的原来位置和一个伸进所述的处理室的伸出位置,其中所述的单个枢轴允许所述的传送臂在所述的缩回位置和伸出位置之间转动。
一块当所述的枢轴臂处于所述的缩回位置时安置在所述的传送臂下面的冷却板,所述的冷却板包括多个提升销用于在所述的冷却板和所述的传送臂之间传送诸晶片。
CN00806652A 1999-04-02 2000-03-21 具有诸垂直堆叠处理室的半导体晶片生产系统和单轴双晶片传送系统 Pending CN1348552A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US12765099P 1999-04-02 1999-04-02
US12753299P 1999-04-02 1999-04-02
US60/127,532 1999-04-02
US60/127,650 1999-04-02
US09/483,945 US6610150B1 (en) 1999-04-02 2000-01-13 Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US09/483,945 2000-01-13

Publications (1)

Publication Number Publication Date
CN1348552A true CN1348552A (zh) 2002-05-08

Family

ID=27383593

Family Applications (1)

Application Number Title Priority Date Filing Date
CN00806652A Pending CN1348552A (zh) 1999-04-02 2000-03-21 具有诸垂直堆叠处理室的半导体晶片生产系统和单轴双晶片传送系统

Country Status (12)

Country Link
US (3) US6610150B1 (zh)
EP (1) EP1166180B1 (zh)
JP (2) JP2002541657A (zh)
KR (1) KR100455226B1 (zh)
CN (1) CN1348552A (zh)
AT (1) ATE311619T1 (zh)
AU (1) AU3906900A (zh)
CA (1) CA2369042A1 (zh)
DE (1) DE60024424T2 (zh)
IL (1) IL145678A0 (zh)
TW (2) TWI251252B (zh)
WO (1) WO2000060414A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100394574C (zh) * 2005-12-08 2008-06-11 北京圆合电子技术有限责任公司 具有流量控制的平台真空气路系统及其控制方法
CN100437898C (zh) * 2004-04-16 2008-11-26 艾克塞利斯技术公司 工件加工系统
US7686052B2 (en) 2004-08-30 2010-03-30 Samsung Mobile Display Co., Ltd. Lamination apparatus and laser-induced thermal imaging method using the same
CN103276369A (zh) * 2013-05-06 2013-09-04 南方科技大学 一种pecvd镀膜系统
CN110741467A (zh) * 2017-05-08 2020-01-31 东京毅力科创株式会社 用于减少的制造环境占用空间的竖直多批次磁性退火系统
CN111341721A (zh) * 2018-12-19 2020-06-26 格芯公司 包括一单晶圆、缩小体积的处理室的系统

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
US6977014B1 (en) 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
US6860965B1 (en) * 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP5021112B2 (ja) * 2000-08-11 2012-09-05 キヤノンアネルバ株式会社 真空処理装置
KR20030032034A (ko) * 2000-09-15 2003-04-23 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 두 개의 이중 슬롯 로드록
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
JP3955724B2 (ja) * 2000-10-12 2007-08-08 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
KR20020072449A (ko) * 2001-03-10 2002-09-16 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
US20020137346A1 (en) * 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020154975A1 (en) * 2001-04-18 2002-10-24 Applied Materials, Inc. Method and apparatus for wafer exchange employing stacked robot blades
US7231141B2 (en) * 2001-04-23 2007-06-12 Asm America, Inc. High temperature drop-off of a substrate
KR100417245B1 (ko) * 2001-05-02 2004-02-05 주성엔지니어링(주) 웨이퍼 가공을 위한 클러스터 툴
ATE360885T1 (de) * 2001-05-31 2007-05-15 S E S Company Ltd Substratreinigungssystem
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
KR20030038396A (ko) * 2001-11-01 2003-05-16 에이에스엠엘 유에스, 인코포레이티드 우선적인 화학 기상 증착 장치 및 방법
KR100454393B1 (ko) * 2001-12-18 2004-10-26 코스텍시스템(주) 복층 수직형 매엽식 반도체 웨이퍼 처리장치
TWI274393B (en) * 2002-04-08 2007-02-21 Acm Res Inc Electropolishing and/or electroplating apparatus and methods
WO2003100828A2 (en) * 2002-05-21 2003-12-04 Aviza Technology, Inc Method of depositing an oxide film by chemical vapor deposition
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US7032287B1 (en) * 2002-07-19 2006-04-25 Nanometrics Incorporated Edge grip chuck
CN1711369B (zh) * 2002-11-15 2011-07-13 欧瑞康日光特吕巴赫股份公司 用于真空处理两维加长基片的装置及加工这种基片的方法
US20040099283A1 (en) * 2002-11-26 2004-05-27 Axcelis Technologies, Inc. Drying process for low-k dielectric films
SG115629A1 (en) 2003-03-11 2005-10-28 Asml Netherlands Bv Method and apparatus for maintaining a machine part
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
JP4303041B2 (ja) * 2003-06-18 2009-07-29 株式会社ディスコ 半導体ウエーハの加工装置
WO2005022602A2 (en) * 2003-08-29 2005-03-10 Crossing Automation, Inc. A method and apparatus for semiconductor processing
JP4137750B2 (ja) * 2003-09-17 2008-08-20 株式会社Sokudo 熱処理装置、熱処理方法および基板処理装置
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
KR100583724B1 (ko) * 2003-10-29 2006-05-25 삼성전자주식회사 기판 이송 장치
US20050115492A1 (en) * 2003-11-28 2005-06-02 Chia-Cheng Liu Method and apparatus of the chemical metal organic vapor epitaxy for the multi-chamber epitaxy layer deposition
US20050205210A1 (en) * 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US6987272B2 (en) * 2004-03-05 2006-01-17 Axcelis Technologies, Inc. Work piece transfer system for an ion beam implanter
US8668422B2 (en) * 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
US20060156979A1 (en) * 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US20060182535A1 (en) * 2004-12-22 2006-08-17 Mike Rice Cartesian robot design
US7651306B2 (en) * 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7396412B2 (en) * 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US7819079B2 (en) * 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7798764B2 (en) * 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
KR100613343B1 (ko) * 2004-12-22 2006-08-21 동부일렉트로닉스 주식회사 반도체 소자의 제조 장치
US8821099B2 (en) 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
US20070028842A1 (en) * 2005-08-02 2007-02-08 Makoto Inagawa Vacuum chamber bottom
CN100358097C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
WO2007101207A2 (en) * 2006-02-27 2007-09-07 Anaconda Semi Lp Process chambers for substrate vacuum processing tool
US8322299B2 (en) * 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US9117859B2 (en) 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
KR100717990B1 (ko) * 2007-01-16 2007-05-14 (주)인터노바 반도체 자재 처리를 위한 이송 시스템
US20080210168A1 (en) 2007-01-18 2008-09-04 May Su Single chamber, multiple tube high efficiency vertical furnace system
US7675048B2 (en) 2007-03-06 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Wafer holding robot end effecter vertical position determination in ion implanter system
JP2010524201A (ja) * 2007-03-22 2010-07-15 クロッシング オートメイション, インコーポレイテッド モジュラクラスタツール
US7531368B2 (en) * 2007-03-30 2009-05-12 Tokyo Electron Limited In-line lithography and etch system
KR100862895B1 (ko) * 2007-08-21 2008-10-13 세메스 주식회사 탄소나노튜브 합성 방법, 이를 적용한 탄소나노튜브 합성장치 및 시스템
US8060252B2 (en) * 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US9002514B2 (en) * 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
JP4975605B2 (ja) * 2007-12-26 2012-07-11 東京エレクトロン株式会社 処理システム、処理システムの制御方法およびソフトウェアのバージョンアップ方法
US20110073469A1 (en) * 2008-03-19 2011-03-31 Yue Ma Electrochemical deposition system
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
KR101022314B1 (ko) * 2008-07-28 2011-03-21 주식회사 에스에프에이 박막 태양전지 제조용 화학 기상 증착 장치
US8282334B2 (en) 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
JP4707749B2 (ja) * 2009-04-01 2011-06-22 東京エレクトロン株式会社 基板交換方法及び基板処理装置
US8847122B2 (en) * 2009-06-08 2014-09-30 Macronix International Co., Ltd. Method and apparatus for transferring substrate
JP5328726B2 (ja) * 2009-08-25 2013-10-30 三星ディスプレイ株式會社 薄膜蒸着装置及びこれを利用した有機発光ディスプレイ装置の製造方法
JP5677785B2 (ja) 2009-08-27 2015-02-25 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
JP5611718B2 (ja) * 2009-08-27 2014-10-22 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
US20110052795A1 (en) * 2009-09-01 2011-03-03 Samsung Mobile Display Co., Ltd. Thin film deposition apparatus and method of manufacturing organic light-emitting display device by using the same
US8876975B2 (en) 2009-10-19 2014-11-04 Samsung Display Co., Ltd. Thin film deposition apparatus
KR101084184B1 (ko) * 2010-01-11 2011-11-17 삼성모바일디스플레이주식회사 박막 증착 장치
KR101174875B1 (ko) * 2010-01-14 2012-08-17 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101193186B1 (ko) 2010-02-01 2012-10-19 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101156441B1 (ko) * 2010-03-11 2012-06-18 삼성모바일디스플레이주식회사 박막 증착 장치
KR101202348B1 (ko) 2010-04-06 2012-11-16 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
US8894458B2 (en) 2010-04-28 2014-11-25 Samsung Display Co., Ltd. Thin film deposition apparatus, method of manufacturing organic light-emitting display device by using the apparatus, and organic light-emitting display device manufactured by using the method
KR101223723B1 (ko) 2010-07-07 2013-01-18 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101678056B1 (ko) 2010-09-16 2016-11-22 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101738531B1 (ko) 2010-10-22 2017-05-23 삼성디스플레이 주식회사 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101723506B1 (ko) 2010-10-22 2017-04-19 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR20120045865A (ko) 2010-11-01 2012-05-09 삼성모바일디스플레이주식회사 유기층 증착 장치
KR20120065789A (ko) 2010-12-13 2012-06-21 삼성모바일디스플레이주식회사 유기층 증착 장치
KR101760897B1 (ko) 2011-01-12 2017-07-25 삼성디스플레이 주식회사 증착원 및 이를 구비하는 유기막 증착 장치
TWI576670B (zh) * 2011-04-22 2017-04-01 瑪波微影Ip公司 用於微影蝕刻機器群聚的網路架構
KR101840654B1 (ko) 2011-05-25 2018-03-22 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101852517B1 (ko) 2011-05-25 2018-04-27 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101857249B1 (ko) 2011-05-27 2018-05-14 삼성디스플레이 주식회사 패터닝 슬릿 시트 어셈블리, 유기막 증착 장치, 유기 발광 표시장치제조 방법 및 유기 발광 표시 장치
KR20130004830A (ko) 2011-07-04 2013-01-14 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
KR101826068B1 (ko) 2011-07-04 2018-02-07 삼성디스플레이 주식회사 유기층 증착 장치
US9305815B2 (en) 2012-03-01 2016-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Automated material handling system and method for semiconductor manufacturing
CN105309062B (zh) * 2013-06-07 2018-12-21 株式会社富士 电子设备组装机
KR102108361B1 (ko) 2013-06-24 2020-05-11 삼성디스플레이 주식회사 증착률 모니터링 장치, 이를 구비하는 유기층 증착 장치, 증착률 모니터링 방법, 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
KR101527901B1 (ko) * 2013-10-10 2015-06-10 피에스케이 주식회사 기판 처리 장치 및 기판 반송 방법
US10113236B2 (en) 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US20170194174A1 (en) * 2015-12-30 2017-07-06 Applied Materials, Inc. Quad chamber and platform having multiple quad chambers
US11694907B2 (en) * 2016-08-04 2023-07-04 Kokusai Electric Corporation Substrate processing apparatus, recording medium, and fluid circulation mechanism
JP6270952B1 (ja) * 2016-09-28 2018-01-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体。
WO2018213014A1 (en) * 2017-05-16 2018-11-22 Smartfabs Corporation Method and system to route semiconductor parts to machines distributed in a multi-building plant
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3220331A (en) 1965-01-27 1965-11-30 Kulicke And Soffa Mfg Company Contact printing mask alignment apparatus for semiconductor wafer geometry
US4423701A (en) 1982-03-29 1984-01-03 Energy Conversion Devices, Inc. Glow discharge deposition apparatus including a non-horizontally disposed cathode
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
DE3427057A1 (de) 1984-07-23 1986-01-23 Standard Elektrik Lorenz Ag, 7000 Stuttgart Anlage zum herstellen von halbleiter-schichtstrukturen durch epitaktisches wachstum
JPS63128710A (ja) 1986-11-19 1988-06-01 Mitsubishi Electric Corp 反応炉
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
JP2502661B2 (ja) 1988-03-04 1996-05-29 松下電器産業株式会社 気相成長装置
JP2600399B2 (ja) * 1989-10-23 1997-04-16 富士電機株式会社 半導体ウエーハ処理装置
JPH04141587A (ja) * 1990-10-01 1992-05-15 Nec Corp スパッタリング装置
US5275709A (en) 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
JP2867194B2 (ja) * 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
JP3172331B2 (ja) * 1993-04-28 2001-06-04 東京エレクトロン株式会社 真空処理装置
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5518542A (en) * 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
WO1995016800A1 (en) 1993-12-17 1995-06-22 Brooks Automation, Inc. Apparatus for heating or cooling wafers
DE4408537A1 (de) * 1994-03-14 1995-09-21 Leybold Ag Vorrichtung für den Transport von Substraten
JPH0846013A (ja) 1994-05-23 1996-02-16 Tokyo Electron Ltd マルチチャンバ処理システム用搬送装置
JPH07321178A (ja) * 1994-05-24 1995-12-08 Hitachi Ltd 搬送装置およびその搬送装置を有するマルチチャンバ装置
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW297910B (zh) 1995-02-02 1997-02-11 Tokyo Electron Co Ltd
JP3483693B2 (ja) * 1995-02-02 2004-01-06 東京エレクトロン株式会社 搬送装置,搬送方法及び処理システム
JP3380652B2 (ja) * 1995-05-26 2003-02-24 東京エレクトロン株式会社 処理装置
US5607276A (en) 1995-07-06 1997-03-04 Brooks Automation, Inc. Batchloader for substrate carrier on load lock
US5664925A (en) 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
TW278200B (en) * 1995-07-06 1996-06-11 Brooks Automation Inc Door drive mechanisms for substrate carrier and load lock
US5613821A (en) 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
TW318258B (zh) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
US5811823A (en) * 1996-02-16 1998-09-22 Eaton Corporation Control mechanisms for dosimetry control in ion implantation systems
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US5810549A (en) * 1996-04-17 1998-09-22 Applied Materials, Inc. Independent linear dual-blade robot and method for transferring wafers
US5954472A (en) * 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
JP3549674B2 (ja) * 1996-07-19 2004-08-04 東京応化工業株式会社 ロードロック室を備えた基板の処理装置
JPH10107124A (ja) 1996-08-05 1998-04-24 Kokusai Electric Co Ltd 基板処理装置
KR100269097B1 (ko) * 1996-08-05 2000-12-01 엔도 마코토 기판처리장치
US5893699A (en) * 1996-10-31 1999-04-13 Phase Metrics, Inc. End effector for a robotic arm of a disk certifier
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
JPH11135600A (ja) * 1997-08-25 1999-05-21 Shibaura Mechatronics Corp ロボット装置および処理装置
DE29716440U1 (de) * 1997-09-12 1997-12-11 Balzers Hochvakuum Sputterstation
US6722834B1 (en) * 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
US6585478B1 (en) * 2000-11-07 2003-07-01 Asm America, Inc. Semiconductor handling robot with improved paddle-type end effector

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100437898C (zh) * 2004-04-16 2008-11-26 艾克塞利斯技术公司 工件加工系统
US7686052B2 (en) 2004-08-30 2010-03-30 Samsung Mobile Display Co., Ltd. Lamination apparatus and laser-induced thermal imaging method using the same
CN1744779B (zh) * 2004-08-30 2010-05-05 三星移动显示器株式会社 叠层装置及利用该叠层装置的激光感热成像方法
CN100394574C (zh) * 2005-12-08 2008-06-11 北京圆合电子技术有限责任公司 具有流量控制的平台真空气路系统及其控制方法
CN103276369A (zh) * 2013-05-06 2013-09-04 南方科技大学 一种pecvd镀膜系统
CN103276369B (zh) * 2013-05-06 2016-02-17 南方科技大学 一种pecvd镀膜系统
CN110741467A (zh) * 2017-05-08 2020-01-31 东京毅力科创株式会社 用于减少的制造环境占用空间的竖直多批次磁性退火系统
CN110741467B (zh) * 2017-05-08 2023-07-07 东京毅力科创株式会社 用于减少的制造环境占用空间的竖直多批次磁性退火系统
CN111341721A (zh) * 2018-12-19 2020-06-26 格芯公司 包括一单晶圆、缩小体积的处理室的系统

Also Published As

Publication number Publication date
EP1166180B1 (en) 2005-11-30
US6846149B2 (en) 2005-01-25
KR20010110471A (ko) 2001-12-13
JP2006216983A (ja) 2006-08-17
TW200401331A (en) 2004-01-16
IL145678A0 (en) 2002-06-30
TWI251252B (en) 2006-03-11
KR100455226B1 (ko) 2004-11-06
DE60024424T2 (de) 2006-07-27
CA2369042A1 (en) 2000-10-12
JP2002541657A (ja) 2002-12-03
US6610150B1 (en) 2003-08-26
EP1166180A4 (en) 2002-11-06
ATE311619T1 (de) 2005-12-15
US20020033136A1 (en) 2002-03-21
WO2000060414A1 (en) 2000-10-12
AU3906900A (en) 2000-10-23
US20010010950A1 (en) 2001-08-02
DE60024424D1 (de) 2006-01-05
EP1166180A1 (en) 2002-01-02
TWI238438B (en) 2005-08-21

Similar Documents

Publication Publication Date Title
CN1348552A (zh) 具有诸垂直堆叠处理室的半导体晶片生产系统和单轴双晶片传送系统
CN1500285A (zh) 连续淀积系统
KR100310249B1 (ko) 기판처리장치
CN1238576C (zh) 通过化学汽相沉积在晶片上生长外延层的无基座式反应器
US6306216B1 (en) Apparatus for deposition of thin films on wafers through atomic layer epitaxial process
CN1643322A (zh) 热处理系统和可成形的垂直腔
US11742235B2 (en) Coaxial lift device with dynamic leveling
KR20080061290A (ko) 기판반송장치, 기판재치선반 및 기판처리장치
CN1943003A (zh) 用于原位薄膜堆积制程的方法及装置
CN1737190A (zh) 磁控溅镀装置
US9177842B2 (en) Degassing apparatus adapted to process substrates in multiple tiers with second actuator
KR100786399B1 (ko) 반도체 처리용 매엽식 열처리 장치 및 방법
KR20220025899A (ko) 동시 기판 이송을 위한 로봇
JP2022540607A (ja) 同時基板移送用ロボット
CN1235367A (zh) 成膜设备和形成结晶硅薄膜的方法
CN1748285A (zh) 用于均匀加热基片的腔室
KR101634694B1 (ko) 멀티형 증착 장치 및 방법
CN114411120A (zh) 一种多腔体化学气相沉积设备
CN111843218A (zh) 基板处理方法、基板处理装置和基板处理系统
WO2010013333A1 (ja) 真空装置及び真空処理方法
JP6772039B2 (ja) 有機金属化学気相成長装置
KR102099109B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP2018157236A (ja) トレイレス基板搬送装置及び該トレイレス基板搬送装置を用いた製膜装置
KR20090093314A (ko) 상압 금속 도핑 장치
JPS6235611A (ja) 気相成長装置

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication