CN1415115A - 在原子层沉积过程中使寄生化学气相沉积最小化的装置和原理 - Google Patents

在原子层沉积过程中使寄生化学气相沉积最小化的装置和原理 Download PDF

Info

Publication number
CN1415115A
CN1415115A CN00818182A CN00818182A CN1415115A CN 1415115 A CN1415115 A CN 1415115A CN 00818182 A CN00818182 A CN 00818182A CN 00818182 A CN00818182 A CN 00818182A CN 1415115 A CN1415115 A CN 1415115A
Authority
CN
China
Prior art keywords
deposition
cvd
reaction
gas
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN00818182A
Other languages
English (en)
Other versions
CN1191614C (zh
Inventor
O·斯讷
C·高勒维斯克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yogi Ness Co
Eugene Technology Co Ltd
Original Assignee
Genus Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Genus Inc filed Critical Genus Inc
Publication of CN1415115A publication Critical patent/CN1415115A/zh
Application granted granted Critical
Publication of CN1191614C publication Critical patent/CN1191614C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides

Abstract

公开了在层积中,例如原子层沉积(ALD)和其它顺序化学沉积(CVD)过程中,避免沉积膜的污染的新方法和装置,其中ALD膜的CVD沉积污染通过使用一种有效地使污染物气体在通入ALD腔室之前在气体输送装置的壁元件上沉积的预热反应室来防止。

Description

在原子层沉积过程中使寄生化学气相 沉积最小化的装置和原理
发明领域
本发明属于化学气相沉积领域,更具体地涉及通过原子层沉积来沉积膜的新方法和装置。本发明是这些新方法的扩展,特别包括防止寄生化学气相沉积和所产生的污染的方法。
发明背景
在集成电路的制造中,必须沉积许多纯的和混合材料薄膜,现已开发出许多技术来实现这类沉积。近年来,在该领域中沉积薄膜的主导技术是化学气相沉积(CVD),其证实具有优异的能力来提供均一平坦的镀层,并相对共形地镀覆通孔并镀覆晶片地貌中的其它高长宽比或不平坦地形。由于器件密度持续增加,几何形状变得越来越复杂,甚至优异的CVD技术共形镀覆也受到了挑战,因而需要新的和更好的技术。
CVD的变化方法,原子层沉积已被认为得到了对均一性和共形性的改进,特别是对于低温沉积。但这种技术的实际实施需要解决更高的纯度和更高的产量问题。本专利满足了这些需求。
原子层沉积
在CVD领域中,作为扩展CVD技术的能力的有前途的备选方案,出现了称为原子层沉积(ALD)的方法,并正在由半导体设备制造者快速开发以进一步改进化学气相沉积的特性。ALD是最初被称为原子层取向生长的一种方法,其权威的文献是:Atomic Layer Epitaxy(原子层取向生长),T.Suntola和M.Simpson编辑,Blackie,Glasgo andLondon出版(1990)。这篇出版物在此引入作为参考。
一般来说,ALD是一种这样的方法,其中将常规的CVD方法分为单一单层沉积步骤,其中各单独的沉积步骤理论上在进行至以单分子或原子单层厚度时达到饱和,并自行终止。
所述沉积是有反应活性的分子前体与基体之间化学反应的结果。与CVD相似,构成所述膜的元素呈分子前体来提供。净反应必须沉积纯的所需的膜并消除构成所述分子前体的“额外”原子(配位体)。在CVD的情况下,同时向CVD反应器中加入分子前体。将基体保持在最适于促进分子前体化学反应并伴随有效解吸附副产物的温度下。由此,进行所述反应以沉积所需的纯膜。
对于ALD应用,将分子前体单独地引入到ALD反应器中。实际做法是,一次流入一种前体,即含有金属元素-M的金属前体-MLX(M=Al,W,Ta,Si等),所述金属元素连接于原子或分子配位体L以构成挥发性分子。所述金属前体反应之后通常进行惰性气体吹扫以在单独地引入其它前体之前在所述腔室中消除这种前体。
这种吹扫步骤(或有时称为抽真空步骤)对不含不希望的CVD组分的ALD膜是关键的。在吹扫步骤(抽空)中,从所述腔室和气体引入管线中除去最后使用的化学品,以使得能够引入不同的化学品。
仅在将表面处理为直接与分子前体进行反应的条件下,ALD反应才会发生。因而,通常将表面制成包含含氢配位体-AH,所述AH有与金属前体的反应活性。表面-分子反应可进行至与表面上的所有配位体都进行了反应并沉积了金属与其钝化配位体的单层:基体-AH+MLX→基体-AMLY+HL,其中HL是交换反应副产物。在反应过程中,初始表面配位体-AH被消耗,表面变得被L配位体覆盖,该配位体不能再与金属前体-MLX进行反应。因而,当所有初始表面配位体均被--MLX类所置换时所述反应自饱和。
在金属前体反应完成后,在引入另一前体之前,从所述反应器中除去所有的金属前体。使用第二种类型的前体来恢复表面对金属前体的反应活性,即消除L配位体并再沉积AH配位体。
大多数ALD方法已应用于沉积化合物膜。在这种情况下,所述第二种前体由所需的(通常为非金属的)元素组成-A(即O、N、S)、和氢,使用例如H2O、NH3、或H2S。所述反应:-ML+AHZ→-M-AH+HL(为简化起见,所述化学反应未进行配平)将表面重新转化为被AH覆盖。沉积所需的添加元素-A,并将配位体L呈挥发性副产物消除掉。所述反应再次消耗反应活性部位(这次为L封端部位)并当反应性部位完全耗尽时自饱和。
将表面恢复至起点的表面反应的顺序称为ALD沉积循环。恢复至初始表面是ALD的关键。其意味着所述膜可呈相等的计量顺序来分层沉积,所述顺序的化学动力学、每次循环的沉积量、组成和厚度完全相同。自饱和表面反应使得ALD对无论来自流动工程(flowengineering)或表面形貌(即在高的长宽比结构上沉积)的不均一性的传导都不敏感。不均一的流量仅可导致在不同区域的不同的完成时间。但,如使各反应在全部区域上完成,不同的完成动力学没有不利的影响。
对方法的开发常常是这样的,对新技术的最初预期的优点最终不能达到其全部最初预期。不利的是,ALD具有严重的基本问题。与连续稳态性的CVD反应不同,ALD反应按照分子-表面相互作用机理来进行。分子-表面反应动力学取决于分子前体与表面反应性部位之间的各个反应速率以及可利用的反应性部位的数量。在反应进行终止时,表面由反应性转化为非反应性。因而在沉积过程中反应速率是逐渐下降的。在最简单的情况下,速率dN/dt与反应性部位数量成正比,dN/dt=-kN,其中N为反应性部位的数量,k为(单个部位)反应速率。反应性部位的消耗(或已反应过的部位的增长)与时间为指数关系kN(t)=kN0exp(-kt)。分子-表面机理的这种基本性质以伟大的科学家Langmuir来命名,在所述领域中是广为公知的。
对Langmuirian动力学限制的解释说明了ALD的严重缺陷以及与理想图形的严重偏差。因此,自终止反应从来没有理想地自终止(它们需要无限的时间,因为速率呈指数下降)。这意味着在实际条件下,表面在沉积循环后根本不能反应至完全。如表面不完全进行反应,则在膜上留有不需要的元素。例如,如MLX反应不能完全消耗表面-AH部位,则所述膜会有H混入。类似的,如AHY反应不进行至完成,则不需要的L的混入是不可避免的。显然,膜的质量取决于杂质量的量。产量-质量之间的折衷是特别值得关心的,因为其需要指数关系的产量损失来达到杂质数量的下降。
在常规的原子层沉积中,必须接受低的产量来达到高纯度的膜,或接受较低纯度的膜来实现较高的产量。显然需要一种装置和方法,其不仅克服了Langmuirian限制,而且同时提供了比现有技术的方法更高纯度的膜。在本发明的实施方案中提供了这类装置和方法,以下对其详细叙述。
除上述理想情况外,ALD化学品如在上述实例中的MLX和AHZ通常是极有反应活性的,即使其在腔室中以痕量同时存在,也会导致大量的不需要的CVD副反应。由于CVD是极不需要的伴生过程,对于高产量ALD装置的加工来说,快速和有效吹扫是最难实现和富有挑战的方面。
化学品输送管线必须短且不含截留体积以利于有效吹扫化学品。然而,对实现有效吹扫的一些限制来自难以避免的管线表面渗气(outgassing)。因而,以生产中有限的短吹扫时间不可能排除一些痕量的化学品的混合。因此需要一种快速方法来在引入所需的新化学品前体之前除去痕量的先前使用的化学品前体。
我们的发明满足了该明显和当前的需求,作为一种装置和方法,提供了一种ALD预反应器,其消除了痕量的化学品的混合,使得在基体上的ALD膜中没有CVD成分。
发明简述
在本发明的优选实施方案中,提供了在原子层沉积过程中将寄生化学气相沉积减至最小的方法,其包括如下步骤:(a)在气体源和将要镀覆的基体之间设置预反应室;和(b)在所述预反应室中将一表面加热至足以使污染物元素通过CVD反应沉积在所述加热表面上的温度。
在另一实施方案中,提供了用于原子层沉积系统的预反应室,其包括自气体源向气体分布装置以交替、渐增方式输送气体的通道;和在所述预反应室中的加热表面,用于在气体进入气体分布装置之前使污染物元素沉积。
在以下详细叙述的本发明的实施方案中,首次提供了一种方法和装置用于在原子层沉积过程中有效除去污染物气体,结果使循环次数显著增加。
附图简要说明
图1是用于实施本发明实施方案的自由基辅助顺序CVD方法(radical-assisted sequential CVD process)的反应器和相关装置的概括图。
图2是图示原子层沉积法的必要步骤的步骤图。
图3是图示按照本发明实施方案的自由基辅助顺序CVD方法中步骤的步骤图。
图4图示的是对于具有良好设计的气流源和脉冲子系统的系统的典型的与时间相关的化学品前体分压曲线。
图5表示的是与时间相关的化学品前体分压曲线,其中通过实施本发明的实施方案而达到了有明晰界限的“流出(flow off)”条件。
图6是实现本发明改进实施方案的自由基辅助顺序CVD的反应器和相关装置的概括图,所述改进实施方案消除了不需要的CVD副反应。
图7图示了图6中反应器的第二种实施方案。
图8图示了图6中反应器的第三种实施方案。
图9图示了图6中反应器的第四种实施方案。
优选实施方案的说明
本发明人设计了一种ALD增强型变体,其改变了ALD的常规表面处理步骤,克服了常规ALD的问题,在不损害质量的条件下得到了高的产量。本发明人将所述新的独特的方法称为自由基辅助顺序CVD(RAS-CVD)。
图1是用于实施本发明一种实施方案的RAS-CVD的系统11的概括图。在这种系统实例中,沉积室13具有用于支撑和加热所要镀覆的基体19的可加热炉床,和气体分布装置,如喷头(Showerhead)15,用于将气态物质输送到要进行镀覆的基体表面上。基体通过阀21和未示出的基体操作装置引入和从腔室13中移出。气体由气体源和脉冲装置23提供,其包括计量和阀控装置用于顺序提供气体物质。可提供任选的处理装置25用于由装置23提供的气体产生气体自由基。
术语“自由基”是本领域中公知且可理解的,为避免混淆在这里再次对其进行限制。自由基是指不稳定的物质。例如,氧在双原子形态是稳定的,且在自然中主要以这种形态存在。但双原子氧可分裂为单原子形态,或与另一个原子化合形成臭氧,一种具有三个原子的分子。单原子氧和臭氧均是氧的自由基形态,且比双原子氧更具有反应活性。在本发明实施方案的许多情况下,所生产和使用的自由基是各种气体的单原子形态,所述气体如氧、氢、和氮,但本发明并不严格限定于单原子气体。
图2是常规原子层沉积过程的步骤图,其作为本发明的对比和背景知识来提供。在常规ALD中,如图2中所示,在步骤31中将第一分子前体脉冲输送到反应器室中,并与表面进行反应产生(理论上)单层的所需材料。在这些方法中前体时常为含金属气体,且沉积的材料是金属;例如TaCl5中的钽。
在通用方法的步骤33中将惰性气体脉冲输送到反应器室中以从所述室中吹扫出过量的第一前体。
在常规系统的步骤35中将第二种前体(通常为非金属性的)脉冲输送到反应器中。这种第二前体的主要目的是将基体表面调整为对第一种前体有反应活性。在许多情况下,第二种前体还由气体分子提供了与在表面上的金属进行化合的材料,与新沉积的金属形成化合物如氧化物或氮化物。
在步骤37,反应器室再次进行吹扫以除去过量的第二种前体,然后重复步骤31。该循环重复进行直到得到所需的膜。
图3是图示本发明实施方案的自由基辅助CVD方法中步骤的步骤图。在图3所示的独特方法中,第一步骤,步骤41和43是与常规方法中相同的。在步骤41脉冲输送第一种前体与基体表面进行反应形成单层沉积物,在步骤43中对所述室进行吹扫。下一步骤是独特的。在步骤45中,将一种或多种自由基物质脉冲输送到基体表面以对表面任选地提供第二种物质并将所述表面调整为对在随后步骤中的第一种前体有反应活性。然后重复步骤41。没有必要进行第二次吹扫,且所述循环重复进行直到得到所需的膜。
步骤45可是涉及单一自由基物质的单一的步骤。例如,第一种前体可沉积金属,如来自WF6的W,在步骤45中的自由基物质可为原子氢。原子氢快速并有效地将残余的F中和为HF,使表面以原子氢为端基,提供了对下一WF6脉冲的反应性表面。
在许多情况下,步骤45是复合步骤,其包含涉及不同自由基物质的子步骤。一个较好的实例是按如下次序,原子氢,接着是原子氧,接着又是原子氢。第一个氢步骤中和了Cl或其它残余的配位体,原子氧提供了新沉积金属的氧化物,第二原子氢使表面以(OH)为端基,为下一金属前体步骤做准备。
在步骤45中有宽种类范围的材料和组合,以下对其中多种进行详细叙述,同时对工艺化学进行完整的说明。
在RAS-CVD中,在金属前体反应之后,引入高反应活性的自由基物质以快速与金属前体反应产物进行反应,使表面为下一金属前体反应做好准备。自由基物质,如以上所引入的,是反应性原子或分子片段,它们在化学性质上是不稳定的,因而极具反应活性。另外,自由基实际上以100%的效率化学吸附到表面上。可以若干种途径来产生自由基,已发现等离子体制备法是有效和适宜的制备途径。
RAS-CVD方法仅使用单一的分子前体,在许多情况下是金属前体。表面的准备以及非金属元素的沉积通过原子-表面反应来实现。在金属前体反应之后,以-ML为端基的表面与氢原子进行反应将表面转化为-MH并消除了HL副产物。与分子-表面反应不同,原子-表面反应不取决于反应性部位的数量密度。大多数原子(除惰性气体外)很有效地以不可逆的过程粘附到表面上,因为原子脱附通常是不利的。原子在非反应性部位上是极有流动性的,在反应性部位上是很有反应活性的。相应的,原子-表面反应具有线性的与暴露相关的(linear exposuredependence)关系,并具有高速率。
-MH表面可与A原子进行反应产生-M-A-表面。在这种情况下,一些H配位体可呈AHY被消除。例如,-MH表面可与氧原子进行反应来沉积氧化物。-MH表面还可再与MLX反应来进行M金属膜的原子层受控沉积。为沉积氮化合物膜,A为原子氮。在A原子反应之后,表面以A-和AH封端。在这时,添加的原子与氢反应将所述表面转化为对金属前体有反应活性的所需的AH配位体。MH表面还可与A和H原子的混合物进行反应,以减少了一个步骤的过程来将所述表面转化为以-AH封端的表面。所有上述反应均是自由基一表面反应,所述反应是快速和有效的并与暴露成线性关系。另外,最终的氢反应在没有引入任何杂质的情况下导致完全恢复至初始的表面。
RAS-CVD的另一与产量有关的优点是在金属前体步骤后只需要单一的吹扫步骤,而不是常规方法中所需要的两个吹扫步骤。多数研究者认为吹扫步骤是在ALD过程中最显著的限制产量的步骤。另一优点是RAS-CVD可使系统具有更长的正常运行时间并减少了维修量。这是因为原子物质可在沉积单元的铝壁上有效地骤冷。因而实际上消除了在所述室和泵送管线上的下游沉积。RAS-CVD排除了H2O和NH3的使用,而在现有技术中这两者(分别)用来沉积氧化物和氮化物。这些前体公知增加了维修量和真空系统的停机时间。
按照上述用于金属氧化物膜的典型RAS-CVD循环包括如下步骤:
1.金属前体与以-OH(羟基)封端的表面进行反应以连接-O-MLY并通过HL脱附来消除氢。所述表面变得被L配位体所覆盖,即在TaCl5的情况下,所述表面变得被Cl原子所覆盖。
2.用惰性气体吹扫以清除过量的金属前体。
3.原子氢步骤-通过HL脱附来消除配位体L并使所述表面以氢封端。
4.原子氧步骤-与单层金属进行反应以形成氧化物。为进行下一金属前体步骤,原子氢再次离开被羟基所饱和的表面。
这时,通过将步骤4+5进行多次可改进氧化物膜的质量(即绝缘性能、介电强度、电荷捕集性(charge trapping))。例如,由三甲基铝Al(CH3)3、氢和氧作用可实现Al2O3 RAS-CVD。Al(CH3)3与-OH封端的表面的反应使得在甲烷(CH4)脱附的同时会沉积-OAl(CH3)x。-OAl(CH3)x(x=1,2)表面用H处理以消除数量为x的甲烷分子并使所述表面以-OAlH封端。在连续(或同时)与O原子和H原子的反应之后,这种表面变为以OAl-OH封端,这是复原态。在这时,可通过施行另一Al(CH3)3反应来进行RAS-CVD方法。另外,-OAl-OH表面还可经受另一循环的O和H原子作用。在高于100℃的温度下,这一方法会使OH基团与Al-O-Al桥部位交换,且产生的-OAl-OH表面比最初的表面在热力学上是更有利的,因为所述方法消除了张力更大的(Al-O-)n环结构以及消除(titrating away)缺陷和断裂的键。由于原子反应是相当快的,这些质量改进预期不会成为产量的主要影响因素。事实上,最终的质量可通过将O、H循环施行数次来实现。在进行给定次数的O、H原子反应后,所述顺序继续进行下一Al(CH3)3反应。
6.重复自1的步骤。
对于金属氮化物来说,用氮来取代氧。为进行纯金属沉积,可消除氧/氮步骤以利于单原子氢步骤,例如对于钨膜。在第一原子氢步骤之后为氢所饱和的表面是与WF6有反应活性的,可生成纯金属。
RAS-CVD的一般性质对不同氧化物、不同氮化物、氧化物与氮化物、不同金属和金属与化合物膜的多层组合膜是有利的。
在适用于阻挡层的另一独特的方法中,可将WN方法与纯W方法结合来以各种历程产生交替的W和WN层,从而抑制多晶化并减少阻挡层的电阻率。例如电迁移的其它性能可通过在用于这类应用的铜的界面上提供氮含量逐级变小的WN层的性能来控制。
在本发明的实施方案中,可实施很宽种类的化学过程,从而提供很宽种类的最终的膜。例如,在纯金属领域,以下提供了部分但为非限制性的系列:
1.由六氟化钨沉积钨。
2.由五氯化钽沉积钽。
3.由三氯化铝或三甲基铝沉积铝。
4.由四氯化钛或四碘化钛沉积钛。
5.由六氟化钼沉积钼。
6.由二氯化锌沉积锌。
7.由四氯化铪沉积铪。
8.由五氯化铌沉积铌。
9.由Cu3Cl3沉积铜。
在氧化物领域,提供了部分但为非限制性的系列:
1.由五氯化钽沉积五氧化二钽。
2.由三甲基铝或三氯化铝沉积氧化铝。
3.由四氯化钛或四碘化钛沉积氧化钛。
4.由五氯化铌沉积五氧化二铌。
5.由四氯化锆沉积氧化锆。
6.由四氯化铪沉积氧化铪。
7.由二氯化锌沉积氧化锌。
8.由六氟化钼或五氯化钼沉积氧化钼。
9.由二氯化锰沉积氧化锰。
10.由四氯化锡沉积氧化锡。
11.由三氯化铟或三甲基铟沉积氧化铟。
12.由六氟化钨沉积氧化钨。
13.由四氯化硅沉积二氧化硅。
在氮化物领域,以下提供了部分但为非限制性的系列:
1.由六氟化钨沉积氮化钨。
2.由五氯化钽沉积氮化钽。
3.由三氯化铝或三甲基铝沉积氮化铝。
4.由四氯化钛沉积钛。
5.由四氯化硅或二氯硅烷沉积氮化硅。
6.由三甲基镓沉积氮化镓。
硬件要求
RAS-CVD的另一优点是,其在多数情况下与ALD方法的硬件兼容。显著的区别在于产生的原子物质和/或其它自由基,以及气体加入到操作室中的时间选择和次序。可按若干种途径来产生原子物质,例如(1)现场等离子体发生,(2)喷头内等离子体发生,和(3)通过高密度远程(remote)等离子体源或通过如UV解离或亚稳态分子的解离来在外部发生。再参照图1,这些方法和装置总体通过装置25来来图示。
在所述选择方案中,现场发生是最简单的设计,但存在若干问题,如开机-关机次数会对产量产生限制。喷头内发生方式所表现出的优点是,使原子物质的发生与ALD空间相分离。在本说明书中,优选方法是通过高密度源来远程发生,这是因为这是最通用的方法。自由基在远程源中产生并输送到ALD体(volume),通过喷头分布在所述方法的晶片上。
本领域技术人员显而易见,作为上述实施方案的变体,在本发明的范围内存在多种可实施的选择方案。一些已进行过叙述。例如,所需物质的自由基如氢、氧、氮可在若干种途径来发生并输送到所述方法的步骤中。另外,ALD腔室、气体分布、阀控、计时等均可在许多具体细节上进行变化。再有,可产生许多金属、氧化物、氮化物和类似物,且所述方法的步骤可进行改变并可交错进行以产生分级(graded)和交替的膜。
在原子层沉积过程中使寄生化学气相沉积最小化的装置和原理
在本发明其它实施方案中,提供了在ALD过程中防止CVD沉积产生的污染的装置和方法。图4是对使用化学品前体物质快速脉冲和吹扫步骤的运行良好的系统的概括了的化学品前体分压与时间关系曲线46。各活性化学品前体的分压47定性地图示于所述图的Y轴,而X轴表示时间。为简便起见图示了前体“A”49和前体“B”50的分压。具有两种以上前体的系统的行为表现相类似,只是对各化学品有独特的分压峰。
在图4中要特别指出的是,在各脉冲起始时各前体分压快速升高,在各脉冲结束时有独特的“尾线”。这种“尾线”表示一种不希望的情况,其中所述前体没有完全从沉积系统中除去。这种尾线是各种实际现象的结果,如,例如,气体分子与导管和腔室表面具有一定的亲合力,在气流关断后这些表面捕集的分子在系统中持续析出,在真空领域该现象称为渗气。如将下一种前体引入到图6的沉积室59中,在前一种前体仍存在的情况下,会发生不希望的CVD副反应,并污染在图6的基体61上的所需的膜。除污染所述膜外,不希望的CVD反应会在气相中成核,导致在基体61上或沉积室59中产生不希望的颗粒聚集。
图5是对运行良好的系统的理想的化学品前体物质分压与时间关系曲线51,其中所述系统使用化学品前体物质的快速脉冲、吹扫步骤、和在本专利应用中所包括的新颖的预反应器。各活性化学品前体的分压52定性地图示于所述图的Y轴,而X轴表示时间。为简便起见图示了前体“A”54和前体“B”55的分压。具有两种以上前体的系统的行为表现相类似,只是对各化学品有独特的分压峰。
在图5中要特别指出的是,在各脉冲起始时各前体分压快速升高,在各程序化的流动脉冲结束时快速下降。图4所示独特的化学品“尾线”被消除,这主要是由于本发明所述新颖的预反应器的结果。用来除去引起这种“尾线”效应的痕量化学品前体的方法和装置在以下进行详细叙述。
图6是用于实施本发明另一种实施方案的RAS-CVD的系统56的简图。虽然作为实例使用了RAS-CVD,但本发明人要清楚指出的是,本发明的装置和方法不局限于RAS-CVD,而是可普遍地应用于所有种类的ALD和许多其它顺序CVD方法中。
在这种系统实例中,沉积室59具有用于支撑和加热所要镀覆的基体61的可加热炉床,和气体分布装置,例如喷头60,用于将气体类输送到所要镀覆的基体表面。基体经阀64和未图示的基体操作装置来引入并从室59中移出(编号65)。由气体源和脉冲装置57来提供气体,所述装置包括计量和阀控装置以按顺序提供气体物质。提供任选的处理装置58来由装置57所提供的气体产生气体自由基。这一系统添加预反应器66来提供对不需要的CVD副反应的改进的控制。
所述预反应器可呈各种形态,在图6、7、8和9中图示了一些可行的变体形式,以下对其进行详细叙述。所有图均使用气体源和脉冲装置57、用于产生自由基的任选的处理装置58、气体分布装置60、沉积室59、用于加热基体61的加热炉床62、废弃化学品排出系统63、基体进入和移出65的阀64。这些物品在这一系统实例中是相同的。另外,图示的是全部预反应器的一些而非全部的实施方式。例如,在一种实施方案中,气体分布装置如喷头可起两种作用,也可作为预反应器室。
在图6中,预反应器室66图示为实体上独立的室,在所述加工气体通道中其位于产生气体自由基的任选的处理装置和气体分布喷头之间。预反应过程可在具有足够活化能的任何表面上发生,所述活化能由加热、RF等离子体、UV或其它途径来提供。
图7是用于实施本发明另一实施方案中RAS-CVD的系统67的简图。在图7中,图示了两种预反应器68的实施方案。第一种是将预反应器68加入到气体分布喷头60中。在这种情况下,使不希望的CVD副反应在气体分布喷头68内的独立、加热表面上发生。这种加热表面可种类很宽的方式来提供,且预反应器室的形态可呈种类很宽的形态,举例来说,例如为,长的、盘绕加热管。不需要的化学品“尾线”的快速除尽消除了在基体上发生副反应的可能性,减少了各种化学反应物进入所述系统之间的时间。在一种优选实施方案中,预反应的必需热量输入由喷头装置与基体61的接近来提供,使得热量由加热炉传递到所述基体上。
图8是提供了实施RAS-CVD的系统69的本发明另一种实施方案的简图。在图8中,图示了两种预反应器70的实施方案。第一种是将预反应器70加入到气体分布喷头60中,其在原理上与图7相似。在这种情况下,使不希望的CVD副反应在气体分布喷头68自身的加热表面上发生,在这一实施方案中,通过加热炉床62和基体61这些部件与喷头60接近来对喷头进行加热。同样,不需要的化学品“尾线”的快速除尽消除了在基体上发生副反应的可能性,减少了各种化学反应物进入所述系统之间的时间。
图9是在本发明的再另一实施方案中用于实施RAS-CVD的系统71的简图。在图9中,图示了两种预反应器72的实施方案。第一种是将预反应器72加入到气体分布喷头60中,其在原理上与图7相似。但在这种新的实施方案中,通过使用喷头内产生的RF等离子体对不需要的CVD副反应进行活化来使不希望的CVD副反应在气体分布喷头68预反应器72组合体内发生。这种方法使得不需要的化学品“尾线”的快速除尽,消除了在基体上发生副反应的可能性,减少了各种化学反应物进入所述系统之间的时间。
除这些变化形式外,对技术人员显而易见,通过在这里叙述的过程中以可沉积两种、三种或更多种金属的合金的方式来引入交替的加工步骤,可沉积出两种、三种或更多种组分的化合物,并可制备出如分级膜和纳米层合体的这类材料。这些变化形式是以交替循环方式、通常为现场方式使用本发明具体实施方案的简单变换。在本发明的实质和范围内存在许多其它变化形式,所以本发明仅由后附的权利要求书来限定。另外,特别是参照本发明的预反应室方面,对技术人员显而易见,与所述实施方案的各种偏离仍属于本发明的实质和范围,再有,还可对实施方案和变化形式进行组合。例如,在本发明范围内等离子体活化可简易地用于预反应室中,其中所述室自身可呈种类很宽的任何形态。

Claims (2)

1.在原子层沉积过程中将寄生化学气相沉积减至最小的方法,其包括如下步骤:
(a)在气体源和所要镀覆的基体之间设置预反应室;和
(b)在所述预反应室中将一表面加热至足以使污染物元素通过CVD反应沉积在所述加热表面上的温度。
2.用于原子层沉积系统的预反应室,其包括:
自气体源向气体分布装置以交替、渐增方式输送气体的通道;和
在所述预反应室中的加热表面,用于在气体进入气体分布装置之前使污染物元素沉积。
CNB008181829A 1999-12-17 2000-11-21 在原子层沉积过程中使寄生化学气相沉积最小化的装置和原理 Expired - Lifetime CN1191614C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/466,100 US6305314B1 (en) 1999-03-11 1999-12-17 Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US09/466,100 1999-12-17

Publications (2)

Publication Number Publication Date
CN1415115A true CN1415115A (zh) 2003-04-30
CN1191614C CN1191614C (zh) 2005-03-02

Family

ID=23850468

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB008181829A Expired - Lifetime CN1191614C (zh) 1999-12-17 2000-11-21 在原子层沉积过程中使寄生化学气相沉积最小化的装置和原理

Country Status (9)

Country Link
US (2) US6305314B1 (zh)
EP (1) EP1238421B1 (zh)
JP (1) JP2003517731A (zh)
KR (1) KR100522951B1 (zh)
CN (1) CN1191614C (zh)
AT (1) ATE388484T1 (zh)
AU (1) AU1925401A (zh)
DE (1) DE60038250T2 (zh)
WO (1) WO2001045158A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100461343C (zh) * 2005-09-28 2009-02-11 中芯国际集成电路制造(上海)有限公司 用于半导体器件的使用预处理的材料原子层沉积的方法
CN105908151A (zh) * 2016-03-01 2016-08-31 江南大学 一种纳米薄膜的原子层沉积定量建模方法
CN103531448B (zh) * 2008-07-03 2016-12-28 应用材料公司 用于原子层沉积的设备

Families Citing this family (285)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (sv) * 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
FI110311B (fi) 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
KR100323874B1 (ko) * 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
KR100356473B1 (ko) * 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
TW496907B (en) * 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
US7060132B2 (en) * 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
TW508658B (en) * 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
KR100331964B1 (ko) * 2000-06-08 2002-04-10 김경균 원자층 증착 설비 및 이를 이용한 원자층 증착 방법
KR20010114050A (ko) * 2000-06-20 2001-12-29 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6969539B2 (en) 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
EP1351283A4 (en) * 2000-12-05 2006-01-25 Tokyo Electron Ltd METHOD AND DEVICE FOR TREATING AN ARTICLE TO BE TREATED
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6461436B1 (en) 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
WO2003044242A2 (en) * 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
FR2842829B1 (fr) * 2002-07-25 2004-09-24 Memscap Structure multicouche, utilisee notamment en tant que materiau de forte permittivite
US6713199B2 (en) * 2001-12-31 2004-03-30 Memscap Multilayer structure used especially as a material of high relative permittivity
CN101818334B (zh) * 2002-01-17 2012-12-12 松德沃技术公司 Ald装置和方法
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6787185B2 (en) 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
WO2003083167A1 (en) * 2002-03-28 2003-10-09 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
GB0211354D0 (en) * 2002-05-17 2002-06-26 Surface Innovations Ltd Atomisation of a precursor into an excitation medium for coating a remote substrate
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
TWI278532B (en) * 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100476370B1 (ko) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
JP4065516B2 (ja) * 2002-10-21 2008-03-26 キヤノン株式会社 情報処理装置及び情報処理方法
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
US20040177813A1 (en) * 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US6716693B1 (en) 2003-03-27 2004-04-06 Chartered Semiconductor Manufacturing Ltd. Method of forming a surface coating layer within an opening within a body by atomic layer deposition
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US6903013B2 (en) * 2003-05-16 2005-06-07 Chartered Semiconductor Manufacturing Ltd. Method to fill a trench and tunnel by using ALD seed layer and electroless plating
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
WO2005003406A2 (en) * 2003-06-27 2005-01-13 Sundew Technologies, Llc Apparatus and method for chemical source vapor pressure control
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7078302B2 (en) * 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7087497B2 (en) * 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US20050210455A1 (en) * 2004-03-18 2005-09-22 International Business Machines Corporation Method for generating an executable workflow code from an unstructured cyclic process model
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
DE102004061094A1 (de) * 2004-12-18 2006-06-22 Aixtron Ag Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen sowie dazu geeignete Ausgangsstoffe
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
CN100595974C (zh) * 2005-03-30 2010-03-24 松下电器产业株式会社 传输线
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
DE102006038885B4 (de) * 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070066023A1 (en) * 2005-09-20 2007-03-22 Randhir Thakur Method to form a device on a soi substrate
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US20070128862A1 (en) 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US7727912B2 (en) * 2006-03-20 2010-06-01 Tokyo Electron Limited Method of light enhanced atomic layer deposition
US8176871B2 (en) * 2006-03-28 2012-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
DE102006027932A1 (de) * 2006-06-14 2007-12-20 Aixtron Ag Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US7588980B2 (en) 2006-07-31 2009-09-15 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
DE112007001814T5 (de) 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara Verfahren zum Bilden kohlenstoffhaltiger Siliziumepitaxieschichten
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7692222B2 (en) 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
WO2008108754A1 (en) * 2007-03-06 2008-09-12 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
KR100956210B1 (ko) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8252112B2 (en) * 2008-09-12 2012-08-28 Ovshinsky Innovation, Llc High speed thin film deposition via pre-selected intermediate
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7842533B2 (en) * 2009-01-07 2010-11-30 Robert Bosch Gmbh Electromagnetic radiation sensor and method of manufacture
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US8491720B2 (en) * 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
US8183132B2 (en) 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
CN102449743A (zh) * 2009-04-24 2012-05-09 应用材料公司 用于后续高温第三族沉积的基材预处理
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
WO2010127156A2 (en) * 2009-04-29 2010-11-04 Applied Materials, Inc. Method of forming in-situ pre-gan deposition layer in hvpe
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20110065287A1 (en) * 2009-09-11 2011-03-17 Tokyo Electron Limited Pulsed chemical vapor deposition of metal-silicon-containing films
JP2011168881A (ja) * 2010-01-25 2011-09-01 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
US8642473B2 (en) 2011-03-04 2014-02-04 Applied Materials, Inc. Methods for contact clean
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
EP2746423B1 (en) * 2012-12-20 2019-12-18 Applied Materials, Inc. Deposition arrangement, deposition apparatus and method of operation thereof
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
KR102115337B1 (ko) * 2013-07-31 2020-05-26 주성엔지니어링(주) 기판 처리 장치
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
KR102263554B1 (ko) 2013-09-27 2021-06-09 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-충전을 가능하게 하는 방법
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US10384199B2 (en) 2014-07-17 2019-08-20 Reliance Industries Limited Modified heterogeneous catalyst
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
TWI564425B (zh) * 2015-07-21 2017-01-01 Atomic layer oxidation and reduction of material modification process
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
EP4321649A2 (en) 2017-11-11 2024-02-14 Micromaterials LLC Gas delivery system for high pressure processing chamber
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TWI740046B (zh) 2018-05-28 2021-09-21 國立清華大學 原子層沉積方法及鈷金屬膜
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11430661B2 (en) * 2018-12-28 2022-08-30 Applied Materials, Inc. Methods and apparatus for enhancing selectivity of titanium and titanium silicides during chemical vapor deposition
US11649560B2 (en) 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
TW202111825A (zh) * 2019-07-29 2021-03-16 美商應用材料股份有限公司 原子層沉積之多層封裝堆疊
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US5015503A (en) * 1990-02-07 1991-05-14 The University Of Delaware Apparatus for producing compound semiconductor thin films
US5320680A (en) 1991-04-25 1994-06-14 Silicon Valley Group, Inc. Primary flow CVD apparatus comprising gas preheater and means for substantially eddy-free gas flow
JPH08236459A (ja) * 1995-02-27 1996-09-13 Sony Corp Cvd装置
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
KR19980021208A (ko) * 1996-09-14 1998-06-25 김광호 화학기상증착 장비
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6051276A (en) * 1997-03-14 2000-04-18 Alpha Metals, Inc. Internally heated pyrolysis zone
US6007330A (en) * 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100461343C (zh) * 2005-09-28 2009-02-11 中芯国际集成电路制造(上海)有限公司 用于半导体器件的使用预处理的材料原子层沉积的方法
CN103531448B (zh) * 2008-07-03 2016-12-28 应用材料公司 用于原子层沉积的设备
CN105908151A (zh) * 2016-03-01 2016-08-31 江南大学 一种纳米薄膜的原子层沉积定量建模方法
CN105908151B (zh) * 2016-03-01 2018-11-30 江南大学 一种纳米薄膜的原子层沉积定量建模方法

Also Published As

Publication number Publication date
EP1238421A4 (en) 2006-06-21
CN1191614C (zh) 2005-03-02
US20010000866A1 (en) 2001-05-10
WO2001045158A1 (en) 2001-06-21
EP1238421B1 (en) 2008-03-05
AU1925401A (en) 2001-06-25
DE60038250T2 (de) 2008-06-19
KR20020063234A (ko) 2002-08-01
DE60038250D1 (de) 2008-04-17
US6451119B2 (en) 2002-09-17
JP2003517731A (ja) 2003-05-27
KR100522951B1 (ko) 2005-10-24
ATE388484T1 (de) 2008-03-15
US6305314B1 (en) 2001-10-23
EP1238421A1 (en) 2002-09-11

Similar Documents

Publication Publication Date Title
CN1415115A (zh) 在原子层沉积过程中使寄生化学气相沉积最小化的装置和原理
KR100489140B1 (ko) 라디칼-보조 순차 cvd
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
CN1479805A (zh) 薄膜形成方法及薄膜形成装置
CN1306571C (zh) 金属硅化物膜的制作方法和金属氧化物半导体器件
CN1266308C (zh) 半导体处理用的成膜方法
CN1860587A (zh) 利用间歇前驱气流工艺形成金属层的方法
CN1821440A (zh) 通过循环沉积制备金属硅氮化物薄膜的方法
CN1768158A (zh) 纳米层沉积法
CN1374890A (zh) 使用组合化学品原位清洗半导体制造装置的方法和系统
CN1934287A (zh) 用于高介电常数含铪介电材料的原子层沉积的装置和方法
CN1990492A (zh) 用于金属硅氮化物、氧化物或氮氧化物的ALD/CVD的Ti、Ta、Hf、Zr及相关金属硅氨化物
CN100472724C (zh) 利用连续流沉积来沉积金属层的方法
CN1575517A (zh) 作为用于铜金属化的阻挡层的原子层沉积氮化钽和α相钽
CN1513201A (zh) 沉积方法、沉积设备、绝缘膜及半导体集成电路
TW202010746A (zh) 含錫之前驅物及沉積含錫薄膜之方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CP01 Change in the name or title of a patent holder

Address after: California, USA

Patentee after: Excelon Co.

Address before: California, USA

Patentee before: Aixtron, Inc.

CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Yogi Ness Co.

Address before: American California

Patentee before: Eugene technology Co.

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20180619

Address after: American California

Patentee after: Eugene technology Co.

Address before: California, USA

Patentee before: Excelon Co.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20050302