CN1427456A - 在半导体器件上形成多孔介电材料层的方法及形成的器件 - Google Patents

在半导体器件上形成多孔介电材料层的方法及形成的器件 Download PDF

Info

Publication number
CN1427456A
CN1427456A CN01143895A CN01143895A CN1427456A CN 1427456 A CN1427456 A CN 1427456A CN 01143895 A CN01143895 A CN 01143895A CN 01143895 A CN01143895 A CN 01143895A CN 1427456 A CN1427456 A CN 1427456A
Authority
CN
China
Prior art keywords
dielectric material
forms
layer
temperature
porous dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN01143895A
Other languages
English (en)
Other versions
CN1236479C (zh
Inventor
蒂莫西·J·多尔顿
斯蒂芬·E·格雷科
杰弗里·C·赫德里克
萨泰亚纳雷亚纳·V·尼塔
桑佩思·珀鲁肖瑟曼
肯尼思·P·罗德贝尔
罗伯特·罗森堡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24974382&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN1427456(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1427456A publication Critical patent/CN1427456A/zh
Application granted granted Critical
Publication of CN1236479C publication Critical patent/CN1236479C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明公开了一种在电子结构中形成多孔介电材料层的方法以及所形成的结构。在此方法中,半导体器件中的多孔介电层可以通过以下步骤形成:首先形成无孔介电层,然后局部固化,通过反应离子刻蚀构图,最后在比局部固化温度更高的温度下固化无孔介电层,用以将无孔介电材料转变成多孔介电材料,从而获得具有明显改善的介电常数,即小于2.6的介电材料。无孔介电材料可以通过以下方法形成:将第二相聚合材料埋入诸如甲基倍半硅氧烷、氢倍半硅氧烷、苯并环丁烯或芳香族热固性聚合物的热稳定介电材料内,使得在更高的固化温度,第二相聚合材料充分挥发而留下气孔,形成充满气孔的介电材料。

Description

在半导体器件上形成多孔介电材料层的方法及形成的器件
                         技术领域
本发明主要涉及在电子结构上形成介电材料层的方法和所形成的结构,更具体地,涉及在电子结构上形成多孔介电材料层的方法以及由这种材料形成的电子结构,该方法首先形成无孔介电材料层,然后局部固化、构图,最后在比局部固化中所采用的温度更高的温度下固化该层,以将无孔介电材料转变成多孔介电材料。
                        背景技术
在半导体器件近来的发展中,对器件的不断小型化要求使用有更优越性能的电子材料。例如,在半导体器件中用作绝缘层的介电材料必须具有更低的介电常数以提供更小的信号传播延迟。因而,重要的是提供具有优越绝缘性能的介电材料,例如用于当前和将来半导体器件应用的减小的介电常数。
提供具有改进的绝缘性能,即更低介电常数的介电材料层的解决方案之一是使用包含气孔的介电材料。充满气孔的或多孔的介电材料具有比相同材料的完全致密的无孔形式具有更低的介电常数。然而,在利用多孔介电材料,即当这些材料首先在电子器件中形成,然后经历借助反应离子刻蚀(RIE)的构图工艺时,问题出现了。这些材料的所需多孔结构的特殊特性使它们在暴露于反应离子刻蚀工艺中所使用的刻蚀气体中时受到过度刻蚀。提出来解决此问题的一种解决方案是选择具有封闭孔的低k介电材料。然而,切割封闭孔材料的任何尝试在新的切割面上暴露出开口孔。于是,在这种新切割表面上暴露出来的孔仍将遭受刻蚀气体的侵蚀,该气体在用于构图介电材料层的反应离子刻蚀工艺中被使用。
                      发明内容
因而,本发明的一个目的是提供一种在电子结构中形成多孔介电材料层的方法,该材料层不具有传统方法的缺点或不足。
本发明的另一个目的是提供一种在电子结构中形成多孔介电材料层的方法,该材料层不遭受构图工艺过程中反应离子刻蚀气体的侵蚀。
本发明的再一个目的是提供一种在电子结构中形成多孔介电材料层的方法,该方法首先形成无孔介电材料层、在反应离子刻蚀工艺中构图该层,然后在介电材料层中形成孔。
本发明的还有一个目的是提供一种在电子结构中形成多孔介电材料层的方法,该方法首先构图一无孔介电材料层,然后在构图工艺后形成孔。
本发明的再一个目的是提供一种在电子结构中形成多孔介电材料层的方法,该方法首先沉积一无孔介电材料层、在第一低温下部分固化该层、构图该无孔介电材料层,然后在第二高固化温度下形成孔并将该材料层转变成多孔结构。
本发明的再一个目的是提供一种在电子结构中形成多孔介电材料层的方法,该方法在高固化温度下将两相材料转变为单相的充满孔的材料。
本发明的再一个目的是提供一种电子结构,该结构具有在其中形成的多孔介电材料层,其中,多孔材料层具有在约0.1%体积比和约50%体积比之间的孔隙率。
本发明的再一个目的是提供一种电子结构,该结构具有在其中形成的用于电绝缘的多孔介电材料层,其中多孔介电材料具有在约1和约3之间的介电常数。
根据本发明,公开了一种用于在电子结构中形成多孔介电材料层的方法及所形成的结构。
在优选实施例中,用于在电子结构中形成多孔介电材料层的方法可以通过以下步骤形成:提供预先加工好的电子基板、在预先加工好的电子基板上沉积一无孔介电材料层、在一般约为250℃的第一温度下固化电子基板、定义并构图无孔介电材料层、以及在高于第一温度的第二温度(一般为约350℃至约450℃)固化电子基板并将无孔介电材料转变成多孔介电材料。
在于电子结构中形成多孔介电材料层的方法中,无孔介电材料基本上是双相材料,而多孔介电材料基本上是单相材料。无孔介电材料可以是热非稳定材料和热稳定材料的物理混合物。热稳定材料在第一固化温度下凝固成实心体(solid),热非稳定材料在第二固化温度下分解并挥发。该方法还可以包括在无孔介电材料层上形成掩膜层的步骤,或形成至少一种从包括SiO2、Al2O3、Si3N4、SiC和SiCOH的组中选出的材料的掩膜层的步骤。该方法还可以包括将掩膜层形成至不大于100nm厚度的步骤。该方法还可以包括提供预先加工好的硅晶片的步骤。
所用的第一温度可以在约100℃和约350℃之间,所用的第二温度可以大于250℃并高于第一温度。该方法还可以包括光刻定义并构图无孔介电材料层的步骤,或通过旋涂方法沉积无孔介电材料的步骤。形成的多孔材料可以具有在约0.1%体积比和约50%体积比之间的孔隙率,或优选地是在约5%体积比和约30%体积比之间的孔隙率。该方法还可以包括将无孔介电材料层沉积至约100nm和约1000nm之间的厚度的步骤。沉积的无孔介电材料可以包括甲基倍半硅氧烷(methyl silsesquioxane)(MSSQ)、氢倍半硅氧烷(hydrogen silsesquioxane)(HSQ)、二氧化硅和例如SiLK半导体电介质或Flare等的芳香族热固性聚合物,以及至少一种产生孔的非稳定材料或聚合物种类的造孔剂(porogen)。
在另一优选实施例中,用于在电子结构中形成充满气孔的介电材料层的方法可以通过以下操作步骤进行:提供一具有形成在其上的器件的电子结构;在电子结构上沉积包括热稳定材料和热非稳定材料的双相介电材料层;在第一温度退火电子结构,该温度在热稳定材料的固化温度和热非稳定材料的分解温度之间;光刻定义并构图双相介电材料;以及在第二温度退火电子结构,该温度不低于热非稳定材料的分解和挥发温度,形成单相的充满气孔的介电材料。
在电子结构中形成充满气孔的材料层的方法中,第一温度可以是约100℃和约350℃之间的温度,第二温度可以大于250℃并高于第一温度。热稳定材料在第一退火温度固化成固体,而热非稳定材料在第二退火温度挥发。该方法还可包括在双相介电材料层上形成掩膜层的步骤。该方法还可包括形成至少一种材料的掩膜层的步骤,该材料从包括SiO2、Al2O3、Si3N4、SiC和SiCOH的组中选取。该方法还可包括将掩膜层形成至不大于100nm的厚度的步骤。所用的第一温度可以在约100℃至350℃之间,所用的第二温度可以大于250℃并高于第一温度。该方法还可以包括通过旋涂技术将双相介电材料层沉积至约100nm和约1000nm之间的厚度的步骤。单相的充满气孔的介电材料可以包含约0.1%体积比和约50%体积比之间的气孔,优选地是在约5%体积比和约30%体积比之间。
本发明进一步涉及一种电子结构,该结构具有为了电绝缘而在其中形成的多孔介电材料层,该结构包括:预先加工好的电子基板;具有在约0.1%体积比和约50%体积比之间的孔隙率的多孔介电材料层,该层形成并构图在预先加工好的电子基板上;以及填充形成在多孔介电材料层内的构图的导电金属。
在具有为了电绝缘而在其中形成的多孔介电材料层的电子结构中,多孔介电材料具有约1和约3之间的介电常数,或优选地在约1.3和约2.6之间。导电金属在电子结构的两个导电区之间形成互连,导电金属也可以在半导体器件的单一镶嵌(damascene)结构或半导体器件的双镶嵌结构中形成互连。导电金属可以是铜、铝或其它例如银、金或其合金的其它金属。
                    附图说明
从以下的详细描述和附图,本发明的这些和其它目的、特征以及优点将变得明显,其中:
图1是本发明方法的工艺流程图,该方法用于在单一镶嵌互连结构内形成多孔介电材料层;
图2是本发明半导体结构的放大的横截面视图,该结构具有ILD(层间介质)层和在上面形成的硬掩膜层;
图3是图2中本发明结构的放大的横截面视图,该结构具有在上面形成并构图的光致抗蚀剂层;
图4是图3中本发明结构的放大的横截面视图,该结构具有构图后的硬掩膜层;
图5是图4中本发明半导体结构的放大的横截面视图,该结构具有构图后的ILD层且光致抗蚀剂层被除去;
图6是图5中本发明半导体结构的放大的横截面视图,该结构具有沉积好的衬垫(liner)层和被涂镀来填充通孔的铜;
图7是图6中本发明半导体结构的放大的横截面视图,该结构具有平坦化后的铜层和沉积在上面的覆盖层;以及
图8是高温热退火后图7的本发明半导体结构的放大的横截面视图,该退火将ILD层转变为多孔材料。
                   具体实施方式
本发明公开了一种在电子结构中形成介电材料层的方法,更具体地,公开了一种在电子结构中形成多孔介电材料层的方法,该方法首先形成一无孔介电材料层,然后局部固化、构图,最后在比局部固化温度高的温度下固化该层,以将无孔介电材料转变成多孔介电材料。
本方法通过以下步骤进行:首先提供预先加工好的半导体晶片、在预先加工好的半导体晶片上沉积一无孔介电材料层、在不高于350℃的第一温度下固化半导体晶片、定义并构图无孔介电材料层、以及在高于第一温度的第二温度下固化半导体晶片,以将无孔介电材料转变成多孔介电材料。在以下所示的优选实施例中,无孔介电材料基本上是双相材料,而多孔介电材料基本上是单相材料。无孔介电材料还可以是热非稳定材料和热稳定材料的物理混合物。
本发明的方法还可被用于通过以下步骤在电子结构中形成充满气孔的介电材料层:首先提供一具有形成在其上的器件的电子结构;在电子结构上沉积包括热稳定材料和热非稳定材料的双相介电材料层;在第一温度下退火电子结构,该第一温度足够高以固化和凝固热稳定材料,但低于热非稳定材料的挥发温度;光刻定义并构图双相介电材料;以及在第二温度下退火电子结构,该温度不低于热非稳定材料的挥发温度,形成单相的充满气孔的介电材料。第一退火温度的合适范围可以在约100℃和约350℃之间,第二退火步骤的适宜温度可以高于第一温度。
本发明还公开了一种电子结构,该结构具有为了电绝缘而在其中形成的多孔介电材料层,该结构包括:预先加工好的电子基板;具有约0.1%体积比和约50%体积比之间的孔隙率的多孔介电材料层,该层形成并构图在预先加工好的电子基板上;以及填充形成在多孔介电材料层内的构图的导电金属。多孔介电材料具有约1和约3之间的介电常数,且优选地在约1.3和约2.6之间。填充构图的导电金属可以是铜、铝、银或金,形成单一镶嵌或双镶嵌互连结构。
因而,本发明方法提供一种工艺,该工艺消除了在介电材料构图工艺中被反应离子刻蚀气体刻蚀的多孔结构的问题。本发明方法在逐出第二相聚合材料之前构图多孔材料,使得无约束刻蚀速率问题可以得以避免。通过使用本发明的方法,结构可以用多孔低k材料制造,例如树状玻璃(Dendriglass)或多孔SiLK型材料。因为膜是均匀的且不以无约束的方式被刻蚀掉,所以在传统方法中出现的问题通过在逐出第二相材料之前利用多孔材料的性质而得以解决,第二相材料即孔形成剂(也被称作造孔剂)。
树状玻璃是一种包括MSSQ(甲基倍半硅氧烷)和不同量的第二相聚合材料,即孔形成剂的化合物。树状玻璃可以被制成多孔膜,该膜根据添加到膜中的第二相材料的量而具有在约1.3至约2.6之间的范围内的介电常数。本文中所用的词“约”表示了偏离所给平均值±10%的值的范围。第二相聚合物或孔形成剂是一种通常为长链聚合物的材料,在膜已经在第一处固化工艺中固化后,它能被分解和挥发,并从基体材料,即MSSQ中逐出。
本发明的方法可以通过以下步骤进行:首先在硅晶片表面上旋涂一层膜;然后在200℃或低于350℃的固化温度下热板固化(hot plate curing)该膜。形成的膜是MSSQ与整个膜中掩埋的孔形成剂的混合物。该膜通常沉积至约100nm和约1000nm之间的厚度,或者优选地,在300nm和约600nm之间。然后在硅晶片上面沉积硬掩膜材料。硬掩膜材料可以用SiO2、Al2O3、Si3N4、SiC和SiCOH制成。硬掩膜材料被用作光刻过程中的刻蚀终止层和构图复制层,并用作化学机械抛光(CMP)过程中的抛光终止层。硬掩膜可以包括不止一个材料层,然而,总的厚度一般小于250nm。
然后在晶片上面涂覆光致抗蚀剂层并曝光,并在约200℃的温度固化。接着,在等离子体刻蚀工艺中刻蚀硬掩膜层,以在树状玻璃材料上的区域中通过掩膜定义的区域内去除硬掩膜。因为树状玻璃膜仅在较低的温度下被局部固化,所以没有被反应离子气体侵蚀的孔形成。最后,完全刻蚀后的结构被加热至一高于第一温度的温度,或优选地高于400℃至450℃,持续一时间周期,该时间周期足够长以将第二相聚合材料从树状玻璃中逐出,导致多孔低k介电膜。然后,通常的金属沉积工艺继续对阻挡层金属/籽层Cu/电镀Cu的层进行。最终多孔介电膜的气孔含量在约0.1%体积比和约50%体积比之间,或优选地在约5%体积比和约30%体积比之间。最后的固化温度应当高于250℃,且优选地约为400℃至450℃。
本发明的方法可以无需应用硬掩膜而进行,即无孔树状玻璃材料可以直接用光致抗蚀剂层覆盖,然后该光致抗蚀剂层被曝光并如前述优选实施例中那样被固化。在此可选实施例中,树状玻璃膜在适宜的等离子体气氛中构图,然后去除光掩膜层。然后,构图后的树状玻璃膜被加热至高于400℃至450℃的温度,以逐出第二相聚合材料,形成多孔膜。最后,通过例如化学气相沉积(CVD)的技术,硬掩膜膜被保形地沉积到树状玻璃层上,该硬掩膜既覆盖了顶部表面,也覆盖了槽和通孔的侧壁。硬掩膜层可以通过任何前述的材料形成,并用以覆盖CMP刻蚀终止所需的顶部树状玻璃表面和防止到树状玻璃内的扩散途径所需的通孔和槽的侧壁。在沉积金属层之前,可以选择性地采用预清洁步骤,此步骤优先地溅射刻蚀槽底部的所有硬掩膜材料。然后,通常的金属沉积工艺可以进行,该工艺包括阻挡层金属/籽层Cu/电镀Cu的沉积。
第二相聚合物材料,或造孔剂材料可以是聚苯乙烯、聚甲基丙烯酸甲酯或聚羟基辛酸内酯基材料。这种聚合材料的加权平均分子量在约2,000和约140,000之间变化。这种聚合材料的分解温度约为≥250℃。
现在参照图1,其中示出了使用本发明的形成单一镶嵌互连结构的方法的工艺流程图。加工步骤10~60可以分别参照图2~8。在工艺的第一个步骤中,如图2的步骤10中所示,首先用氧化硅材料ILD层14旋涂具有沉积在其上的金属导电层12的半导体晶片70。然后在ILD层14上,通过化学气相沉积(CVD)技术沉积硬掩膜层16。硬掩膜可以用从SiO2、Al2O3、Si3N4、SiC和SiCOH中选出的一种适宜的材料或其它适宜的硬掩膜材料制成。硬掩膜层16的目的是分别在CMP和光刻过程中既充当刻蚀终止层,又充当构图的复制层。即使总厚度应当小于250nm,硬掩膜层16还可以用不止一层材料制成。金属导电层12可以用例如铜或铝或其合金的高电导率材料适当形成。
在工艺的下一个步骤中,如图1和图3中步骤20所示,光致抗蚀剂层18被涂镀在硬掩膜层16的顶部、被曝光,然后在大约200℃的温度下固化。然后在等离子体刻蚀工艺中刻蚀硬掩膜层16,以去除由ILD层14上面的掩膜定义的那些区域内的掩膜。在传统方法中,这是一个树状玻璃的孔隙导致其消失的步骤,因为刻蚀硬掩膜中所用的反应气体也侵蚀树状玻璃(Dendriglass),并且因而留下具有高介电常数k≥4.0的玻璃材料。硬掩膜的刻蚀步骤被显示为图1和图4中的步骤30。
然后在掩膜定义的区域内通过使用适当的等离子体刻蚀方法而去除无孔ILD层14。这在图1和图5的步骤40中得以显示。在用于开口42的ILD层14的刻蚀之后进一步去除光致抗蚀剂层18。如图1和8中的步骤35所示,完全刻蚀后的结构70于是被加热到高于250℃的温度持续一时间周期,该温度优选地在400℃至450℃的范围内,该时间周期足够长以从树状玻璃中逐出第二相聚合材料,即造孔剂材料,导致多孔的低k介电膜。于是,ILD层从无孔到多孔的转变在步骤40或在图5中完成。
在工艺的下一个步骤,即图1和图6所示的步骤50中,首先进行衬垫材料的沉积以在硬掩膜层16的上面形成衬垫层52。为了达到附着层和扩散阻挡层的性能,衬垫层52可以由例如TaN、TiN、Ti、Ta或各种组合物的材料沉积。在衬垫层52的上面,一般通过溅射沉积一铜的籽层(未示出)以便于填充通孔42的过程中铜的后续电镀。通孔42被铜54填充后,电子结构70通过化学机械抛光方法得以平坦化,以在铜通孔54的上面实现平坦表面56。如果需要,可以在此点上将造孔剂逐出,如图1的步骤65所示。如碳化硅或氮化硅的绝缘材料覆盖层58于是沉积在顶部,以防止扩散并保护电子器件70不受机械磨损或其它损坏。
本发明形成多孔介电材料层的方法还可以被用于在半导体结构中形成双镶嵌互连。在半导体结构中形成双镶嵌互连的工艺可以通过以下步骤进行:在无孔介电层上面结合一硬掩膜层;然后,或者在挥发孔形成剂之前去除硬掩膜层,或者在所用的硬掩膜可被孔形成剂穿透的时候在半导体结构中保留硬掩膜层。
例如,在用不能穿透的硬掩膜层形成双镶嵌互连的方法中,在包含孔形成剂的无孔介电材料层被沉积到预先加工好的半导体结构上之后,在无孔介电材料上形成硬掩膜层。然后形成无孔介电材料中的双镶嵌互连孔洞,并用导电金属将其填充,以形成双镶嵌互连的通孔和连线。于是,通过湿法或干法刻蚀工艺将硬掩膜层去除,接着在足够高的,即高于250℃的温度进行固化工艺,以从无孔介电材料中挥发掉孔形成剂,从而形成双镶嵌互连的多孔介电材料。
或者,当形成对无孔介电材料中包含的孔形成剂可穿透的硬掩膜层时,因为孔形成剂挥发并通过可穿透硬掩膜层而逸出,所以在高温下进行的固化工艺过程中,硬掩膜层可以保留在半导体结构中。在多孔介电材料层的形成之后,半导体结构可以平坦化,且绝缘材料层,即覆盖层可以沉积在顶部,用作扩散阻挡层和免除磨损或其它物理损伤的保护层。
对孔形成剂可穿透的合适的硬掩膜层可以从SiCOH、甲基倍半硅氧烷(MSSQ)、氢倍半硅氧烷(HSQ)和类金刚石碳(DLC)中选取。可穿透的硬掩膜层的适宜厚度应当小于250nm。
本发明的方法还可以通过将孔隙具体化成分子尺寸大小的预制微粒而进行,即旋涂具有不可溶的、交联的、热非稳定的微粒的溶液。在此情形下,工艺以致密的两相材料开始,而非单相均匀的材料。构图和烧蚀与前述相同。
本发明的在半导体结构中形成多孔介电层的方法以及通过此方法形成的结构已经在以上描述中和图1~8的附图中得以充分描述。
虽然本发明已经以说明性方式得以描述,但是应当理解的是,所用的术语是描述性的,而非限制性的。
另外,虽然本发明已经以优选的和可选的实施例的方式进行了描述,但是,显而易见的是,本领域的技术人员将容易地将这些宗旨应用到本发明的其它可能的变化上。
另外,树状玻璃(多孔MSSQ)的示范性制造方案可以使用任何其它的多孔介电膜实现,其中,残余的材料是更高热稳定性的有机材料、二氧化硅、氢倍半硅氧烷、苯并环丁烯(benzocyclobutene)或其组合物。
本发明的实施例如下定义,在该实施例中要求了对专有权或独占权的权利保护。

Claims (50)

1.一种在电子结构中形成多孔介电材料层的方法,包括步骤:
提供预先加工好的电子基板;
在所述预先加工好的电子基板上沉积无孔介电材料层;
在不高于250℃的第一温度下固化所述电子基板;
定义并构图所述无孔介电材料层;以及
在高于所述第一温度的第二温度下固化所述电子基板,将所述无孔介电材料转变成多孔介电材料。
2.如权利要求1所述的在电子结构中形成多孔介电材料层的方法,其特征在于,所述无孔介电材料基本上是双相材料,而所述多孔介电材料基本上是单相材料。
3.如权利要求1所述的在电子结构中形成多孔介电材料层的方法,其特征在于,所述无孔介电材料是低沸点温度材料和高沸点温度材料的物理混合物。
4.如权利要求3所述的在电子结构中形成多孔介电材料层的方法,其特征在于,所述高沸点温度材料在所述第一固化温度凝固成固体,所述低沸点温度材料在所述第二固化温度挥发。
5.如权利要求1所述的在电子结构中形成多孔介电材料层的方法,其特征在于,还包括在所述无孔介电材料层上形成掩膜层的步骤。
6.如权利要求5所述的在电子结构中形成多孔介电材料层的方法,其特征在于,还包括形成至少一种从包括SiO2、Al2O3、Si3N4、SiC和SiCOH的组中选出的材料的所述掩膜层的步骤。
7.如权利要求5所述的在电子结构中形成多孔介电材料层的方法,其特征在于,还包括将所述掩膜层形成至不大于100nm的厚度的步骤。
8.如权利要求1所述的在电子结构中形成多孔介电材料层的方法,其特征在于,还包括提供预先加工好的硅晶片的步骤。
9.如权利要求1所述的在电子结构中形成多孔介电材料层的方法,其特征在于,所述第一温度在约100℃和约250℃之间,所述的第二温度大于250℃。
10.如权利要求1所述的在电子结构中形成多孔介电材料层的方法,其特征在于,还包括光刻定义并构图所述无孔介电材料层的步骤。
11.如权利要求1所述的在电子结构中形成多孔介电材料层的方法,其特征在于,还包括通过旋涂方法沉积所述无孔介电材料的步骤。
12.如权利要求1所述的在电子结构中形成多孔介电材料层的方法,其特征在于,形成的所述多孔材料具有在约0.1%体积比和约50%体积比之间的孔隙率。
13.如权利要求1所述的在电子结构中形成多孔介电材料层的方法,其特征在于,形成的所述多孔介电材料优选地具有在约5%体积比和约30%体积比之间的孔隙率。
14.如权利要求1所述的在电子结构中形成多孔介电材料层的方法,其特征在于,还包括将所述无孔介电材料层沉积至约100nm和约1000nm之间的厚度的步骤。
15.如权利要求1所述的在电子结构中形成多孔介电材料层的方法,其特征在于,所述的无孔介电材料是从包括甲基倍半硅氧烷、氢倍半硅氧烷、苯并环丁烯、二氧化硅和例如SiLK或Flare等的芳香族热固性聚合物,以及至少一种聚合物种类的造孔剂的组中选出的材料。
16.一种在电子结构中形成充满气孔的介电材料层的方法,包括操作步骤:
制备具有形成在其上的器件的电子结构;
在所述电子结构上沉积由高沸点温度材料和低沸点温度材料构成的双相介电材料层;
在第一温度下退火所述电子结构,该第一温度在所述高沸点温度材料的固化温度和所述低沸点温度材料的沸点温度之间;
光刻定义并构图所述双相介电材料;以及
在第二温度下退火所述电子结构,该第二温度不低于所述低沸点温度材料的沸点温度,形成单相的充满气孔的介电材料。
17.如权利要求16所述的在电子结构中形成充满气孔的介电材料层的方法,其特征在于,所述第一温度是100℃和250℃之间的温度,所述第二温度高于250℃。
18.如权利要求16所述的在电子结构中形成多孔介电材料层的方法,其特征在于,所述高沸点温度材料在所述第一退火温度下固化成固体,而所述低沸点温度材料在所述第二退火温度下挥发。
19.如权利要求16所述的在电子结构中形成多孔介电材料层的方法,其特征在于,还包括在所述无孔介电材料层上形成掩膜层的步骤。
20.如权利要求16所述的在电子结构中形成多孔介电材料层的方法,其特征在于,还包括形成至少一种从包括SiO2、Al2O3、Si3N4、SiC和SiCOH的组中选取的材料的所述掩膜层。
21.如权利要求16所述的在电子结构中形成多孔介电材料层的方法,其特征在于,还包括将所述掩膜层形成至不大于100nm的厚度的步骤。
22.如权利要求16所述的在电子结构中形成多孔介电材料层的方法,其特征在于,所述第一温度在约100℃和约250℃之间,所述第二温度高于250℃。
23.如权利要求16所述的在电子结构中形成多孔介电材料层的方法,其特征在于,还包括通过旋涂技术将所述双相介电材料层沉积至约100nm和约1000nm之间的厚度的步骤。
24.如权利要求16所述的在电子结构中形成多孔介电材料层的方法,其特征在于,所述单相的充满气孔的介电材料包含约0.1%体积比和约50%体积比之间的气孔。
25.如权利要求16所述的在电子结构中形成多孔介电材料层的方法,其特征在于,所述单相的充满气孔的介电材料包含约5%体积比和约30%体积比之间的气孔。
26.一种电子结构,该结构具有为了电绝缘而在其中形成的多孔介电材料层,包括:
预先加工好的电子基板;
具有在约0.1%体积比和约50%体积比之间的孔隙率的多孔介电材料层,该层形成并构图在所述预先加工好的电子基板上;以及
填充形成在所述多孔介电材料层内的所述构图的导电金属。
27.如权利要求26所述的具有为了电绝缘而在其中形成的多孔介电材料层的电子结构,其特征在于,所述多孔介电材料具有约1和约3之间的介电常数。
28.如权利要求26所述的具有为了电绝缘而在其中形成的多孔介电材料层的电子结构,其特征在于,所述多孔介电材料优选地具有在约1.3和约2.6之间的介电常数。
29.如权利要求26所述的具有为了电绝缘而在其中形成的多孔介电材料层的电子结构,其特征在于,所述导电金属在所述电子结构的两个导电区之间形成互连。
30.如权利要求26所述的具有为了电绝缘而在其中形成的多孔介电材料层的电子结构,其特征在于,所述导电金属在所述电子结构中的单一镶嵌结构中形成互连。
31.如权利要求26所述的具有为了电绝缘而在其中形成的多孔介电材料层的电子结构,其特征在于,所述导电金属在所述电子结构中的双镶嵌结构中形成互连。
32.如权利要求26所述的具有为了电绝缘而在其中形成的多孔介电材料层的电子结构,其特征在于,所述导电金属是铜或铝。
33.一种在半导体结构中形成双镶嵌互连的方法,包括步骤:
提供预先加工好的半导体结构;
在所述预先加工好的半导体结构上沉积含孔形成剂的无孔介电材料层;
在所述无孔介电材料上沉积掩膜层;
在所述无孔介电材料内形成用于双镶嵌互连的孔洞;
用导电金属填充用于双镶嵌互连的所述孔洞;
通过刻蚀工艺去除所述的掩膜层;
在一温度下固化所述半导体结构,并形成多孔介电材料,该温度足够高以将所述孔形成剂从所述无孔介电材料中挥发掉;
使所述半导体结构平坦化;以及
在该半导体结构上沉积介电材料层。
34.如权利要求33所述的在半导体结构中形成双镶嵌互连的方法,其特征在于,所述无孔介电材料从包括甲基倍半硅氧烷、苯并环丁烯、氢倍半硅氧烷、二氧化硅和例如SiLK或Flare等的芳香族热固性聚合物的组中选出。
35.如权利要求33所述的在半导体结构中形成双镶嵌互连的方法,其特征在于,所述孔形成剂是聚合材料。
36.如权利要求33所述的在半导体结构中形成双镶嵌互连的方法,其特征在于,所述掩膜层从包括SiO2、Al2O3、Si3N4、SiC和SiCOH的组中选出。
37.如权利要求33所述的在半导体结构中形成双镶嵌互连的方法,其特征在于,所述掩膜层被沉积至不大于100nm的厚度。
38.如权利要求33所述的在半导体结构中形成双镶嵌互连的方法,其特征在于,还包括通过干法刻蚀或湿法刻蚀技术去除所述掩膜层的步骤。
39.如权利要求33所述的在半导体结构中形成双镶嵌互连的方法,其特征在于,还包括在高于250℃的温度下固化所述半导体结构的步骤。
40.如权利要求33所述的在半导体结构中形成双镶嵌互连的方法,其特征在于,还包括通过旋涂技术将包含孔形成剂的所述无孔介电材料层沉积至约100nm和约1,000nm之间的厚度的步骤。
41.如权利要求33所述的在半导体结构中形成双镶嵌互连的方法,其特征在于,形成的所述多孔介电材料具有在约0.1%体积比和约50%体积比之间的孔隙率。
42.一种在半导体结构中形成双镶嵌互连的方法,包括步骤:
提供预先加工好的半导体结构;
在所述预先加工好的半导体结构上沉积含孔形成剂的无孔介电材料层;
在所述无孔介电材料上沉积掩膜层,所述掩膜层对所述孔形成剂是可穿透的;
在所述无孔介电材料内形成用于双镶嵌互连的孔洞;
用导电金属填充用于双镶嵌互连的所述孔洞;
平坦化所述半导体结构;
在一温度下固化所述半导体结构,形成多孔介电材料,该温度足够高使得所述孔形成剂从所述无孔介电材料中经过所述掩膜层逸出;以及
在该半导体结构上沉积介电材料层。
43.如权利要求42所述的在半导体结构中形成双镶嵌互连的方法,其特征在于,还包括通过旋涂技术沉积包含孔形成剂的无孔介电材料的步骤。
44.如权利要求42所述的在半导体结构中形成双镶嵌互连的方法,其特征在于,还包括将包含孔形成剂的无孔介电材料沉积至约100nm和约1,000nm之间的厚度的步骤。
45.如权利要求42所述的在半导体结构中形成双镶嵌互连的方法,其特征在于,所述无孔介电材料从包括甲基倍半硅氧烷、苯并环丁烯、氢倍半硅氧烷、二氧化硅和例如SiLK或Flare等的芳香族热固性聚合物的组中选出。
46.如权利要求42所述的在半导体结构中形成双镶嵌互连的方法,其特征在于,所述孔形成剂是在高于250℃的温度下挥发的聚合物种类的材料。
47.如权利要求42所述的在半导体结构中形成双镶嵌互连的方法,其特征在于,对所述孔形成剂可穿透的所述掩膜层从包括SiCOH、甲基倍半硅氧烷(MSSQ)、氢倍半硅氧烷(HSQ)和类金刚石碳(DLC)的组中选取。
48.如权利要求42所述的在半导体结构中形成双镶嵌互连的方法,其特征在于,还包括将所述掩膜层沉积至小于100nm的厚度的步骤。
49.如权利要求42所述的在半导体结构中形成双镶嵌互连的方法,其特征在于,还包括在高于250℃的温度下固化所述半导体结构的步骤。
50.如权利要求42所述的在半导体结构中形成双镶嵌互连的方法,其特征在于,还包括形成包含约0.1%体积比和约50%体积比之间的孔隙率的所述多孔介电材料的步骤。
CNB011438959A 2000-12-18 2001-12-18 在半导体器件上形成多孔介电材料层的方法 Expired - Fee Related CN1236479C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/739,935 2000-12-18
US09/739,935 US6451712B1 (en) 2000-12-18 2000-12-18 Method for forming a porous dielectric material layer in a semiconductor device and device formed

Publications (2)

Publication Number Publication Date
CN1427456A true CN1427456A (zh) 2003-07-02
CN1236479C CN1236479C (zh) 2006-01-11

Family

ID=24974382

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB011438959A Expired - Fee Related CN1236479C (zh) 2000-12-18 2001-12-18 在半导体器件上形成多孔介电材料层的方法

Country Status (6)

Country Link
US (2) US6451712B1 (zh)
KR (1) KR100411986B1 (zh)
CN (1) CN1236479C (zh)
HK (1) HK1055641A1 (zh)
SG (2) SG125963A1 (zh)
TW (1) TW513764B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7816256B2 (en) 2006-07-17 2010-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process for improving the reliability of interconnect structures and resulting structure
CN103378052A (zh) * 2012-04-20 2013-10-30 台湾积体电路制造股份有限公司 半导体器件及其制造方法以及形成导电部件的方法
CN103578969A (zh) * 2012-08-03 2014-02-12 英飞凌科技奥地利有限公司 制造包括介电结构的半导体器件的方法
CN104779197A (zh) * 2014-01-13 2015-07-15 台湾积体电路制造股份有限公司 半导体器件金属化系统和方法
CN116798952A (zh) * 2023-08-21 2023-09-22 合肥晶合集成电路股份有限公司 半导体器件的制作方法以及半导体器件

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW410435B (en) * 1998-06-30 2000-11-01 United Microelectronics Corp The metal interconnection manufacture by using the chemical mechanical polishing process
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6423811B1 (en) 2000-07-19 2002-07-23 Honeywell International Inc. Low dielectric constant materials with polymeric networks
AU2001288954A1 (en) 2000-09-13 2002-03-26 Shipley Company, L.L.C. Electronic device manufacture
US7141188B2 (en) * 2001-05-30 2006-11-28 Honeywell International Inc. Organic compositions
US6740685B2 (en) * 2001-05-30 2004-05-25 Honeywell International Inc. Organic compositions
US20040102584A1 (en) * 2001-12-03 2004-05-27 Lau Kreisler S. Low dielectric constant materials with polymeric networks
US7423166B2 (en) 2001-12-13 2008-09-09 Advanced Technology Materials, Inc. Stabilized cyclosiloxanes for use as CVD precursors for low-dielectric constant thin films
US7456488B2 (en) 2002-11-21 2008-11-25 Advanced Technology Materials, Inc. Porogen material
US6783862B2 (en) * 2001-12-13 2004-08-31 International Business Machines Corporation Toughness, adhesion and smooth metal lines of porous low k dielectric interconnect structures
US7108771B2 (en) * 2001-12-13 2006-09-19 Advanced Technology Materials, Inc. Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films
US6723634B1 (en) * 2002-03-14 2004-04-20 Advanced Micro Devices, Inc. Method of forming interconnects with improved barrier layer adhesion
JP4531400B2 (ja) * 2002-04-02 2010-08-25 ダウ グローバル テクノロジーズ インコーポレイティド エアギャップ含有半導体デバイスの製造方法及び得られる半導体デバイス
US6815333B2 (en) * 2002-04-02 2004-11-09 Dow Global Technologies Inc. Tri-layer masking architecture for patterning dual damascene interconnects
JP2003338499A (ja) * 2002-05-20 2003-11-28 Tokyo Electron Ltd 膜形成方法及び膜形成装置
US6831003B1 (en) 2002-05-31 2004-12-14 Advanced Micro Devices, Inc. Continuous barrier for interconnect structure formed in porous dielectric material with minimized electromigration
US6903001B2 (en) * 2002-07-18 2005-06-07 Micron Technology Inc. Techniques to create low K ILD for BEOL
US6964919B2 (en) * 2002-08-12 2005-11-15 Intel Corporation Low-k dielectric film with good mechanical strength
JP2004104012A (ja) * 2002-09-12 2004-04-02 Renesas Technology Corp 半導体装置
JP2004274020A (ja) * 2002-09-24 2004-09-30 Rohm & Haas Electronic Materials Llc 電子デバイス製造
KR100481181B1 (ko) * 2002-11-08 2005-04-07 삼성전자주식회사 반도체소자의 다공성 물질막을 형성하는 방법
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
US7018918B2 (en) * 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
US6943121B2 (en) * 2002-11-21 2005-09-13 Intel Corporation Selectively converted inter-layer dielectric
US6924222B2 (en) * 2002-11-21 2005-08-02 Intel Corporation Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US20040130027A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation Improved formation of porous interconnection layers
US20040191417A1 (en) * 2003-03-28 2004-09-30 Dorie Yontz Method of integrating a porous dielectric in an integrated circuit device
US7238604B2 (en) * 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US6921978B2 (en) 2003-05-08 2005-07-26 International Business Machines Corporation Method to generate porous organic dielectric
JP2005005383A (ja) * 2003-06-10 2005-01-06 Toshiba Corp 半導体装置および半導体装置の製造方法
US7348281B2 (en) * 2003-09-19 2008-03-25 Brewer Science Inc. Method of filling structures for forming via-first dual damascene interconnects
JP2005142473A (ja) * 2003-11-10 2005-06-02 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
US7405147B2 (en) * 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
JP4194508B2 (ja) * 2004-02-26 2008-12-10 三洋電機株式会社 半導体装置の製造方法
TWI236714B (en) * 2004-03-17 2005-07-21 Nan Ya Printed Circuit Board C Method for fabricating a packaging substrate
US7132374B2 (en) * 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
US7253098B2 (en) * 2004-08-27 2007-08-07 International Business Machines Corporation Maintaining uniform CMP hard mask thickness
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7217648B2 (en) * 2004-12-22 2007-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Post-ESL porogen burn-out for copper ELK integration
TW200631095A (en) * 2005-01-27 2006-09-01 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US7229883B2 (en) * 2005-02-23 2007-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Phase change memory device and method of manufacture thereof
US20070032077A1 (en) * 2005-08-08 2007-02-08 Tzung-Yu Hung Method of manufacturing metal plug and contact
EP1764837B1 (en) * 2005-09-14 2009-08-05 STMicroelectronics S.r.l. Method of manufacturing a phase change memory device having a uniform heater height
JP4788415B2 (ja) * 2006-03-15 2011-10-05 ソニー株式会社 半導体装置の製造方法
US7795148B2 (en) * 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7288483B1 (en) * 2006-03-28 2007-10-30 Tokyo Electron Limited Method and system for patterning a dielectric film
US20070232046A1 (en) * 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having porous low K layer with improved mechanical properties
US7737455B2 (en) * 2006-05-19 2010-06-15 Bridgelux, Inc. Electrode structures for LEDs with increased active area
US7573074B2 (en) 2006-05-19 2009-08-11 Bridgelux, Inc. LED electrode
US7544608B2 (en) * 2006-07-19 2009-06-09 International Business Machines Corporation Porous and dense hybrid interconnect structure and method of manufacture
US20080026541A1 (en) * 2006-07-26 2008-01-31 International Business Machines Corporation Air-gap interconnect structures with selective cap
US8053375B1 (en) 2006-11-03 2011-11-08 Advanced Technology Materials, Inc. Super-dry reagent compositions for formation of ultra low k films
US20080237658A1 (en) * 2007-03-26 2008-10-02 United Microelectronics Corp. Semiconductor device and method of fabricating the same
KR20090106887A (ko) * 2008-04-07 2009-10-12 삼성전자주식회사 반도체 소자 및 그 제조방법
US8148265B2 (en) * 2008-08-29 2012-04-03 Bae Systems Information And Electronic Systems Integration Inc. Two-step hardmask fabrication methodology for silicon waveguides
US7847353B2 (en) * 2008-12-05 2010-12-07 Bae Systems Information And Electronic Systems Integration Inc. Multi-thickness semiconductor with fully depleted devices and photonic integration
WO2010134684A2 (ko) * 2009-05-20 2010-11-25 서강대학교산학협력단 초저유전막의 제조방법, 이에 의한 초저유전막
US8492239B2 (en) 2010-01-27 2013-07-23 International Business Machines Corporation Homogeneous porous low dielectric constant materials
US8314005B2 (en) * 2010-01-27 2012-11-20 International Business Machines Corporation Homogeneous porous low dielectric constant materials
CN102468219B (zh) * 2010-11-01 2015-04-29 中芯国际集成电路制造(上海)有限公司 互连结构的制造方法
US8927430B2 (en) 2011-07-12 2015-01-06 International Business Machines Corporation Overburden removal for pore fill integration approach
US8541301B2 (en) 2011-07-12 2013-09-24 International Business Machines Corporation Reduction of pore fill material dewetting
US9054110B2 (en) * 2011-08-05 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Low-K dielectric layer and porogen
US8828489B2 (en) 2011-08-19 2014-09-09 International Business Machines Corporation Homogeneous modification of porous films
CN102522290B (zh) * 2011-12-31 2015-02-18 四川虹欧显示器件有限公司 等离子显示屏及其前基板介质层的制作工艺
US9059259B2 (en) * 2013-07-19 2015-06-16 Taiwan Semiconductor Manufacturing Company Limited Hard mask for back-end-of-line (BEOL) interconnect structure
US9773698B2 (en) * 2015-09-30 2017-09-26 International Business Machines Corporation Method of manufacturing an ultra low dielectric layer
JP6960839B2 (ja) * 2017-12-13 2021-11-05 東京エレクトロン株式会社 半導体装置の製造方法
WO2019241530A1 (en) * 2018-06-14 2019-12-19 Formfactor, Inc. Electrical test probes having decoupled electrical and mechanical design
US11393792B2 (en) * 2020-03-25 2022-07-19 Nanya Technology Corporation Semiconductor device with connection structure and method for fabricating the same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470802A (en) * 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
US6351039B1 (en) * 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
JP3571522B2 (ja) * 1998-02-12 2004-09-29 松下電器産業株式会社 多孔質膜の形成方法及び多孔質膜の形成材料
US6147407A (en) * 1998-03-27 2000-11-14 Lucent Technologies Inc. Article comprising fluorinated amorphous carbon and process for fabricating article
US6469390B2 (en) * 1999-01-26 2002-10-22 Agere Systems Guardian Corp. Device comprising thermally stable, low dielectric constant material
US6342454B1 (en) * 1999-11-16 2002-01-29 International Business Machines Corporation Electronic devices with dielectric compositions and method for their manufacture
US6919106B2 (en) * 2000-04-03 2005-07-19 Ulvac Inc. Method for preparing porous SOG film
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6271273B1 (en) * 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6391932B1 (en) * 2000-08-08 2002-05-21 Shipley Company, L.L.C. Porous materials
KR100795714B1 (ko) * 2000-08-21 2008-01-21 다우 글로벌 테크놀로지스 인크. 마이크로일렉트로닉 장치의 제조에 있어서 유기 중합체유전체용 하드마스크로서의 유기 규산염 수지
JP2002356577A (ja) * 2001-03-15 2002-12-13 Sumitomo Bakelite Co Ltd 多孔質絶縁膜用樹脂、多孔質絶縁膜およびその製造方法

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7816256B2 (en) 2006-07-17 2010-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process for improving the reliability of interconnect structures and resulting structure
CN101110386B (zh) * 2006-07-17 2011-06-08 台湾积体电路制造股份有限公司 内连线结构以及形成内连线结构的方法
US8212330B2 (en) 2006-07-17 2012-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Process for improving the reliability of interconnect structures and resulting structure
CN103378052A (zh) * 2012-04-20 2013-10-30 台湾积体电路制造股份有限公司 半导体器件及其制造方法以及形成导电部件的方法
CN103378052B (zh) * 2012-04-20 2016-06-08 台湾积体电路制造股份有限公司 半导体器件及其制造方法以及形成导电部件的方法
CN103578969A (zh) * 2012-08-03 2014-02-12 英飞凌科技奥地利有限公司 制造包括介电结构的半导体器件的方法
CN103578969B (zh) * 2012-08-03 2016-06-08 英飞凌科技奥地利有限公司 制造包括介电结构的半导体器件的方法
CN104779197A (zh) * 2014-01-13 2015-07-15 台湾积体电路制造股份有限公司 半导体器件金属化系统和方法
CN104779197B (zh) * 2014-01-13 2018-08-28 台湾积体电路制造股份有限公司 半导体器件金属化系统和方法
US10121698B2 (en) 2014-01-13 2018-11-06 Taiwan Semiconductor Manufacturing Company Method of manufacturing a semiconductor device
CN116798952A (zh) * 2023-08-21 2023-09-22 合肥晶合集成电路股份有限公司 半导体器件的制作方法以及半导体器件
CN116798952B (zh) * 2023-08-21 2023-11-14 合肥晶合集成电路股份有限公司 半导体器件的制作方法以及半导体器件

Also Published As

Publication number Publication date
US6451712B1 (en) 2002-09-17
US20020074659A1 (en) 2002-06-20
SG125963A1 (en) 2006-10-30
US20030057414A1 (en) 2003-03-27
CN1236479C (zh) 2006-01-11
HK1055641A1 (en) 2004-01-16
US6831364B2 (en) 2004-12-14
KR20020048861A (ko) 2002-06-24
SG106653A1 (en) 2004-10-29
KR100411986B1 (ko) 2003-12-24
TW513764B (en) 2002-12-11

Similar Documents

Publication Publication Date Title
CN1236479C (zh) 在半导体器件上形成多孔介电材料层的方法
US6479391B2 (en) Method for making a dual damascene interconnect using a multilayer hard mask
KR100841597B1 (ko) 전자 디바이스 제조
US6323555B1 (en) Metallization structure on a fluorine-containing dielectric and a method for fabrication thereof
US7534696B2 (en) Multilayer interconnect structure containing air gaps and method for making
TW516108B (en) Dual damascene process using oxide liner as dielectric barrier layer
US20050037604A1 (en) Multilayer interconnect structure containing air gaps and method for making
TWI377618B (en) Dry etchback of interconnect contacts
US20050181631A1 (en) Densifying a relatively porous material
JP2009519587A (ja) 細孔シーリングライナーのデュアルダマシン方法及びデバイスへの統合
JP2008535212A (ja) 集積回路ダイ上への導電性配線部構造の形成方法、導電性配線部および集積回路ダイ
KR20160063313A (ko) 전체 클래드 라인들을 가지는 상호접속들
JP3586605B2 (ja) シリコン窒化膜のエッチング方法及び半導体装置の製造方法
CN1107968C (zh) 在互连图形上形成平面化介质层的方法及多层互连图形结构
JP2006517742A (ja) スピンオン・セラミック膜からなるパターニング層
TW544857B (en) Manufacturing method of dual damascene structure
KR100458594B1 (ko) 반도체 소자 제조 방법
KR100698741B1 (ko) 반도체 소자의 금속 배선 형성 방법
US6642139B1 (en) Method for forming interconnection structure in an integration circuit
EP0933815B1 (en) A metallization structure on a fluorine-containing dielectric and a method for fabrication thereof
KR100759256B1 (ko) 감광막 스페이서를 이용한 듀얼 다마신 패턴 형성방법
KR100512051B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100571408B1 (ko) 반도체 소자의 듀얼 다마신 배선 제조 방법
KR100283494B1 (ko) 차세대 반도체용 구리배선의 패턴방법
KR100906307B1 (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171128

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171128

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20060111

Termination date: 20191218