CN1507071A - 具有受应力通道的场效应晶体管及其制造方法 - Google Patents

具有受应力通道的场效应晶体管及其制造方法 Download PDF

Info

Publication number
CN1507071A
CN1507071A CNA031597173A CN03159717A CN1507071A CN 1507071 A CN1507071 A CN 1507071A CN A031597173 A CNA031597173 A CN A031597173A CN 03159717 A CN03159717 A CN 03159717A CN 1507071 A CN1507071 A CN 1507071A
Authority
CN
China
Prior art keywords
passage
transistor
undercut region
stress
under
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA031597173A
Other languages
English (en)
Other versions
CN1272856C (zh
Inventor
3B
布鲁斯·B·多丽丝
�١����ķ������
杜尔塞蒂·奇达姆巴拉奥
泽维尔·贝依
A����¶�
杰克·A·曼德尔曼
K
德文德拉·K·萨达纳
�ˡ�J��лƤ˹
多米尼克·J·谢皮斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1507071A publication Critical patent/CN1507071A/zh
Application granted granted Critical
Publication of CN1272856C publication Critical patent/CN1272856C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Abstract

本发明公开了一种具有由于电流通道22中的应力而增大的电荷载流子迁移率的场效应晶体管。应力沿电流流动方向(纵向)。在PFET器件中,应力为压力;而在NFET器件中,应力为拉力。应力通过通道下区域32中的压缩膜34而建立。压缩膜向上推起通道22,并导致了通道22的弯曲。在PFET器件中,压缩膜位于通道的端部31下(即位于源极和漏极下),从而导致通道上部22A中的压缩。在NFET器件中,压缩膜位于通道的中部40下(即位于栅极下),从而导致通道上部中的拉伸。因此,NFET和PFET器件都可被增强。本发明还公开了一种制造该器件的方法。

Description

具有受应力通道的场效应晶体管及其制造方法
技术领域
本发明一般性地涉及诸如CMOS晶体管的场效应晶体管。更加特别地,本发明涉及一种用于形成具有受应力通道区(stressed channel region)的场效应晶体管的方法,受应力通道区提供了增大的驱动电流能力以及其它的优点。
背景技术
诸如CMOS晶体管的场效应晶体管已被广泛地应用于电子行业。在几乎每一种应用电子电路中都采用了场效应晶体管(FET),诸如信号处理、计算以及无线通信。一直以来,存在着对于改进场效应晶体管性能的要求。感兴趣的性能指标包括开关速度(switching speed)、开态电流容量(on-statecurrent capability)、以及开态对闭态(off-state)的电流比。这些指标倾向于通过增大FET的电荷载流子迁移率而得到改善。进而,研究人员已经对用于增大FET的电荷载流子迁移率的技术进行了研究。
已发现,施加至FET电流通道的机械应力可增大电荷载流子迁移率。例如,IEEE Transactions on Electron Devices,Vol.38,No.4,1991年4月,作者为Hamada等人的“A New Aspect of Mechanical Stress Effects in ScaledMOS Devices”中介绍了一些实验结果,其中P型FET(PFET)和N型FET(NFET)MOS晶体管的性能特征被作为机械应力的函数来测量。其报道了,PFET器件中的纵向(沿电流流动方向)压缩(compression)使载流子迁移率增大,并且NFET器件中的纵向拉伸(tension)使载流子迁移率增大。
然而,将机械应力引入微制造(microfabricated)的FET和CMOS器件已被证明存在一定的困难。过去,研究人员简单地弯折晶体管衬底。然而,这种技术对于大规模生产的集成电路是不适用的。施加应力的一个显著的问题是,PFET和NFET器件需要相反的应力。另外,用于在器件中产生应力的技术必须与现有的FET制造习惯以及封装技术兼容。
提供具有受应力通道区的FET将成为本领域的重大进步。能够生产在同一衬底上具有相反应力的PFET和NFET,从而同时改善了PFET和NFET器件将产生特别的好处。另外,能够利用传统的FET制造工艺制造具有受应力通道区的FET将带来极大的进步。
发明内容
本发明包括一种场效应晶体管,其具有电流通道、通道下的底切区、设置于通道上方的栅极电极。压缩膜设置于底切区中,并且压缩膜在电流通道中建立了纵向(电流流动方向)应力。
优选,应力的类型(压缩或拉伸)选择为使得晶体管具有增大的电荷载流子迁移率。具体地,在PFET晶体管中,应力应为压力,在NFET晶体管中为拉力。为建立PFET晶体管中的压应力,压缩膜应位于通道端部下。为建立NFET晶体管中的拉应力,压缩膜应位于通道中部下。
该压缩膜可由诸如氧化的多晶硅、氧化的非晶硅、氮化硅、氧化的SiGe的多种材料材料,或其它压缩膜制成。
本发明包括一种用于制造具有纵向应力的场效应晶体管的方法。该方法包括在通道下形成底切区;以及在底切区中形成压缩膜。底切区中的压缩膜向上推起通道,从而建立通道中的应力。通道可在中部被释放。底切区可通过蚀刻通道下的埋入氧化层形成。
另外,本发明包括一种场效应晶体管,其具有埋入氧化层、设置于埋入氧化层上的通道、通道下的底切区、以及设置于通道上方的栅极。压缩膜设置于底切区中,并且压缩膜在通道中建立了纵向应力。该底切区通过蚀刻埋入氧化层而形成。
附图说明
图1示出了根据本发明的PFET;
图2示出了根据本发明的NFET;
图3A至8B示出了一种用于制造根据本发明的PFET的方法;以及
图9A至13C示出了一种用于制造根据本发明的NFET的方法。
具体实施方式
本发明提供了具有用于增强电荷载流子迁移率的受应力通道区的NFET和PFET场效应晶体管(例如,CMOS器件)。本发明还提供了一种用于制造具有受应力通道的FET的方法。在本发明中,通道区的边缘被底切(undercut)掉(例如,利用等向性的蚀刻剂)。然后,在底切区中沉积压缩膜。压缩膜向上将通道从底切区中推起,从而使通道弯曲,并且将应力引入通道。根据压缩薄膜的设置,通道中的纵向应力将为压缩的或拉伸的。选择应力的类型(例如压缩或拉伸的)从而产生期望的FET中性能的变化。具体地说,PFET器件需要纵向压缩应力来增大电荷迁移率,而NFET器件需要纵向拉伸应力来增大电荷迁移率。
图1示出了根据本发明的PFET的侧视图。埋入氧化层(BOX)设置在衬底20上。衬底20可由硅或其它材料制成,而BOX可由二氧化硅制成。电流通道22设置在BOX上。源极区24和漏极区26连接至通道22。栅极28设置在通道22上方,并且通过栅极绝缘体30与通道隔开,栅极绝缘体30例如可由热氧化物制成。蚀刻BOX(例如,通过等向性蚀刻),使得底切区32出现在通道22的端部31A和31B处。压缩薄膜34位于底切区32中。压缩薄膜34可包括例如,汽相沉积的多晶硅或非晶硅或锗硅(其在沉积后被氧化)、氮化硅、或二氧化硅。纵向(即电流穿过通道22流动的方向)由箭头36指示。在硅通道的情况下,纵向36可沿<110>方向取向,并且晶片表面应为[100]平面,如现有技术中所知。本发明还可应用于具有其它晶体取向的晶体管。图1不必为机能器件;图2中未示出的额外的层(例如钝化层)以及电学接触和引线可在最终的机能器件中出现。
在图1的器件中,通道22的上部22A沿纵向受压缩应力,而通道22的下部22B沿纵向受拉伸应力。通道上部和下部中的应力由底切区32中的压缩薄膜34产生。具体地说,压缩薄膜34向上推起通道22的端部31A和31B,导致通道22如示地弯曲(即通道弯曲为从上面观察向下凹陷)。上部22A中的纵向压力使得电荷载流子迁移率增大,因为图1的器件为PFET。电荷载流子迁移率的增大也通过增大开态电流容量并不增加闭态电流而使开关速度增大。增大的电荷载流子迁移率的其它好处已为本领域所公知。
图2示出本发明的实施例,其中器件为NFET。在NFET中,需要纵向拉伸应力以增大电荷载流子迁移率。图2的实施例具有源极24、漏极26、栅极28、BOX和栅极绝缘体30。在该NFET中,底切区32设置于通道的中部40中,其紧接在栅极28下。通道22(位于源极24和漏极26下)的端部31A和31B未被底切。压缩薄膜34设置于底切区32中。
在图2的NFET中,压缩薄膜34向上推起通道的中部40,导致了通道22如示地在其中部40处向上弯曲。结果,通道弯曲为从上面观察通道22向上凸起。结果,上部22A受纵向拉伸应力,而下部22B受压缩应力。上部中的纵向拉伸应力提供了电荷载流子迁移率的增大。电荷载流子迁移率将正常地在下部22B中减小,由于其具有载流子迁移率增加所需的相反的应力。
应注意,在大多数FET中,通道的仅仅一部分承载电流。具体地说,电流在栅极28下的薄层(例如,约2至20nm厚)中流动。优选地,本器件设计为使得通道22的电流承载容量完全地或主要地位于上部22A其中。上部22A在PFET器件中处于压力下,而在NFET器件中处于拉力下。若电流承载层很厚,则上部22A就应该很厚。在一些器件中,电流承载层始终朝BOX沿伸。在此情况下,上部22A可始终朝BOX沿伸。对于上部22A设计为承载全部电流,就可以确保增加电荷载流子迁移率。
下面介绍用于制造根据本发明的PFET(图1的器件)的方法。图3A至8B示出了该方法的步骤。“B”图为“A”图沿点线41切开的横截面图;“A”图为顶视图。
图3A、3B:图3A为用于制造本发明器件的起始结构的顶视图,而图3B为其截面图。本技术领域已公知如何制造图3A和3B的结构。图3B沿为图3A的点线41的截面图。如本领域所公知,浅沟槽隔离材料(STI)与通道和垫片相邻地沉积。STI材料通常为通过高密度等离子体工艺沉积的邻四硅酸盐(TEOS)或二氧化硅。通道22可由硅制成,而垫片44可由氮化硅制成。通道具有与垫片相同的形状,如在图3A的顶视图中所见(通道可使用垫片44作为掩模形成)。通道可具有较宽范围的宽度,如2至200nm。
图4A、4B:沉积并构图掩模层46。掩模46具有开口48,其露出垫片44的端部和STI42的U形区。例如,掩模46可由光致抗蚀剂或图案化的硬掩模材料制成。开口48位于通道22将要沉积压缩膜34的端部的周围。
图5A、5B:进行蚀刻(例如非等向性等离子体蚀刻),从而从开口48中去除STI,并且露出BOX。垫片44未被蚀刻。
图6A、6B:蚀刻BOX,从而通道22在底切区32中被底切掉。图6A中的点线45示出了底切区32的边界。底切区32位于通道22的端部,其适于制造PFET器件。还可在此工艺期间蚀刻STI,这导致了STI层底角的圆化。在替代的实施例中,氮化硅间隔壁形成于STI 42的侧壁上,用于保护STI侧壁不被蚀刻。在另一个替代的实施例中,BOX在一些位置被完全地去除,从而露出衬底。
图7A、7B:在底切区32中沉积压缩膜34。压缩膜34优选为保形的,如通过低压化学汽相沉积工艺形成的。压缩薄膜34沿箭头50的方向向上推起通道22,使得从上面观察时,通道具有向下凹陷的形状。由于压缩膜34的推动,通道的上部22A处于压缩下,而下部22B处于拉伸下。在压缩膜为氧化的硅或氧化的SiGe时,压缩薄膜可具有例如范围约5至1000nm的厚度。厚度是依据通道中期望的应力量和压缩膜34中固有压缩的量。
图8A、8B:孔中填充类似氧化物49的材料,并随后平整化(例如通过化学机械平整(CMP))器件。然后,进行垫片去除、栅极氧化、栅极叠层构图、间隔壁、源极漏极注入、活化退火和硅化,从而建立机能FET,如微电子制造领域所公知。通常也可增加钝化层和引线图案。
下面介绍一种用于制造根据本发明的NFET(图2的器件)的方法。图9A至13C示出了该方法的步骤。“B”图为“A”图沿点线43切开的横截面图;“A”图为顶视图。“B”图为清晰而被放大2倍。
图9A、9B:在图3A和3B的结构的顶上沉积掩模46。掩模46被构图以具有通道22的中部40上方的开口52,这将有利于NFET的制造。开口52比通道22和垫片44更宽,使得一些STI 42被暴露出来。
图10A、10B:进行蚀刻(例如非等向性等离子体蚀刻),从而从开口52中去除STI,并且露出BOX。垫片44未被蚀刻。
图11A、11B:等向性蚀刻BOX,从而通道22在其中部40处被底切掉,并形成底切区32。点线55示出了底切区32的边缘。底切区32位于通道22的中部。在替代的实施例中,氮化硅间隔壁形成于STI 42的侧壁上,用于保护STI侧壁不被蚀刻。在替代的实施例中,蚀刻BOX直至底切区32被结合,并且中部40从衬底释放出来。
图12A、12B:压缩膜34沉积在底切区32中。压缩膜34在通道22的中部40中向上推起通道22。
图13A、13B:孔中用氧化物49填充,并且随后平整化器件。然后,形成源极和漏极的接触,形成栅极,并添加杂质以形成机能场效应晶体管,如微电子制造领域所公知。
图13C为图13A沿点线57切开的横截面图。压缩膜34沿箭头59的方向在通道22的中部40向上推起。这产生了通道上部中的纵向拉伸应力,该部分为通道承载电流的部分。进而,增大NFET的载流子迁移率。
PFET和NFET器件可在同时制造。例如,掩模46对于PFET和NFET器件可以为相同的掩模,而压缩膜34在PFET和NFET器件中可采用相同的步骤沉积。这提供了制造根据本发明的晶体管的有效方法。
在本发明的优选实施例中,压缩薄膜包括氧化的多晶硅或氧化的非晶硅,尽管其它的压缩膜材料也是适用的。
本发明中提供的纵向应力可提供与无纵向应力的等效晶体管相比的10%至20%的开关速度和开态电流容量的增加。性能的改善是显著的,并且将有助于降低成本和增加电子电路的功能性。
本晶体管的通道可由多种材料制成,包括除硅以外的,诸如锗、碳化硅或砷化镓的材料。应注意,在这些和其它的材料中,迁移率对应力的依赖关系可能与在硅中的不同。例如,在一些材料体系中,PFET的迁移率可通过纵向拉伸应力增大,而NFET的迁移率可通过纵向压缩应力增大。在此情况下,此处示出的PFET和NFET结构可反过来。还应注意,晶体取向可影响应力对通道的依赖。另外,不同的材料可需要与电流相关的不同的晶体取向,以实现施加应力的好处。
对本领域技术人员,显而易见,上述实施例可按多种方式变化,而不脱离本发明的范围。因此,本发明的范围应由所附权利要求和其等效范围确定。

Claims (15)

1.一种场效应晶体管,包括:
a)通道;
b)通道下的底切区;
c)设置于通道上方的栅极电极;以及
d)底切区中的压缩膜,其中,压缩膜在通道位于栅极电极下的区域中建立了纵向应力。
2.如权利要求1所述的晶体管,其中,该晶体管为PFET,并且通道的上部处于纵向压缩应力下。
3.如权利要求1所述的晶体管,其中,该晶体管为NFET,并且通道的上部处于纵向拉伸应力下。
4.如权利要求1所述的晶体管,其中,该晶体管为PFET,并且底切区设置于通道的端部下。
5.如权利要求1所述的晶体管,其中,该晶体管为NFET,并且底切区设置于通道的中部下。
6.如权利要求1所述的晶体管,其中,该晶体管为PFET,并且晶体管包括源极下的底切区和漏极区下的底切区。
7.如权利要求1所述的晶体管,其中,该压缩膜利用从由氧化的多晶硅、氧化的非晶硅、氮化硅、氧化的SiGe和热氧化硅构成的组中选取的材料制成。
8.一种用于制造具有受纵向应力的电流通道的场效应晶体管的方法,包括步骤:
a)在通道下形成底切区;以及
b)在底切区中形成压缩膜,从而在通道中建立纵向应力。
9.如权利要求8所述的方法,其中,该底切区位于通道端部处。
10.如权利要求8所述的方法,其中,该底切区位于通道中部的下面。
11.如权利要求10所述的方法,其中,该通道在中部被释放。
12.如权利要求8所述的方法,其中,该底切区通过从通道下蚀刻埋入氧化层而建立。
13.如权利要求8所述的方法,其中,该压缩膜通过沉积多晶硅并随后氧化该多晶硅而形成。
14.一种场效应晶体管,包括:
a)埋入氧化层;
b)设置于埋入氧化层上的通道;
c)通道下的底切区;
d)设置于通道上方的栅极电极;以及
e)底切区中的压缩膜,其中,压缩薄膜在通道位于栅极电极下的区域中建立了纵向应力。
15.如权利要求14所述的晶体管,其中,该底切区为被蚀刻的埋入氧化材料的区域。
CNB031597173A 2002-12-12 2003-09-23 具有受应力沟道的场效应晶体管及其制造方法 Expired - Lifetime CN1272856C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/318,601 US6717216B1 (en) 2002-12-12 2002-12-12 SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US10/318,601 2002-12-12

Publications (2)

Publication Number Publication Date
CN1507071A true CN1507071A (zh) 2004-06-23
CN1272856C CN1272856C (zh) 2006-08-30

Family

ID=32030475

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB031597173A Expired - Lifetime CN1272856C (zh) 2002-12-12 2003-09-23 具有受应力沟道的场效应晶体管及其制造方法

Country Status (5)

Country Link
US (2) US6717216B1 (zh)
JP (1) JP4452067B2 (zh)
KR (1) KR100512349B1 (zh)
CN (1) CN1272856C (zh)
TW (1) TWI246180B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100452321C (zh) * 2004-07-20 2009-01-14 国际商业机器公司 提高双极器件中电荷载流子迁移率的方法以及双极器件
CN100559555C (zh) * 2004-12-10 2009-11-11 国际商业机器公司 具有增强应力状态的器件及相关方法
US7659581B2 (en) 2005-11-30 2010-02-09 International Business Machines Corporation Transistor with dielectric stressor element fully underlying the active semiconductor region
CN101383348B (zh) * 2007-09-06 2010-04-14 国际商业机器公司 半导体器件
US7759739B2 (en) 2005-10-27 2010-07-20 International Business Machines Corporation Transistor with dielectric stressor elements
CN102184847A (zh) * 2011-04-22 2011-09-14 上海宏力半导体制造有限公司 半导体结构及形成方法、pmos晶体管及形成方法
CN103745928A (zh) * 2013-12-24 2014-04-23 上海新傲科技股份有限公司 具有应变沟道的晶体管制备方法以及具有应变沟道的晶体管
CN108122992A (zh) * 2016-11-28 2018-06-05 昆山工研院新型平板显示技术中心有限公司 沟道层结构及制备方法、以及薄膜晶体管器件及制备方法

Families Citing this family (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7041575B2 (en) 2003-04-29 2006-05-09 Micron Technology, Inc. Localized strained semiconductor on insulator
US6987037B2 (en) * 2003-05-07 2006-01-17 Micron Technology, Inc. Strained Si/SiGe structures by ion implantation
US7115480B2 (en) * 2003-05-07 2006-10-03 Micron Technology, Inc. Micromechanical strained semiconductor by wafer bonding
US7273788B2 (en) * 2003-05-21 2007-09-25 Micron Technology, Inc. Ultra-thin semiconductors bonded on glass substrates
US7008854B2 (en) * 2003-05-21 2006-03-07 Micron Technology, Inc. Silicon oxycarbide substrates for bonded silicon on insulator
US7501329B2 (en) * 2003-05-21 2009-03-10 Micron Technology, Inc. Wafer gettering using relaxed silicon germanium epitaxial proximity layers
US7662701B2 (en) * 2003-05-21 2010-02-16 Micron Technology, Inc. Gettering of silicon on insulator using relaxed silicon germanium epitaxial proximity layers
US7081395B2 (en) * 2003-05-23 2006-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon strain engineering accomplished via use of specific shallow trench isolation fill materials
US7202118B1 (en) * 2003-06-13 2007-04-10 Advanced Micro Devices, Inc. Fully depleted SOI MOSFET arrangement with sunken source/drain regions
US7439158B2 (en) * 2003-07-21 2008-10-21 Micron Technology, Inc. Strained semiconductor by full wafer bonding
US7153753B2 (en) * 2003-08-05 2006-12-26 Micron Technology, Inc. Strained Si/SiGe/SOI islands and processes of making same
US7410846B2 (en) * 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
JP4004448B2 (ja) * 2003-09-24 2007-11-07 富士通株式会社 半導体装置およびその製造方法
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US8008724B2 (en) * 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7029964B2 (en) 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7118999B2 (en) 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7202132B2 (en) * 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7381609B2 (en) 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US7205206B2 (en) 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US8450806B2 (en) * 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US7005302B2 (en) * 2004-04-07 2006-02-28 Advanced Micro Devices, Inc. Semiconductor on insulator substrate and devices formed therefrom
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
JP4102334B2 (ja) * 2004-06-16 2008-06-18 株式会社東芝 半導体装置及びその製造方法
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
JP4568041B2 (ja) * 2004-07-05 2010-10-27 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7268399B2 (en) * 2004-08-31 2007-09-11 Texas Instruments Incorporated Enhanced PMOS via transverse stress
WO2006030505A1 (ja) * 2004-09-16 2006-03-23 Fujitsu Limited Mos型電界効果トランジスタ及びその製造方法
US7078722B2 (en) * 2004-09-20 2006-07-18 International Business Machines Corporation NFET and PFET devices and methods of fabricating same
JP2006165335A (ja) * 2004-12-08 2006-06-22 Toshiba Corp 半導体装置
US7262087B2 (en) * 2004-12-14 2007-08-28 International Business Machines Corporation Dual stressed SOI substrates
US7173312B2 (en) * 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
US20060160317A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method to enhance stress in a channel of cmos devices using a thin gate
FR2881273B1 (fr) * 2005-01-21 2007-05-04 St Microelectronics Sa Procede de formation d'un substrat semi-conducteur de circuit integre
US7256081B2 (en) * 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
US7224033B2 (en) 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
WO2006092848A1 (ja) * 2005-03-01 2006-09-08 Fujitsu Limited 半導体装置及びその製造方法
JP2006269473A (ja) * 2005-03-22 2006-10-05 Seiko Epson Corp 半導体装置および昇圧回路
JP2006278754A (ja) 2005-03-29 2006-10-12 Fujitsu Ltd 半導体装置及びその製造方法
US20060226492A1 (en) * 2005-03-30 2006-10-12 Bich-Yen Nguyen Semiconductor device featuring an arched structure strained semiconductor layer
US7396724B2 (en) * 2005-03-31 2008-07-08 International Business Machines Corporation Dual-hybrid liner formation without exposing silicide layer to photoresist stripping chemicals
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US7262484B2 (en) * 2005-05-09 2007-08-28 International Business Machines Corporation Structure and method for performance improvement in vertical bipolar transistors
JP4630733B2 (ja) * 2005-05-31 2011-02-09 株式会社東芝 半導体装置
FR2887370B1 (fr) * 2005-06-17 2007-12-28 Commissariat Energie Atomique Procede de fabrication d'un transistor isole a canal contraint
US7892905B2 (en) * 2005-08-02 2011-02-22 Globalfoundries Singapore Pte. Ltd. Formation of strained Si channel and Si1-xGex source/drain structures using laser annealing
JP2007073800A (ja) * 2005-09-08 2007-03-22 Seiko Epson Corp 半導体装置
JP2007073799A (ja) * 2005-09-08 2007-03-22 Seiko Epson Corp 半導体装置
US7221024B1 (en) * 2005-10-27 2007-05-22 International Business Machines Corporation Transistor having dielectric stressor elements for applying in-plane shear stress
US20070099360A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation Integrated circuits having strained channel field effect transistors and methods of making
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7307320B2 (en) 2005-11-07 2007-12-11 Samsung Electronics Co., Ltd. Differential mechanical stress-producing regions for integrated circuit field effect transistors
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
US20100010692A1 (en) * 2005-11-14 2010-01-14 Honeywell International Inc. Integrating avionics system with single event upset autonomous recovery
US7709317B2 (en) * 2005-11-14 2010-05-04 International Business Machines Corporation Method to increase strain enhancement with spacerless FET and dual liner process
US7348638B2 (en) * 2005-11-14 2008-03-25 International Business Machines Corporation Rotational shear stress for charge carrier mobility modification
US7476938B2 (en) * 2005-11-21 2009-01-13 International Business Machines Corporation Transistor having dielectric stressor elements at different depths from a semiconductor surface for applying shear stress
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7776695B2 (en) * 2006-01-09 2010-08-17 International Business Machines Corporation Semiconductor device structure having low and high performance devices of same conductive type on same substrate
US7635620B2 (en) * 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7544584B2 (en) 2006-02-16 2009-06-09 Micron Technology, Inc. Localized compressive strained semiconductor
US7691698B2 (en) 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US20070224838A1 (en) * 2006-03-27 2007-09-27 Honeywell International Inc. Method of straining a silicon island for mobility improvement
US7566605B2 (en) * 2006-03-31 2009-07-28 Intel Corporation Epitaxial silicon germanium for reduced contact resistance in field-effect transistors
US7615418B2 (en) * 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7521307B2 (en) * 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US8853746B2 (en) * 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
US7462916B2 (en) * 2006-07-19 2008-12-09 International Business Machines Corporation Semiconductor devices having torsional stresses
JP2008028357A (ja) * 2006-07-24 2008-02-07 Hynix Semiconductor Inc 半導体素子及びその製造方法
US7485544B2 (en) * 2006-08-02 2009-02-03 Micron Technology, Inc. Strained semiconductor, devices and systems and methods of formation
US8962447B2 (en) * 2006-08-03 2015-02-24 Micron Technology, Inc. Bonded strained semiconductor with a desired surface orientation and conductance direction
US7968960B2 (en) 2006-08-18 2011-06-28 Micron Technology, Inc. Methods of forming strained semiconductor channels
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US8754446B2 (en) * 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
US7829407B2 (en) * 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
US7572712B2 (en) 2006-11-21 2009-08-11 Chartered Semiconductor Manufacturing, Ltd. Method to form selective strained Si using lateral epitaxy
JP2008153515A (ja) * 2006-12-19 2008-07-03 Fujitsu Ltd Mosトランジスタ、そのmosトランジスタの製造方法、そのmosトランジスタを利用したcmos型半導体装置、及び、そのcmos型半導体装置を利用した半導体装置
US7538391B2 (en) * 2007-01-09 2009-05-26 International Business Machines Corporation Curved FINFETs
US20080173950A1 (en) * 2007-01-18 2008-07-24 International Business Machines Corporation Structure and Method of Fabricating Electrical Structure Having Improved Charge Mobility
US7615435B2 (en) * 2007-07-31 2009-11-10 International Business Machines Corporation Semiconductor device and method of manufacture
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
KR101408743B1 (ko) * 2007-12-11 2014-06-18 삼성전자주식회사 반도체 패키지 및 반도체 패키지 제조 방법
US7737498B2 (en) * 2008-05-07 2010-06-15 International Business Machines Corporation Enhanced stress-retention silicon-on-insulator devices and methods of fabricating enhanced stress retention silicon-on-insulator devices
US20100019322A1 (en) * 2008-07-23 2010-01-28 International Business Machines Corporation Semiconductor device and method of manufacturing
US8218353B1 (en) 2009-09-16 2012-07-10 Altera Corporation Memory element circuitry with stressed transistors
US8084822B2 (en) * 2009-09-30 2011-12-27 International Business Machines Corporation Enhanced stress-retention fin-FET devices and methods of fabricating enhanced stress retention fin-FET devices
US8138523B2 (en) 2009-10-08 2012-03-20 International Business Machines Corporation Semiconductor device having silicon on stressed liner (SOL)
US8138791B1 (en) * 2010-01-27 2012-03-20 Altera Corporation Stressed transistors with reduced leakage
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
US8647935B2 (en) 2010-12-17 2014-02-11 International Business Machines Corporation Buried oxidation for enhanced mobility
CN103855025B (zh) * 2012-12-05 2017-06-13 中芯国际集成电路制造(上海)有限公司 Nmos晶体管及其制作方法
KR102510397B1 (ko) * 2017-09-01 2023-03-16 삼성디스플레이 주식회사 박막 트랜지스터 및 이를 포함하는 디스플레이 장치
US10374089B2 (en) 2017-12-22 2019-08-06 International Business Machines Corporation Tensile strain in NFET channel
KR102563569B1 (ko) * 2021-07-12 2023-08-04 한양대학교 산학협력단 컴팩트 드레인 및 이종 물질 구조에 기반하는 트랜지스터

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6376286B1 (en) * 1999-10-20 2002-04-23 Advanced Micro Devices, Inc. Field effect transistor with non-floating body and method for forming same on a bulk silicon wafer
US6472258B1 (en) * 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6624478B2 (en) * 2002-01-30 2003-09-23 International Business Machines Corporation High mobility transistors in SOI and method for forming
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100452321C (zh) * 2004-07-20 2009-01-14 国际商业机器公司 提高双极器件中电荷载流子迁移率的方法以及双极器件
CN100559555C (zh) * 2004-12-10 2009-11-11 国际商业机器公司 具有增强应力状态的器件及相关方法
US7759739B2 (en) 2005-10-27 2010-07-20 International Business Machines Corporation Transistor with dielectric stressor elements
US7659581B2 (en) 2005-11-30 2010-02-09 International Business Machines Corporation Transistor with dielectric stressor element fully underlying the active semiconductor region
CN101383348B (zh) * 2007-09-06 2010-04-14 国际商业机器公司 半导体器件
CN102184847A (zh) * 2011-04-22 2011-09-14 上海宏力半导体制造有限公司 半导体结构及形成方法、pmos晶体管及形成方法
CN102184847B (zh) * 2011-04-22 2017-02-22 上海华虹宏力半导体制造有限公司 半导体结构及形成方法、pmos晶体管及形成方法
CN103745928A (zh) * 2013-12-24 2014-04-23 上海新傲科技股份有限公司 具有应变沟道的晶体管制备方法以及具有应变沟道的晶体管
CN108122992A (zh) * 2016-11-28 2018-06-05 昆山工研院新型平板显示技术中心有限公司 沟道层结构及制备方法、以及薄膜晶体管器件及制备方法
CN108122992B (zh) * 2016-11-28 2020-04-17 昆山工研院新型平板显示技术中心有限公司 沟道层结构及制备方法、以及薄膜晶体管器件及制备方法

Also Published As

Publication number Publication date
JP4452067B2 (ja) 2010-04-21
CN1272856C (zh) 2006-08-30
US6884667B1 (en) 2005-04-26
US6717216B1 (en) 2004-04-06
KR100512349B1 (ko) 2005-09-05
JP2004193596A (ja) 2004-07-08
KR20040051505A (ko) 2004-06-18
TW200425465A (en) 2004-11-16
TWI246180B (en) 2005-12-21

Similar Documents

Publication Publication Date Title
CN1272856C (zh) 具有受应力沟道的场效应晶体管及其制造方法
US11855210B2 (en) Method for fabricating a strained structure and structure formed
US7629220B2 (en) Method for forming a semiconductor device and structure thereof
US7659561B2 (en) Methods of fabricating semiconductor devices and structures thereof
JP5056011B2 (ja) 半導体装置及びその製造方法、FinFETの製造方法
US8759904B2 (en) Electronic device having plural FIN-FETs with different FIN heights and planar FETs on the same substrate
US6787439B2 (en) Method using planarizing gate material to improve gate critical dimension in semiconductor devices
US20060214237A1 (en) Using different gate dielectrics with NMOS and PMOS transistors of a complementary metal oxide semiconductor integrated circuit
US20060138479A1 (en) Tensile strained substrate
US20070235823A1 (en) CMOS devices with improved gap-filling
JP2011124601A (ja) 自己整合されたデュアル応力層を用いるcmos構造体及び方法
CN1768419A (zh) 形成鳍状场效应晶体管器件中的结构的方法
CN1627487A (zh) 环绕栅极场效应晶体管
US7341915B2 (en) Method of making planar double gate silicon-on-insulator structures
US20060115947A1 (en) Planarizing method for forming FIN-FET device
US20100308382A1 (en) Semiconductor structures and methods for reducing silicon oxide undercuts in a semiconductor substrate
US6350696B1 (en) Spacer etch method for semiconductor device
US7838371B2 (en) Method of manufacturing a FET gate
CN1992183A (zh) 使用绝缘体上硅晶片制造晶体管的方法
CN102956701B (zh) 鳍式场效应管的结构及形成方法
TWI736300B (zh) 射頻積體電路及其製造方法
US7510918B2 (en) Transistor and method of manufacturing the same
CN113540235A (zh) 半导体器件及其形成方法
TW200416940A (en) Method to form different gate spacer widths

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171201

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171201

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
CX01 Expiry of patent term

Granted publication date: 20060830

CX01 Expiry of patent term