CN1535196A - 化学机械抛光垫的调节的前馈和反馈控制 - Google Patents

化学机械抛光垫的调节的前馈和反馈控制 Download PDF

Info

Publication number
CN1535196A
CN1535196A CNA028147677A CN02814767A CN1535196A CN 1535196 A CN1535196 A CN 1535196A CN A028147677 A CNA028147677 A CN A028147677A CN 02814767 A CN02814767 A CN 02814767A CN 1535196 A CN1535196 A CN 1535196A
Authority
CN
China
Prior art keywords
pad
speed
parameter
wafer
wafer material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA028147677A
Other languages
English (en)
Inventor
Y・J・派克
Y·J·派克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1535196A publication Critical patent/CN1535196A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B53/00Devices or means for dressing or conditioning abrasive surfaces
    • B24B53/017Devices or means for dressing, cleaning or otherwise conditioning lapping tools
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/02Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent
    • B24B49/03Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent according to the final size of the previously ground workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/18Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation taking regard of the presence of dressing tools
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/19Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by positioning or contouring control systems, e.g. to control position from one programmed point to another or to control movement along a programmed continuous path
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32053Adjust work parameter as function of other cell
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32065Synchronise set points of processes
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P80/00Climate change mitigation technologies for sector-wide applications
    • Y02P80/40Minimising material used in manufacturing processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

一种调节抛光垫的平整表面的方法,设备和介质包括:在具有抛光垫(1080)和调节盘(1030)的化学机械抛光(CMP)设备(100)中安放待抛光晶片;在第一套垫调节参数下抛光该晶片,选择该套参数是为将晶片材料去除速率保持在预选的最小和最大去除速率范围内;确定发生在抛光步骤期间的晶片材料去除速率;计算更新的垫调节参数以将晶片材料去除速率保持在最大和最小去除速率范围内;以及用该更新的垫调节参数调节该抛光垫(1080),其中该更新的垫调节参数用垫磨损和调节模型计算,该模型基于诸如该调节盘的调节向下的力和旋转速度等调节参数预测该抛光垫的该晶片材料去除速率。

Description

化学机械抛光垫的调节的前馈和反馈控制
本申请对在2001年6月19日申请的,题目为“半导体制造工艺的先进工艺控制”的美国专利60/298878;在2001年7月16日申请的,题目为“化学机械抛光垫的调节的前馈和反馈控制”的美国专利60/305782;在2001年9月12日申请的,题目为“化学机械抛光垫的调节的前馈和反馈控制”的美国专利60/318741;以及在2001年11月30日申请的,题目为“化学机械抛光垫的调节的前馈和反馈控制”的美国专利09/998384,要求优先权,所有这些专利收编在此以供参考。
技术领域
本发明一般关于抛光领域以及提高抛光垫寿命的方法。
背景技术
为获得半导体晶片的完全平面化(planarization)将化学机械抛光(CMP)用于半导体制造工艺。该方法包括利用机械接触和来自例如运动着的浸透浆料(slurry)的抛光垫的化学腐蚀从该晶片(一般是二氧化硅(SiO2))上去除材料(例如,表面材料的牺牲层)。因为高形貌区域(丘)比低形貌区(谷)去除的速度更快,所以抛光整平了高度差。图1A显示一CMP机100的俯视图,而图1B显示延线A-A截开的CMP机100的侧剖视图。将晶片供给该CMP机100进行抛光。典型地,该CMP机100利用臂101拾起晶片105,并将其放到旋转的抛光垫102上。该抛光垫102用弹性材料制成,并且常常具有一定的纹理,以有助于该抛光工艺。该抛光垫102在位于该抛光垫102下面的台板104或旋转台上以预定的速度旋转。该晶片105被该臂101固定在该抛光垫102上的适当位置。该晶片105的下表面放在抛光垫102上。该晶片105的上表面贴在臂101的晶片运载器(wafer carrier)106的下表面。当该抛光垫102旋转时,该臂101以预定的速度转动该晶片105。该臂101以预定大小的向下的力将该晶片105压在该抛光垫102上。该CMP机100还包括伸入该抛光垫102半径长度的浆料散布臂(slurrydispense arm)107。该浆料散布臂107将浆料流体散布在该抛光垫102上。
众所周知,对于给定抛光垫,提供的材料去除速率(removal rate)以图2所示的方式随时间指数降低。结果,在抛光循环周期之间,必须调节该抛光垫(例如,用调节盘108)。这样做使该抛光垫的表面变粗糙并至少暂时地恢复其原来的去除速率。当该垫不能再调节时,其被更换。
传统调节方法的问题是其可能过度调节,例如,耗损平整表面(planarizing surface),并因此降低该抛光垫的垫寿命。因为在材料去除速率方面,垫与垫之间的不同,每次更换垫时,CMP工具必须重新校准以便得到期望的材料去除速率。在垫更换期间损失的生产时间转化为加工的延迟并使效率降低。
为了延长该垫的寿命,已报道的用于选择性地调节抛光垫方法以及基于在该整个平整表面的不能接受的垫状况的可能的或可探测到的的分布,沿该CMP垫的表面改变调节元件(例如,调节盘108)向下的力的方法有很多种,报道的其它方法主要关于根据抛光垫的不均匀性,在整个该抛光垫的该表面改变调节方式(conditioning recipe)。然而,这些已报道的CMP工艺一般更关注于改进该CMP工艺,例如,改进晶片内非均匀性(within wafer non-uniformity),而不是延长垫寿命。
能延长垫寿命并因此减小垫的更换频率的方法和设备可为晶片制造工艺显著地节省费用。
发明内容
本发明关于一种调节抛光垫的平整表面以便延长该垫的工作寿命的方法、设备和介质。更特别的是本发明用垫磨损和晶片平面化工艺的物理和/或化学模式(这些模式可以作为单一模式或多重模式执行)来预测抛光垫性能并且延长垫寿命。这使得用单个抛光垫所能抛光的半导体晶片或其它基片的数目增加,从而在CMP工艺中,在减少所需要的垫数量和减少用于垫更换的时间两个方面,显著地节省费用。
该模型基于“调节”调节工艺的操作参数来预测抛光效率(晶片材料去除速率)。在本发明的至少一些实施例中,调节参数包括压力(该调节盘向下的力(conditioning disk down force))和速度(该调节盘的旋转速度),也可以包括其它因素,诸如调节频率、调节持续时间以及调节盘在整个该垫表面的平移速度(translational speed)。该模型选择,然后保持抛光垫调节参数在一个范围内,该范围是在提供可接受的晶片材料去除速率的同时,不过度调节该垫的范围。因此,本发明提供用于该CMP抛光工艺的前馈和反馈控制的工艺。虽然本发明在此被描述成与盘的使用有关,该盘的表面上有一层磨料(abrading),该表面被推向该垫并且相对于该垫移动,但是,本发明的技术可以用于其它调节机构。
在本发明的一个方式中,提供一种在具有抛光垫的化学机械抛光设备CMP中调节平整表面的方法,其中,将晶片放在该抛光垫上以从该晶片上去除材料,并将调节盘放在该抛光垫上以对该抛光垫进行调节。该方法包括提供一个垫磨损和调节模型,该模型将晶片材料去除速率定义为至少一个垫调节参数的函数,所述至少一个垫调节参数具有最大和最小值;在该CMP设备中,在第一套调节参数下抛光晶片,该套参数的选择是为将晶片材料去除速率保持在预选的最大和最小去除速率范围内;确定出现在所述抛光步骤期间的晶片材料去除速率;根据步骤(c)中所述确定的晶片材料去除速率以及该垫磨损和调节模型,计算更新的垫调节参数以将晶片材料去除速率保持在最大和最小去除速率范围内;以及用该更新的垫调节参数调节该抛光垫。
在至少一些实施例中,该方法包括在该CMP设备中,在第一套调节参数下抛光晶片,该套参数的选择是为将晶片材料去除速率保持在预选的最大和最小去除速率范围内(在本发明的至少一些实施例中,该调节与抛光同时进行);确定出现在所述抛光步骤期间的晶片材料去除速率;根据该晶片材料去除速率,计算更新的垫调节参数,以将晶片材料去除速率保持在最大和最小去除速率范围内;以及利用该更新的垫调节参数调节该抛光垫。在至少一些实施例中,该抛光步骤包括一个晶片的抛光或其包括两个或多个晶片的抛光,即一个抛光周期。该晶片材料去除速率可以被取平均或可以用最后抛光的晶片材料去除速率来更新垫调节参数。
用垫磨损和调节模型,通过将晶片材料去除速率作为包括调节盘向下的力和该调节盘的速度的垫调节参数的函数计算更新的垫调节参数;并且确定该计算的和该测量的晶片材料去除速率之间的差别,以及计算更新的垫调节参数以减小所述差别,其中该更新的垫调节参数根据方程k=(k1)+g*(k-k1))更新,这里k是测量的晶片材料去除速率,k1是计算的晶片材料去除速率,g是估计增益,(k-k1))是预测误差。
在至少一些实施例中,所述第一套垫调节参数凭经验,或用历史数据,或用实验设计(DOE)的结果确定,该DOE是用于定义该模型的一套实验。
在至少一些实施例中,该垫磨损和调节模型的该垫调节参数包括调节期间的调节频率,或调节时间,或该调节盘的平移速度(该盘的移动速度而非该盘的旋转速度)。
在至少一些实施例中,晶片材料去除速率包括测量抛光前和抛光后的该晶片厚度,计算更新的垫调节可以包括执行一递归最优化工艺。
在至少一些实施例中,增益g是用于指示测量的参数中的可变性或可靠性的值。
在至少一些实施例中,垫寿命根据下面的关系式定义:
PadLife=f(Fdisk,ωdisk,tconditioning,f,T2),
这里Fdisk是在调节期间,该调节盘施加到该CMP垫的向下的力,ωdisk是在抛光垫调节期间,该调节盘的角速度,t是调节持续时间,f是调节频率,T2是再调节期间,该调节盘的扫过速度(sweep speed)。
在至少一些实施例中,所述晶片材料去除速率用下面的方程定义:
RemovalRate ] min max = f ( F disk ] min max , ω disk ] min max , f ] min max , t conditoning ] min max , T 2 ] min max )
这里Fdisk是在调节期间该调节盘施加到该CMP垫的向下的力,ωdisk是在抛光垫调节期间该调节盘的角速度,t是调节持续时间,f是调节频率,T2是再调节期间,该调节盘的扫过速度。在至少一些实施例中,晶片材料去除速率的最大值是晶片材料去除速率与调节向下的力(conditioning down force)关系曲线的饱和点值,在这里向下的力的增加不影响去除速率。在至少一些实施例中,所述晶片材料去除速率的最小值以及由此引起的最小可接受的调节参数由最大可接受晶片抛光时间定义。
在至少一些实施例中,所述晶片材料去除速率根据下面的方程确定:
y ^ i = ρ i x i + I i
这里
Figure A0281476700142
是关于调节参数xi的该晶片材料去除速率,ρi是斜率,Ii是定义 与xi之间关系的曲线的截距,这里可能影响晶片抛光的其它参数保持不变。
在至少一些实施例中,通过解下面的方程确定更新的垫调节参数:
x i + = y ^ i + - I i - W i W T · Δ y ^ ρ i
这里 是目标晶片去除速率,Wi是调节参数xi的加权因子,Δy是晶片材料去除速率的预测误差。
在本发明的至少一些方式中,用于调节抛光垫(该抛光垫通过从基片上去除材料以平整该基片)的设备包括:有一个位于抛光垫的平整表面之上的臂的运载组件(carrier assembly);与该运载组件连接的调节盘;以及能控制该调节盘的操作参数的致动器(actuator);可与该致动器耦合的控制器,该控制器操纵该致动器以调整所述调节盘的操作参数,将其作为垫磨损和垫调节模型的一个函数(as a function);该模型根据抛光垫和晶片参数预测该抛光垫的晶片材料去除速率。用一个模型,并通过将晶片材料去除速率作为包括调节盘向下的力和调节盘旋转速率(rotation rate)的垫调节参数的函数的确定,预测该调节盘的调节向下的力和旋转速度(rotational speed)。
在至少一些实施例中,该晶片材料去除速率根据下面的方程确定:
y ^ i = ρ i x i + I i
这里
Figure A0281476700147
是关于调节参数xi的该晶片材料去除速率,ρi是斜率,Ii是定义
Figure A0281476700148
与xi之间关系的曲线的截距。
在至少一些实施例中,所述校正的垫调节参数通过解下面的方程确定:
x i + = y ^ i + - I i - W i W T · Δ y ^ ρ i
这里 是目标晶片去除速率,Wi是调节参数xi的加权因子,Δy是晶片材料去除速率的预测误差。
这样,无论什么时候去除速率下降到可接受的去除速率以下,在保持晶片材料去除速率在可接受范围内的同时,通过利用更合适的调节盘向下的力和角速度以及通过调整该调节参数都可将抛光垫的寿命延长。通过将“万能标准”的方法应用到垫调节参数(例如,不考虑实际的晶片材料去除速率的实际变化而确定调节参数的方法),传统工艺会过度补偿,这样去除的垫材料比必须的多,并且会加速垫磨损。因此,本发明提供了更理想的调节参数,即仅重调整被损坏的垫所需要的那些因素。
附图说明
参照附图及相关详细描述,可以更充分地理解本发明的各种目的,特征,和优点。
图1A-B显示一传统的CMP机。图1A显示传统的CMP机的俯视图。图1B显示图1A的现有技术的CMP机沿线A-A截开的侧剖视图。
图2显示晶片材料去除速率的指数衰减和出现在图3B和3C之间的去除速率的平衡状态。
图3A-C显示发生在抛光垫和抛光浆料(polishing slurry)之间的化学反应。图3A大体显示聚氨酯(polyurethane)抛光垫的化学结构和在NCO基团之间形成的离子键。图3B大体显示水如何通过打断聚氨酯成分中NCO基团之间的离子键与该聚氨酯抛光垫形成离子键的。图3C大体显示硅浆料如何与水以及聚氨酯抛光垫形成氢键的。
图4A-C是抛光垫的剖面图。图4A是一新的抛光垫的图。图4B是用过的抛光垫的图。图4C显示如何将一用过的抛光垫刷新(refurbish)以继续使用。
图5是用在CMP工艺最优化中的该反馈回路的流程图。
图6是说明数据采集及垫磨损和调节模型的产生的流程图。
图7是一曲线图,大体给显示与该调节盘施加在该抛光垫上的该压力有关的该晶片材料去除速率。
图8是一曲线图,大体显示与该调节盘施加在该抛光垫上的该旋转速度有关的该晶片材料去除速率。
图9是一基于图7和8的模型,用于预测和调整下一个晶片去除的去除速率。
图10是一用在本发明的至少一些实施例的方法中的CMP机的侧剖视图。
图11是用在本发明的至少一些实施例中的一计算机系统的框图,该系统包括工具表示(tool representation)和访问控制(access control)。
图12是根据本发明的至少一些实施例的软盘图示说明,该软盘可以存储该软件的各个部分。
具体实施方式
这里描述用于最大限度地延长抛光垫的寿命的CMP工艺的前馈和反馈控制的新颖方法。因为该抛光垫可以在一段较长时间内抛光更多晶片而无需更换或调整(例如,换掉该垫),延长垫寿命意味着减少CMP工艺的停机时间。术语晶片在一般意义上被用于包括任何需要抛光的基本平的物体。晶片包括,除单片结构(monolith structure)外,具有一层或多层或薄膜沉积其上的基片。
多数CMP垫材料含有聚氨脂或其它聚合物材料,当其与水接触时会变软。如图3A,3B,3C显示的与该垫相关的化学反应解释了其软化可能发生的过程。尤其是,在全新垫的聚氨脂(urethane)中的异氰酸脂(ispcynate NCO)基团一般通过氢键交叉连接,如图3A所示。当来自该抛光浆料中的水接触该垫时,该水打断交叉连接的聚氨脂结构的氢键,并与该聚氨脂形成氢键,如图3B所示。当水取代该交叉连接的聚氨脂结构时,该垫变得更软。此外,图3B中的结构可以与该浆料中的二氧化硅(SiO2)(来自该抛光工艺中去除的材料)反应,以在该聚氨脂垫中与NCO基团产生额外的氢键,如图3C所示。该二氧化硅与该聚氨脂结构发生化学反应后的垫变得“有毒”。随着水从该浆料中蒸发,该二氧化硅使该垫变硬。该浆料成分与该垫的该氢键阻碍了垫中浆料运动的平均自由程(mean free path),并且减小了该晶片和该垫的活性接触面积(active contact area),因此在相应进行抛光的晶片中该晶片的去除速率和表面非均匀性降低。图2显示对照出现在图3B和3C中的化学反应的平衡状态,该去除速率随时间的延长而减小。一旦达到平衡,该垫毒害将停止。
图4A,4B和4C是显示垫调节的简化模型。如图4A所示,假设活性垫部位2(active pad site)的高度(或深度)1等同于垫3的寿命。随着该高度1的降低,该垫3预期的更长的寿命减短。图4B中显示的该垫3有毒区域4出现在平衡状态,且以化学方法用图2B和2C表示。如图4C所示,有毒区域4一般通过垫调节用物理方法去除,这样新的活性部位2将再次显露出来。图4A,4B,4C显示的过程在该垫的整个寿命周期内将不断重复,直到没有活性部位可用为止。
上面描述的在该抛光垫的平面化(planarization)和调节期间的化学和机械工艺提供了用于该平面化工艺的优化模型。用此模型,在晶片抛光期间,通过调整该调节参数可以延长该垫的寿命而不会降低晶片材料去除速率。尤其是,在该抛光操作期间,在一个预测并随后优化垫调节操作参数的反馈及前馈回路中,调整调节盘向下的力(F)和调节盘的旋转(或角)速度(rpm),以及可选的其它调节参数,例如,调节频率,盘平移速度,以及调节持续时间。
根据本发明的至少一些实施例,基于对该晶片抛光工艺的认识,建立一个初始模型,并将其用于本发明的至少一些实施例中,如图5流程图所示。基于该初始模型,对一给定的晶片抛光方式(polishingrecipe),例如该晶片和抛光垫参数保持不变,确定初始处理条件,这样对给定的一套调节参数将提供晶片材料去除速率在一预选的最小和最大值范围内,以下称为晶片材料去除速率的“可接受的”范围。选择这些条件来避免对该垫的过度调节。在步骤500中,用初始垫调节参数抛光晶片。在步骤510中,测量该被抛光晶片的厚度,并且计算晶片材料去除速率,此信息随后被用在反馈回路以将该晶片材料去除速率保持在所述可接受的范围内。将实际的去除速率与预测的去除速率(来自该垫磨损模型)进行比较。偏离(deviation),即预测误差,被用于根据本发明的所述模型在步骤520中计算垫调节参数,以便补偿如在该模型中识别的该抛光垫减小的抛光能力和/或修正任何不可建模(un-modeled)的效应。在步骤530,根据该更新的调节参数调节该抛光垫。在步骤540中重复抛光,并且通过重复步骤510-530,该抛光结果被用于进一步更新所述抛光条件。
通过将所述晶片材料去除速率和调节参数保持在预选的最小和最大范围内,避免了该垫的过度调节,即,调节参数足以恢复抛光垫的效率,但未过度地损坏该垫。在操作中,可能需要选择能产生与最小可接受的速率接近的晶片材料去除速率的垫调节参数,因为这些调节的影响因素较弱,因此,更有可能避免对该抛光垫的过度调节。然而,应当谨慎(或至少认识到)操作不要太接近该最小去除速率,因为该垫状况的突然退化(degradation)可能引起所述晶片材料去除速率降到最小可接受速率以下。
如先前指出的那样,传统技术的CMP工艺不改变所述调节向下的力(即该调节盘施加到该垫上的压力)或在整个该表面的所述旋转速度的均匀性,例如从调节操作到调节操作,这里单一调节操作可以是,例如,在抛光期间与该晶片接触的该整个抛光垫或该抛光垫的一部分的调节。通过将“万能标准”的方法应用到垫调节参数,该传统工艺会过度补偿,这样去除的垫材料比必须的多,并且会加速垫磨损。因此本发明提供了更理想的调节参数。
参照特定抛光系统对垫调节最优化。即,延长垫寿命的条件对正在被抛光的晶片类型,浆料及该抛光垫的组成(composition)来说是特定的。一旦晶片/浆料/抛光垫系统被确定,即可用在此建立及论述的模型将该系统特征化。示例性的抛光垫和晶片参数包括抛光垫尺寸、抛光垫组成、浆料成分、晶片成分、抛光垫的旋转速度、抛光垫压力、以及该晶片的平移速度。
在本发明的至少一些实施例中,可以设想为每一个浆料/抛光垫晶片组合(即,可能用于具有给定类型的晶片的生产的每一不同型号/牌子的浆料和每一型号/牌子的垫)建立一个单独的模型(或至少复合模型的补充)。
图6显示在本发明的至少一些实施例中,用于建立所述垫磨损和调节模型的步骤的流程图。在本发明的至少一些实施例所设计的模型建立过程的第一步600中,在所选择的抛光系统中,确定晶片材料去除速率与第一调节参数xi(例如,调节盘向下的力Fdisk)之间的关系。在诸如抛光力,抛光持续时间等晶片参数保持不变的情况下,通过测量在不同的向下调节力下的晶片材料去除速率确定该关系。这样,可在特定的条件下,例如,对特定的时间和特定的抛光垫及晶片速度下可以抛光晶片,并可以确定材料去除速率。垫调节和晶片抛光(“抛光操作”)可以同时完成,即用诸如图10所示的设备,或该晶片抛光可以紧随垫调节之后。该调节向下的力从晶片到晶片(厚度测量到厚度测量)逐渐增加,而所有其它参数保持不变,并且该晶片去除速率被再次确定。可以产生图7所示的曲线,该曲线说明对一给定的抛光系统(所有其它参数保持不变),所述调节盘向下的力对该晶片的材料去除速率的影响。
参照图7,在曲线700的第一部分,斜率表明,相对于向下的力的变化,该曲线是线性特性曲线,并用角θ1表示此特征。θ1的值是描述该抛光工艺对向下调节力的响应的。θ1的值越大,该曲线的斜率越陡,则该平面化工艺对调节向下的力越敏感。在该曲线的第二部分720,该曲线变平,并且对调节向下的力的增加基本没有响应。这被称作饱和点。饱和的出现用角θ2描述,θ2的值越大,饱和的出现越缓慢(有毒)。
在图6的步骤610中,确定模型变量的最小和最大值。对这一抛光系统,饱和点为此抛光系统确定最大的(或基本最大的)去除速率,而所有其它抛光参数保持不变。由于额外的压力只会过度调节该垫,而且基本不会提高抛光速率,所以该饱和点同样确定了最大的调节向下的力。因为需要最小的晶片产出率,所以最小材料去除速率由生产目标规定。这样基于产出也定义了最小的调节向下的力。一旦定义了最小和最大的调节向下的力的值,则将该范围分为n步,例如n个等同的步骤,其包括调节向下的力的可接受的工作范围。对于用于更新反馈控制算法中的模型参数,选择n值以使赋值步骤,例如从x到x+1的步骤有意义。
在步骤620,如本发明的至少一些实施例所预期的那样,晶片去除速率和第二调节参数x2(例如,调节盘旋转速度)之间的关系在相同的抛光系统中,以上面描述的有关调节向下的力的方式确定。参考图8,可以产生一曲线以便说明该垫旋转速度对所述晶片材料去除速率的影响(所有其它参数保持不变)。同样,所用的旋转速度逐渐增加,并且对每一抛光操作,测量晶片材料去除速率。区域800表明,相对于垫旋转速度的变化,该曲线是线性特性曲线,并且用角θ3表示其特征。在区域820,该曲线变平,并对旋转速率的增加基本没有响应。这被称为饱和点,并用角θ4描述。在图6的步骤630,对这一抛光系统,该最大晶片材料去除速率和最大旋转率用该饱和点定义,而所有其它的抛光参数保持不变。最小旋转速率用生产确定(production-established)的最小晶片材料去除速率确定,例如,其是基于产出的考虑。如上所述,对于调节向下的力,可以将盘旋转速度的所述可接受的范围分成m步(例如,等值的)用于在反馈控制算法中更新模型参数。
这些模型提供了最大和最小晶片材料去除速率,最大和最小垫向下的力,以及最大和最小垫旋转速率。此外,还确定了常数θ14的值。虽然上述实验设计显示了一个调节参数,其说明晶片去除速率随该参数大小的增大而增大,但是,要意识到相反的关系也可能存在,这样最小参数值产生最大的晶片去除速率。也可以相应地调节该模型。对于本技术领域中公知的抛光垫,晶片和抛光浆料的任意组合可以确定最大和最小条件。直到x1的其它垫调节参数也可以包括在该模型中,并且它们的最小和最大值如步骤640和650所指示的那样确定。
该模型可以表达为反应该系统的原始数据,或其可以用方程表示,例如多输入—多输出线性、二次和非线性方程,这些方程用于描述该系统各变量之间的关系。在步骤660中,基于上述模型,利用各种方法构建反馈和前馈控制算法。用各种方法,诸如递归参数估计(recursiveparameter estimation),该算法可用于最优化参数。递归参数估计用于诸如在接收到输入—输出数据的同时,进行在线模拟(model on line)的情形。递归参数估计非常适合在线决策,诸如自适应控制(adaptivecontrol)或自适应预测(adaptive prediction)。关于识别算法和原理的更详细的内容,见新泽西上鞍河(Upper Saddle River)的Prentice Hall出版公司1999年出版的,L·扬所著“系统识别-给使用者的理论”的第2版。
该抛光垫的磨损和重调节可以用方程1模拟:
PadLife=f(Fdisk,ωdisk,tconditioning,f,T2    (1)
这里Fdisk是,在调节期间,该调节盘施加在该抛光垫上的向下的力,ωdisk是,在该抛光垫的调节期间,该调节盘的角速度(旋转速度,例如rpm),t是调节时间,f是调节频率,T2是如图10的示例性的CMP设备中所显示的调节架(conditioning holder)的扫过速度(下面也将更详细地描述)。该垫可以在另外的步骤中调节或当所述晶片被抛光时调节,如图10所示。
频率被作为间隔来测量,例如,在各调节操作之间的被抛光的晶片数目。例如,频率1的意思是在每一晶片被抛光后调节该垫,而频率3的意思是在每三个晶片被抛光后调节该垫。该扫过速度是该调节盘移动过该整个抛光垫的表面的速度。该移动用图10中的箭头T2指示。为了初始研究的目的,在本发明的至少一些实施例中,假设t(时间),T2(扫过速度),f(频率)保持不变。
在本发明的至少一些实施例中,该晶片材料去除速率根据方程2模拟:
RemovaIRate ] min max = f ( F disk ] min max , ω disk ] min max , f ] min max , t conditio min g , θ 1 , θ 2 , θ 3 , θ 4 , T 2 ) - - - ( 2 )
这里Fdisk,ωdisk,f,tconditioning,T2,θ1,θ2,θ3,θ4由上面定义。目标函数(obiective function)通过控制该调节盘调节向下的力、该盘的rpm、以及可选择地,通过控制诸如调节频率和调节持续时间、以及该调节盘在该整个垫表面的平移速度、T2等其它参数,将去除速率保持在最小和最大可允许速率范围内(所述“可接受的速率”)。
然后可以将从该模型获得的这些CMP参数(变量)和常数编程进入计算机,之后,计算机可不断地监控并适当地改变工艺过程中的该参数以提高该晶片去除速率和延长垫寿命,如图9所示。来自基础研究901的参数被输入到该计算机或其它控制器902和估计器(estimator)903,该控制器902运行该晶片抛光程序,该估计器903监控并修改该工艺参数。实际输出(即,测量的去除速率)904被监控并将其与估计器903计算的预测输出(即,目标去除速率)905比较。确定该实际输出904和该预测输出905之间的差别906并将907报告给该估计器903,然后,903适当地为工序902生成更新参数908。
基于下面方程3为反馈控制更新模型参数:
k=(k1)+g*(k-(k1))                               (3)
这里k是当前参数,k1是先前估计参数,g是估计增益,(k-(k1)是预测误差。估计增益是由使用者选择的常数,其是机器误差或变化性的衡量标准(measure)。增益因子(gain factor)可以凭经验或用统计方法确定。
通过示例,可以如上述的那样,为感兴趣的抛光系统生成一系列曲线,以确定晶片去除速率和调节向下的力以及调节盘旋转速度之间的关系。除了正在被研究的参数以外,所有的抛光垫和晶片条件保持不变,用标准抛光工序生成曲线。示例性的保持不变的抛光垫和晶片参数包括抛光垫尺寸,抛光垫组成,晶片成分,抛光时间,抛光力,该抛光垫的旋转速度,以及该晶片的旋转速度。在研究的参数至少包括该调节盘的该调节向下的力和该角速度;如在下面的更详细的分析中所显示的,其它参数也可以包括在该模型中。用如图7和8中产生的曲线和如图6所示模型建立过程,确定θ14值,晶片材料去除速率的最小和最大值,调节向下的力和调节盘的旋转速度。既可以凭经验也可以用历史数据或来自该模型的数据,定义模拟该晶片平面化的一种算法,并可以为感兴趣的该抛光系统确定一第一套垫调节参数。
将模拟该垫磨损和垫恢复工艺的算法输入到所述估计器,并且根据该模型计算预测的晶片材料去除速率。将该实际的结果与该预测的结果进行比较,并且将预测误差反馈到该估计器来细化该模型。然后确定新的调节参数。基于在此描述的该模型,这些参数正好足以使该垫表面恢复活力而不过度调节。这样,为该更新的调节参数选择了符合该模型标准的调节参数的最小增量。该更新模型的后续评估将确定该更新的调节参数适合所述工艺的程度。如果需要,可以做进一步的修改,直到该工艺最优化。
在本发明的至少一些实施例中,用图7和8显示的递增曲线,以由示例定义的不连续递增或步骤,更新该调节参数。生成合适的曲线数目以使这些步骤小到可以对该调节参数进行较小的调整。
还有,在本发明的至少一些实施例中,通过给这些合适的参数插入值可以确定该更新的调节参数,这些参数可以位于各曲线间。在初始产生的曲线只有几条以及实验结果不能提供对这些参数的精确分辨的情况下,插值法(interpolation)可能是合适的。
虽然从所述预测速率得到的偏离部分地反映了该模型不能说明对该工艺有贡献的所有的因素(这可以用所述反馈工艺的后续迭代(subsequent iteration)得到改进),但是,所述预测晶片材料去除速率随时间的偏离表明在CMP垫抛光中的退化。通过辨别和修改该垫调节工艺来解决抛光行为的暂时变化,可以保持最佳的晶片材料去除速率而不过度调节该调节垫,例如,在所述系统的饱和点以下操作。
该方法的另一特点是用增益因子来限制预测误差,如方程3所示。因此,该方法提出该模型不必对预测值的偏离做100%的修正。一增益因子可用来反映测量的或计算的参数的不确定性,或“抑制”工艺参数变化过快,变化程度过大带来的影响。例如,该模型可能过度补偿所述预测误差,从而需要另一个调整来对这个过度补偿作出反应。这将引进一个最优化过程,在所述最优化条件实现以前,该过程是跳跃的并进行了数次迭代。反馈控制更新参数中增益因子的使用限制了该模型将对所述预测误差作出反映的程度。
一旦推定并最优化了基本系统,即有可能凭经验改变其它调节操作参数并获得它们对垫调节和晶片抛光的影响效果。例如,一个参数可以增大(或减小),而在所述初始研究中可以将其设定为常数。该系统被监控以确定这一改变对该系统的影响。很显然,其它与垫磨损和调节有关的因子也可以用这种方式得出。例如,调节频率,在初始研究中可以将其设定为1,其可以增加到2(每第二晶片),3(每第三晶片)等。该系统被监控以确定退化从哪里开始,并确定恰好在这点之前该工艺过程可以后退到哪里。调节操作间的间隔越大,该垫寿命越长。将这一间隔最大化而不损失垫的抛光质量被设想为本发明所述方法的一个特征。
很显然,与垫磨损和调节有关的其它因子可以以这种方式凭经验或可以用数学模拟评估。通过例子,调节时间(该盘在该垫上的驻留时间),调节盘平移速度等可以以这一方式进行研究。
可以想象用如图10所示的设备1000可以实施本发明的至少一些实施例。该设备有一调节系统1010,其包括运载组件1020,连接到该运载组件上的调节盘1030,以及可与该运载组件耦合的以便控制所述向下的力(F)和所述调节盘的旋转速率(ω)的控制器1040。该运载组件可以有一调节盘1030连接其上的臂1050和移动该调节盘使与所述平整表面接触和脱离接触的装置1060a-d。例如,控制器1040可与移动装置耦合以调整携带着调节盘(1060a、1060b、1060c、1060d)的臂的高度和位置。对所述晶片位置和移动控制的类似的控制也可以存在。在操作中,该控制器调整所述调节盘的所述操作参数,例如,向下的力和旋转速率,以响应晶片材料去除速率的变化。该控制器可以由计算机控制以便根据计算的调节方式自动地提供调节。因此,该设备提供了一种装置,该装置用于在所述垫1080的整个工作寿命期间,选择性地改变所述垫调节参数以便延长垫寿命而不损害所述晶片1090的平面化工艺。本发明的至少一些实施例还设想使用其它类型的设备,例如,具有可调整的高度、位置、和/或旋转的其它元件。
用于执行前馈和反馈回路的其它设备包括一薄膜厚度测量工具以提供计算晶片材料去除速率所需要的厚度数据。该工具也可置于所述抛光设备上以提供在线(in line),原位测量(in situ measurement),或其也可以位于远离所述抛光设备的位置。该工具可以使用光学的,电的,声学的或机械测量方法。从Nanometrics(美国加利福尼亚州的米尔皮塔斯市)或Nova测量仪器公司(亚利桑那州的菲尼克斯市)可以获得合适的厚度测量仪器。基于测量的薄膜厚度和计算的去除速率,利用本发明提供的模型和算法,可以用计算机来计算最佳垫调节方式。可以从美国加利福尼亚州的应用材料有限公司获得合适的集成控制器(integrated controller)和抛光设备(具有iAPC的Mirra或具有iAPC的Mirra Mesa)。
利用在此讨论的概念可以抛光的示例性的半导体晶片,包括,但不限于由硅、钨、铝、铜、BPSG、USG、热氧化物、硅相关薄膜、以及低k值电介质及其混合物制成的晶片。
本发明的方法可以用许多不同类型的常规CMP抛光垫实施。在本技术领域,有许多一般由聚氨脂(urethane)或其它聚合物制成的抛光垫。然而,任何可再调节的抛光垫都可用此处描述的本发明的方法进行评估和最优化。示例性的抛光垫包括EpicTM抛光垫(伊利诺斯州奥罗拉市的Cabot微电子公司)和RodelIC1000、IC1010、IC1400抛光垫(特拉华州纽瓦克的Rodel公司),OXP系列抛光垫(Sycamore Pad),ThomasWest垫711、813、815、815-Ultra、817、826、828以及828-E1(ThomasWest)。
此外,本发明的方法可以用许多不同类型的浆料。本技术领域中有许多CMP浆料,一般将其制成用于抛光半导体晶片中的某类金属。示例性的浆料包括Semi-Sperse(可用的有Semi-Sperse12、Semi-Sperse25、Semi-SperseD7000、Semi-SperseD7100、Semi-SperseD7300、Semi-SperseP1000、Semi-SperseW2000及Semi-SperseW2585)(伊利诺斯州奥罗拉市的Cabot微电子公司)和RodelILD1300、Klebesol系列、Elexsol、MSW1500、MSW2000系列、CUS系列以及PTS(Rodel)。
从晶片材料去除速率数据计算该调节方式的算法的一个示例可以定义为:
y ^ i = ρ i x i + I i - - - ( 4 )
这里
Figure A0281476700252
是关于调节参数xi的所述晶片材料去除速率,ρi是斜率,Ii是定义
Figure A0281476700253
与xi之间关系的曲线的截距,让x1=Fdisk,x2=ωdisk,x3=fx4=tcondinonmg,x5=T,从所述模型可以建立下面的关系:
y ^ 1 = ρ 1 x 1 + I 1 for N i # x 1 # N i + k - - - ( 5 )
y ^ 2 = ρ 2 x 2 + I 2 for N j # x 2 # N j + k - - - ( 6 )
y ^ 3 = ρ 3 x 3 + I 3 for N k # x 3 # N k + k - - - ( 7 )
y ^ 4 = ρ 4 x 4 + I 4 for N l # x 4 # N l + k - - - ( 8 )
y ^ 5 = ρ 5 x 5 + I 5 for N m # x 5 # N m + k - - - ( 9 )
这里
Figure A0281476700259
是预测的去除速率,ρ是斜率,而I是每个方程的截距,N和N+代表一特定的垫调节参数的上下边界条件。本发明的模型可以包括所有这些垫调节参数或这些调节参数的子集。
每一个对晶片材料去除速率有贡献的垫调节因子都可以用一个统一的方程表示,该方程定义了每一个因子对该晶片材料去除速率的加权贡献(weighted contribution)。该晶片材料去除速率可以用方程10定义:
y ^ = W 1 y ^ 1 + W 2 y ^ 2 + W 3 y ^ 3 + W 4 y ^ 4 + W 5 y ^ 5 - - - ( 10 )
这里Wi是加权因子,而且WT=W1+W2+W3+W4+W5。这些加权因子通过最小化任何罚值(penalty)来确定,例如,材料缺陷、沉积的非均匀性等,这些罚值与Xi相关联以满足方程10中的 可以凭经验或用历史数据确定罚函数(penalty function)。
晶片材料去除速率的预测误差 是所述预测的去除速率 与所述测量的去除速率y之间的差,如方程11显示。
Δ y ^ = y - y ^ - - - ( 11 )
用该预测误差产生一个更新的晶片材料去除速率 基于该反馈方程12的新预测值将是:
y ^ i + = Σ i ρ i x i + Σ i I i + Σ i W i W T · Δ y ^ - - - ( 12 )
优化的参数xi+用下面的方程(13)确定:
x i + = y ^ i + - I i - W i W T · Δ y ^ ρ i - - - ( 13 )
这里 是所述目标晶片材料去除速率。
用该优化的参数去更新该新的CMP抛光方式,该抛光方式被输送给工具以用于后续的抛光步骤。因此,该模型能适应其接收到的更多的数据以改进该工艺,而无需对该工艺进行任何外部控制。
本发明的许多方式可以用计算机控制,其可包括计算机或其它控制器902,可以(和/或)用多种控制/计算结合体包括图11所示的计算机来控制。参见图11,总线1156用作连接系统1111的其它单元的主要信息高速路。CPU1158是该系统的中央处理单元,执行实施本发明实施例的所述工艺以及其它程序所要求的计算和逻辑操作。只读存储器(ROM)1160和随机存储器(RAM)1162构成该系统的主存储器。磁盘控制器1164连接一个或多个磁盘驱动器到该系统总线1156。这些磁盘驱动器是,例如软盘驱动器1170,或CD ROM或DVD(数字视频盘)驱动器1166,或内部或外部硬盘驱动器1168。这些不同的磁盘驱动器和盘控制器是任选设备。
显示器接口1172连接显示器1148,并使信息从所述总线1156显示在显示器1148上。显示器1148可以用于显示图形化用户界面。利用例如通信端口1174,可实现与上面描述的诸如该系统其它单元的外围设备之间的通信。光纤和/或电缆和/或导体和/或光通信(例如,红外等)和/或无线通信(例如,射频RF等)可以用作所述外围设备和通信端口1174之间的传输介质(transport medium)。外围接口1154连接主板1150和鼠标1152,使输入数据被传送到总线1156上,除这些单元之外,系统1111也可选择性地包括红外发射器和/或红外接收器。当该计算机系统与一个或多个经由红外信号传输发射/接收数据的处理单元/站一起使用时,可选择地使用红外发射器。代替使用红外发射器或红外接收器,该计算机系统也可以选择使用低功率无线电发射器1180和/或低功率无线接收器1182。该低功率无线发射器发射信号被生产工艺单元接收,并通过该低功率无线接收器接收来自这些单元的信号。该低功率无线发射器和/或接收器是工业标准设备。
虽然图11显示的系统1111说明只有一个处理器,一个硬盘驱动器和一个本地存储器,但是系统1111可选择性地适合配有任意多个处理器或存储设备或处理器或存储设备的组合。例如,系统1111可以用任一个符合本发明实施例的原理的合适的处理系统取代,或可以与任一根据本发明实施例的原理的合适的处理系统结合,这些系统包括复杂的计算器,手持,便携式电脑/笔记本,微型,大型机和超级计算机,以及它们构成的处理系统网络的组合。
图12是示例性的计算机可读存储介质1248的图示说明,该存储介质用于存储计算机可读代码或指令。作为例子,介质1248可以和如图11显示的磁盘驱动器一起使用。典型地,诸如软盘,或CD ROM,或数字视频盘的存储介质将包含,例如,用于单字节语言的多字节locale和用于控制上述系统以使该计算机能执行在此描述的功能的程序信息。作为选择,图11图解说明的ROM1160和/或RAM1162也可以用于存储该程序信息,该信息用于给中央处理单元1158下指令以执行与即时处理相关联的操作。用于存储信息的合适的计算机可读介质的其它例子包括磁的,电的,或光(包括全息)存储器以及它们的组合等。另外,本发明的至少一些实施例设想所述介质可以是传输形式(例如,数字或传播信号)。
总之,应当强调,本发明实施例的多个单元可以在硬件,软件及其组合件中实施。在这样的实施例中,能在硬件和/或软件中实施多个单元和步骤以执行本发明的所述功能。在本发明的这样的实施例中,可以采用任一当前能得到的或未来开发的计算机软件语言和/或硬件单元。例如,利用C,C++,或适合正在使用的处理器的任合汇编语言都能执行上面所述的至少一些功能性。其也可以用编译环境(interpretiveenvironment)编写,例如Java,并且被传送到多个目的地,到达不同的用户。
虽然在此已经给出并详细描述了具体表现本发明思想的多个实施例,但是,本领域的技术人员可以容易地想出许多其它的具体表现这些思想的各种实施例。

Claims (38)

1.一种在化学机械抛光CMP设备中调节平整表面的方法,其中该CMP设备具有抛光垫,晶片被放在该抛光垫上以从该晶片上去除材料,以及调节盘被放在该抛光垫上以对该抛光垫进行调节,该方法包括以下步骤:
(a)提供一垫磨损和调节模型,该模型将晶片材料去除速率定义为至少一个垫调节参数的函数,所述至少一个调节参数具有最大和最小值;
(b)在该CMP设备中,在第一套垫调节参数下抛光晶片,这套参数的选择是为将晶片材料去除速率保持在预选的最小和最大去除速率范围内;
(c)确定在所述抛光步骤期间出现的晶片材料去除速率;
(d)基于所述步骤(c)的所述确定的晶片材料去除速率以及所述垫磨损和调节模型计算更新的垫调节参数,以将晶片材料去除速率保持在所述最大和最小去除速率范围内;
(e)用所述更新的调节参数调节所述抛光垫。
2.如权利要求1所述的方法,其中所述调节参数包括调节向下的力。
3.如权利要求2所述的方法,其中所述调节参数包括所述调节盘的旋转速度。
4.如权利要求2所述的方法,其中所述调节参数包括一个或多个参数,这些参数选自包括有所述盘的旋转速度、调节频率、调节持续时间以及所述调节盘的平移速度的参数组。
5.如权利要求1所述的方法,其中计算更新的调节参数的步骤包括计算参数以使该参数在所述确定的最小值和最大值范围内。
6.如权利要求1所述的方法,其中所述更新的垫调节参数通过确定所述垫磨损和调节模型的输出与步骤(c)的所述确定的晶片材料去除之间的差别计算。
7.如权利要求6所述的方法,其中所述差别用估计增益在计算更新的调节参数之前调整。
8.如权利要求1所述的方法,其中所述更新的垫调节参数根据方程k=(k1)+g*(k-(k1)更新,这里k是测量的晶片材料去除速率,k1是计算的晶片材料去除速率,g是估计增益,而(k-(k1)是预测误差。
9.如权利要求1所述的方法,其中所述步骤(b)到步骤(e)重复进行。
10.如权利要求1所述的方法,其中所述第一套垫调节参数凭经验确定。
11.如权利要求1所述的方法,其中所述第一套垫调节参数用历史数据确定。
12.如权利要求1所述的方法,其中所述第一套垫调节参数用用于建立所述模型的实验设计DOE的结果确定。
13.如权利要求1所述的方法,其中晶片材料去除速率的所述最大值是所述晶片材料去除速率与调节向下的力的关系曲线的饱和点值。
14.如权利要求1所述的方法,其中晶片材料去除速率的所述最小值用最大可接受的晶片抛光时间定义。
15.如权利要求1所述的方法,其中步骤(d)中计算更新的垫调节参数的所述步骤包括执行一递归最优化工艺。
16.如权利要求7所述的方法,其中所述估计增益是所述测量的参数的可变性或可靠性的指示。
17.如权利要求1所述的方法,其中垫寿命根据下面方程定义:
PadLife=f(Fdisk,ωdisk,tconditioning,f,T2)
这里Fdisk是,在调节期间,所述调节盘施加到所述CMP垫的向下的力,ωdisk是,在所述抛光垫调节期间,所述调节盘的角速度,t是调节时间,f是调节频率,T2是调节期间所述调节盘的扫过速度。
18.如权利要求1所述的方法,其中所述晶片材料去除速率用下面方程定义:
RemovalRate ] min max = f ( F disk ] min max , ω disk ] min max , f ] min max , t conditoning ] min max , T 2 ] min max )
这里Fdisk是在调节期间,所述调节盘施加到所述CMP垫的向下的力,ωdisk是在所述抛光垫调节期间,所述调节盘的角速度,t是调节时间,f是调节频率,T2是调节期间所述调节盘的扫过速度。
19.如权利要求1所述的方法,其中所述晶片材料去除速率根据下面方程确定:
y ^ i = ρ i x i + I i
这里
Figure A028147670004C3
是关于调节参数xi的所述晶片材料去除速率,ρi是斜率,Ii是定义
Figure A028147670004C4
和xi之间关系的曲线的截距。
20.如权利要求19所述的方法,其中所述更新的垫调节参数通过解下面方程确定:
x i + = y ^ i + - I i - W i W T · Δ y ^ ρ i
这里
Figure A028147670004C6
是目标晶片去除速率,Wi是调节参数xi的加权因子,而Δy是晶片材料去除速率的预测误差。
21.一种用于调节抛光垫的设备,这些抛光垫用于从基片上去除材料以平整该基片,该设备包括:
运载组件,其具有可位于一个抛光垫的平整表面之上的臂;
与该运载组件连接的调节盘;
可控制所述调节盘的操作参数的致动器;
可与所述致动器耦合的控制器,该控制器操纵该致动器以调整所述调节盘的操作参数,作为垫磨损和垫调节模型的一个函数;该模型包括:
将晶片材料去除速率作为垫调节参数的函数来确定,这些垫调节参数包括调节盘向下的力和调节盘旋转速率。
22.如权利要求21所述的设备,其中所述晶片材料去除速率根据下面方程确定:
y ^ i = ρ i x i + I i
这里
Figure A028147670005C2
是关于调节参数xi的所述晶片材料去除速率,ρi是斜率,Ii是定义
Figure A028147670005C3
和xi之间关系的曲线的截距。
23.如权利要求22所述的设备,其中所述更新的垫调节参数通过解下面的方程确定:
x i + = y ^ i + - I i - W i W T · Δ y ^ ρ i
这里 是目标晶片去除速率,Wi是调节参数xi的加权因子,Δy是晶片材料去除速率的预测误差。
24.如权利要求21所述的设备,其中所述更新的垫调节参数根据方程k=(k-1)+g*(k-(k-1))更新,这里k是测量的晶片材料去除速率,k-1是计算的晶片材料去除速率,g是估计增益,(k-(k-1))是预测误差。
25.一种建立垫磨损和垫调节模型的方法,该方法用于对从晶片上去除材料的抛光垫的所述垫调节进行最优化,其包括以下步骤:
确定至少一个垫调节参数与晶片去除速率之间的关系;
为所述至少一个垫调节参数和所述晶片材料去除速率中的每一个确定最大和最小值;
记录这些关系及所述至少一个垫调节参数和所述晶片去除速率的最小和最大值。
26.如权利要求25所述的方法,其中所述至少一个垫调节参数包括多个参数,并且所述晶片去除速率被定义为所述多个垫调节参数的加权函数。
27.如权利要求25所述的方法,其中所述至少一个垫调节参数包括调节盘向下的力。
28.如权利要求27所述的方法,其中所述至少一个垫调节参数还包括调节盘旋转速率。
29.如权利要求25所述的方法,其中所述至少一个垫调节参数包括一个或多个参数,这些参数选自包括调节盘向下的力,调节盘旋转速率,调节频率,以及调节盘的平移速度的参数组。
30.如权利要求25所述的方法,其中所述至少一个垫调节参数与晶片去除速率之间的所述关系通过逐渐改变所述调节参数和测量相应的晶片去除速率确定。
31.如权利要求25所述的方法,其中所述调节参数的所述最大值是高于该值时,观察不到晶片去除速率的步进递增的值。
32.如权利要求25所述的方法,其中所述调节参数的所述最小值是提供最小晶片去除速率的值。
33.如权利要求25所述的方法,还包括以下步骤:
在所述CMP设备中,在第一套调节参数下抛光晶片,该套参数的选择是为将晶片材料去除速率保持在预选的最大和最小去除速率范围内;
确定出现在所述抛光步骤期间的晶片材料去除速率;
基于所述确定的晶片材料去除速率以及所述垫磨损和调节模型,计算更新的垫调节参数,以将晶片材料去除速率保持在最大和最小去除速率范围内;以及
用所述更新的垫调节参数调节所述抛光垫。
34.如权利要求33所述的方法,其中所述更新的垫调节参数通过确定所述垫磨损和调节模型的输出与所述确定的晶片材料去除速率之间的差别来计算。
35.如权利要求33的方法,其中所述更新的垫调节参数根据方程k=(k-1)+g*(k-(k-1))更新,这里k是测量的晶片材料去除速率,k1是计算的晶片材料去除速率,g是估计增益,(k-(k1)是预测误差。
36.一种包括由计算机执行的指令的计算机可读介质,所述指令包括用于化学机械抛光工艺的计算机执行的软件应用程序,执行所述工艺的所述指令包括以下步骤:
(a)接收来自化学机械抛光设备中的数据,该数据与在所述化学机械抛光工艺中处理的至少一个晶片的所述晶片材料去除速率有关;
(b)从步骤(a)的所述数据,计算在定义的最大和最小值范围内的更新的垫调节参数,其中所述更新的垫调节参数通过确定垫磨损和调节模型的输出与步骤(a)的所述数据之间的差别来计算。
37.一种在化学机械抛光CMP设备中调节平整表面的方法,其中该设备具有抛光垫,将晶片放在该抛光垫上以从该晶片上去除材料,并将调节盘放在该抛光垫上以对所述抛光垫进行调节,该方法包括以下步骤:
(a)通过下面步骤建立一个垫磨损和垫调节模型:
(i)确定至少一个垫调节参数与晶片材料去除速率之间的关系;
(ii)为所述至少一个垫调节参数和所述晶片材料去除速率的每一个确定最大和最小值;
(iii)记录这些关系及所述至少一个垫调节参数和所述晶片去除速率的最小和最大值;
(b)在所述CMP设备中,在第一套垫调节参数下抛光晶片,该参数是为将晶片材料去除速率保持在预选的最小和最大去除速率范围内;
(c)确定出现在所述抛光步骤期间的晶片材料去除速率;
(d)于所述步骤(b)的所述确定的晶片材料去除速率以及所述垫磨损和调节模型计算更新的垫调节参数,以将晶片材料去除速率保持在所述最大和最小去除速率范围内,其中所述晶片材料去除速率根据下面方程确定:
y ^ i = ρ i x i + I i
这里
Figure A028147670008C2
是关于调节参数xi的所述晶片材料去除速率,ρi是斜率,Ii是定义
Figure A028147670008C3
和xi之间关系的曲线的截距。并且所述更新的垫调节参数xi+通过解下面方程确定:
x i + = y ^ i + - I i - W i W T · Δ y ^ ρ i
这里 是目标晶片去除速率,Wi是调节参数xi的加权因子,Δy是晶片材料去除速率的预测误差。
(e)用所述更新的调节参数调节所述抛光垫。
38.一个在化学机械抛光CMP设备中调节平整表面的系统,该设备具有一抛光垫和调节盘,所述系统包括:
(a)垫磨损和调节模型,该模型将晶片材料去除速率定义为包括所述调节盘的旋转和方向的至少一个垫调节参数的函数;
(b)用于在该CMP设备中抛光晶片的抛光装置;
(c)用于确定晶片材料去除速率的测量装置;以及
(d)基于所述步骤(c)的所述确定的晶片材料去除速率及所述垫磨损和调节模型更新垫调节参数的计算装置,以将晶片材料去除速率保持在最大和最小去除速率范围内。
CNA028147677A 2001-06-19 2002-06-17 化学机械抛光垫的调节的前馈和反馈控制 Pending CN1535196A (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US29887801P 2001-06-19 2001-06-19
US60/298,878 2001-06-19
US30578201P 2001-07-16 2001-07-16
US60/305,782 2001-07-16
US31874101P 2001-09-12 2001-09-12
US60/318,741 2001-09-12
US09/998,384 US7101799B2 (en) 2001-06-19 2001-11-30 Feedforward and feedback control for conditioning of chemical mechanical polishing pad
US09/998,384 2001-11-30

Publications (1)

Publication Number Publication Date
CN1535196A true CN1535196A (zh) 2004-10-06

Family

ID=27501749

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA028147677A Pending CN1535196A (zh) 2001-06-19 2002-06-17 化学机械抛光垫的调节的前馈和反馈控制

Country Status (6)

Country Link
US (2) US7101799B2 (zh)
EP (1) EP1399295A1 (zh)
JP (1) JP2005518285A (zh)
KR (1) KR100904866B1 (zh)
CN (1) CN1535196A (zh)
WO (1) WO2002102549A1 (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101623849B (zh) * 2009-07-31 2011-05-11 清华大学 一种用于对抛光垫进行修整的修整装置
CN102591205A (zh) * 2012-02-29 2012-07-18 清华大学 化学机械抛光传输机器人的递归优化控制系统
CN107234495A (zh) * 2017-07-24 2017-10-10 黄亦翔 建立平均材料去除速率预测模型的方法及预测速率的方法
CN107633103A (zh) * 2016-07-15 2018-01-26 中国科学院微电子研究所 一种cmp模型参数优化方法和装置
CN109848855A (zh) * 2017-11-30 2019-06-07 台湾积体电路制造股份有限公司 调节研磨垫的方法
CN111699074A (zh) * 2018-12-26 2020-09-22 应用材料公司 普雷斯顿矩阵产生器
CN113227919A (zh) * 2018-08-29 2021-08-06 伊利诺斯工具制品有限公司 材料去除系统的移动控制
CN113574474A (zh) * 2019-03-15 2021-10-29 3M创新有限公司 使用因果模型抛光半导体晶圆
CN114734372A (zh) * 2022-03-28 2022-07-12 北京烁科精微电子装备有限公司 一种晶圆研磨方法
CN111699074B (zh) * 2018-12-26 2024-05-03 应用材料公司 普雷斯顿矩阵产生器

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US6660637B2 (en) * 2001-09-28 2003-12-09 Infineon Technologies Ag Process for chemical mechanical polishing
US7175503B2 (en) 2002-02-04 2007-02-13 Kla-Tencor Technologies Corp. Methods and systems for determining a characteristic of polishing within a zone on a specimen from combined output signals of an eddy current device
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
AU2004225931A1 (en) * 2003-03-25 2004-10-14 Neopad Technologies Corporation Chip customized polish pads for chemical mechanical planarization (CMP)
US7131891B2 (en) * 2003-04-28 2006-11-07 Micron Technology, Inc. Systems and methods for mechanical and/or chemical-mechanical polishing of microfeature workpieces
CN1301184C (zh) * 2003-12-16 2007-02-21 汪开庆 加工半导体用兰宝石晶体基片的光学研磨机及其加工方法
DE102004024737A1 (de) * 2004-05-19 2005-12-15 Voith Paper Patent Gmbh Produktionsoptimierung
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
EP1793296A1 (en) * 2005-12-05 2007-06-06 Insyst Ltd. An apparatus and method for the analysis of a process having parameter-based faults
TWI287483B (en) * 2005-12-23 2007-10-01 Ind Tech Res Inst A removal rate estimating method of a chemical mechanical polishing process under mixed products or mixed layers
US7366575B2 (en) * 2005-12-30 2008-04-29 Intel Corporation Wafer polishing control
WO2007082556A1 (en) * 2006-01-23 2007-07-26 Freescale Semiconductor, Inc. Method and apparatus for conditioning a cmp pad
US7451122B2 (en) * 2006-03-29 2008-11-11 Honeywell International Inc. Empirical design of experiments using neural network models
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US7840305B2 (en) * 2006-06-28 2010-11-23 3M Innovative Properties Company Abrasive articles, CMP monitoring system and method
EP2188753B1 (en) * 2007-09-06 2018-11-07 DEKA Products Limited Partnership Processing system and method
US7838308B2 (en) * 2008-05-12 2010-11-23 Advanced Micro Devices, Inc. Method of controlling embedded material/gate proximity
JP5415735B2 (ja) 2008-09-26 2014-02-12 株式会社荏原製作所 ドレッシング方法、ドレッシング条件の決定方法、ドレッシング条件決定プログラム、および研磨装置
US7899571B2 (en) * 2008-11-05 2011-03-01 Texas Instruments Incorporated Predictive method to improve within wafer CMP uniformity through optimized pad conditioning
US20100130107A1 (en) * 2008-11-24 2010-05-27 Applied Materials, Inc. Method and apparatus for linear pad conditioning
US9058707B2 (en) 2009-02-17 2015-06-16 Ronald C. Benson System and method for managing and maintaining abrasive blasting machines
US20100241250A1 (en) * 2009-03-18 2010-09-23 TECH Semiconductor Singapore Ptd Ltd Feedback and feedforward control of a semiconductor process without output values from upstream processes
KR101956838B1 (ko) * 2009-11-03 2019-03-11 어플라이드 머티어리얼스, 인코포레이티드 시간에 대한 스펙트럼들 등고선 플롯들의 피크 위치를 이용한 종료점 방법
TWI381904B (zh) * 2009-12-03 2013-01-11 Nat Univ Chung Cheng The method of detecting the grinding characteristics and service life of the polishing pad
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
JP2013525126A (ja) * 2010-04-20 2013-06-20 アプライド マテリアルズ インコーポレイテッド 改善された研磨パッドプロファイルのための閉ループ制御
KR20130059312A (ko) * 2010-04-30 2013-06-05 어플라이드 머티어리얼스, 인코포레이티드 일정한 제거율을 얻기 위한 패드 컨디셔닝 스위프 토크 모델링
US8758085B2 (en) * 2010-10-21 2014-06-24 Applied Materials, Inc. Method for compensation of variability in chemical mechanical polishing consumables
US20120270477A1 (en) * 2011-04-22 2012-10-25 Nangoy Roy C Measurement of pad thickness and control of conditioning
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
WO2013130366A1 (en) * 2012-02-27 2013-09-06 Applied Materials, Inc. Feedback control using detection of clearance and adjustment for uniform topography
US8563335B1 (en) 2012-04-23 2013-10-22 Applied Materials, Inc. Method of controlling polishing using in-situ optical monitoring and fourier transform
US9289875B2 (en) * 2012-04-25 2016-03-22 Applied Materials, Inc. Feed forward and feed-back techniques for in-situ process control
JP6193623B2 (ja) * 2012-06-13 2017-09-06 株式会社荏原製作所 研磨方法及び研磨装置
JP2014053505A (ja) * 2012-09-07 2014-03-20 Toshiba Corp 半導体装置の製造方法、半導体ウェーハ及び半導体装置の製造装置
JP5964262B2 (ja) * 2013-02-25 2016-08-03 株式会社荏原製作所 研磨装置に使用される研磨部材のプロファイル調整方法、および研磨装置
US9286930B2 (en) * 2013-09-04 2016-03-15 Seagate Technology Llc In-situ lapping plate mapping device
KR101916211B1 (ko) * 2015-12-07 2018-11-07 주식회사 케이씨텍 화학 기계적 연마 장치 및 그 방법
US10312128B2 (en) * 2015-12-31 2019-06-04 Taiwan Semiconductor Manufacturing Company Ltd. Chemical-mechanical polish (CMP) devices, tools, and methods
CN106323152B (zh) * 2016-09-05 2018-10-02 清华大学 Cmp金属膜厚测量数据的离线分段处理方法和处理系统
TWI794293B (zh) * 2017-09-25 2023-03-01 美商應用材料股份有限公司 使用製程控制參數矩陣的半導體製造
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
US20200130136A1 (en) * 2018-10-29 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical mechanical polishing apparatus and method
CN113263436B (zh) * 2020-05-29 2022-08-30 台湾积体电路制造股份有限公司 化学机械抛光系统及使用方法
US11794305B2 (en) 2020-09-28 2023-10-24 Applied Materials, Inc. Platen surface modification and high-performance pad conditioning to improve CMP performance

Family Cites Families (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229198A (en) * 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3366934A (en) * 1964-04-29 1968-01-30 Data Resolved Tools Pty Ltd Data transmission means
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (zh) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4368510A (en) * 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
DE3581000D1 (de) 1984-05-19 1991-02-07 British Aerospace Industrielle verarbeitungs- und herstellungsverfahren.
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US4796194A (en) * 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) * 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
GB8814735D0 (en) * 1988-06-21 1988-07-27 Crosfield Electronics Ltd Position indicating device
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US6185324B1 (en) * 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
US6345288B1 (en) * 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) * 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) * 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) * 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5220517A (en) 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
WO1992007331A1 (en) * 1990-10-16 1992-04-30 Consilium, Inc. Object-oriented architecture for factory floor management
US5295242A (en) * 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
EP0524317A4 (en) 1991-02-08 1995-02-15 Tokyo Shibaura Electric Co Model forecasting controller
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5309221A (en) 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
JP3154425B2 (ja) * 1992-01-07 2001-04-09 フクダ電子株式会社 心電図情報記録方法及び装置
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) * 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5602492A (en) * 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5857258A (en) * 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
FR2700403B1 (fr) 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
US5490097A (en) * 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5402367A (en) * 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5486129A (en) * 1993-08-25 1996-01-23 Micron Technology, Inc. System and method for real-time control of semiconductor a wafer polishing, and a polishing head
US5408405A (en) * 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5503707A (en) * 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) * 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5577204A (en) * 1993-12-15 1996-11-19 Convex Computer Corporation Parallel processing computer system interconnections utilizing unidirectional communication links with separate request and response lines for direct communication or using a crossbar switching device
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5456627A (en) * 1993-12-20 1995-10-10 Westech Systems, Inc. Conditioner for a polishing pad and method therefor
US5420796A (en) 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
JPH07201946A (ja) * 1993-12-28 1995-08-04 Hitachi Ltd 半導体装置等の製造方法及びその装置並びに検査方法及びその装置
US5486131A (en) * 1994-01-04 1996-01-23 Speedfam Corporation Device for conditioning polishing pads
EP0665577A1 (en) 1994-01-28 1995-08-02 Applied Materials, Inc. Method and apparatus for monitoring the deposition rate of films during physical vapour deposition
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) * 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5461007A (en) * 1994-06-02 1995-10-24 Motorola, Inc. Process for polishing and analyzing a layer over a patterned semiconductor substrate
US5629216A (en) 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
EP0706209A3 (en) 1994-10-06 1996-12-27 Applied Materials Inc Thin film resistance measurement
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
KR100213603B1 (ko) * 1994-12-28 1999-08-02 가나이 쯔또무 전자회로기판의 배선수정방법 및 그 장치와 전자회로기판
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
JP3637977B2 (ja) * 1995-01-19 2005-04-13 株式会社荏原製作所 ポリッシングの終点検知方法
US5617023A (en) * 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5698455A (en) 1995-02-09 1997-12-16 Micron Technologies, Inc. Method for predicting process characteristics of polyurethane pads
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5559428A (en) * 1995-04-10 1996-09-24 International Business Machines Corporation In-situ monitoring of the change in thickness of films
TW320591B (zh) * 1995-04-26 1997-11-21 Fujitsu Ltd
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5764543A (en) 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) * 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) * 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
US6036349A (en) * 1995-07-27 2000-03-14 Health Designs, Inc. Method and apparatus for validation of model-based predictions
US5716856A (en) * 1995-08-22 1998-02-10 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing using predetermined sequences within runs
US5785585A (en) * 1995-09-18 1998-07-28 International Business Machines Corporation Polish pad conditioner with radial compensation
KR0153617B1 (ko) * 1995-09-20 1998-12-01 김광호 반도체 집적회로 제조공정방법
US5751582A (en) 1995-09-25 1998-05-12 Texas Instruments Incorporated Controlling process modules using site models and monitor wafer control
US6249712B1 (en) * 1995-09-26 2001-06-19 William J. N-O. Boiquaye Adaptive control process and system
US5655951A (en) * 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) * 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) * 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5743784A (en) * 1995-12-19 1998-04-28 Applied Materials, Inc. Apparatus and method to determine the coefficient of friction of a chemical mechanical polishing pad during a pad conditioning process and to use it to control the process
KR100200480B1 (ko) * 1995-12-21 1999-10-01 윤종용 불량 분석 피드백에 의한 반도체 제조공정 제어방법
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6017143A (en) * 1996-03-28 2000-01-25 Rosemount Inc. Device in a process system for detecting events
WO1997036164A1 (en) * 1996-03-28 1997-10-02 Bio-Analytics, Inc., Doing Business As Biomedware Method for measuring a degree of association for dimensionally referenced data
US5943550A (en) * 1996-03-29 1999-08-24 Advanced Micro Devices, Inc. Method of processing a semiconductor wafer for controlling drive current
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) * 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
KR100243636B1 (ko) * 1996-05-14 2000-03-02 요시다 아키라 다이캐스팅기용 주조 제어 지원시스템
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5910846A (en) * 1996-05-16 1999-06-08 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5823854A (en) * 1996-05-28 1998-10-20 Industrial Technology Research Institute Chemical-mechanical polish (CMP) pad conditioner
EP1213094A3 (en) * 1996-05-30 2003-01-08 Ebara Corporation Polishing apparatus having interlock function
US5637031A (en) * 1996-06-07 1997-06-10 Industrial Technology Research Institute Electrochemical simulator for chemical-mechanical polishing (CMP)
JPH1086040A (ja) * 1996-06-13 1998-04-07 Mitsubishi Electric Corp 多系統の自動プログラミング方法及びその装置
US6022989A (en) * 1996-06-28 2000-02-08 Abbott Laboratories Process for the preparation of an activated amino acid
US6245679B1 (en) * 1996-08-16 2001-06-12 Rodel Holdings, Inc Apparatus and methods for chemical-mechanical polishing of semiconductor wafers
US6246972B1 (en) * 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
TW364956B (en) * 1996-10-21 1999-07-21 Nxp Bv Method and system for assessing a measurement procedure and measurement-induced uncertainties on a batchwise manufacturing process of discrete products
US5859964A (en) * 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6078845A (en) * 1996-11-25 2000-06-20 Schlumberger Technologies, Inc. Apparatus for carrying semiconductor devices
US5889991A (en) * 1996-12-06 1999-03-30 International Business Machines Corp. Method and system for customizing a palette using any java class
JPH10173021A (ja) * 1996-12-12 1998-06-26 Mitsubishi Electric Corp 製造ライン解析方法及び製造ライン解析装置
US6094688A (en) * 1997-01-08 2000-07-25 Crossworlds Software, Inc. Modular application collaboration including filtering at the source and proxy execution of compensating transactions to conserve server resources
US5808303A (en) 1997-01-29 1998-09-15 Art Aerospace Research Technologies Inc. Infrared screening and inspection system
US5862054A (en) * 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
JPH10329015A (ja) * 1997-03-24 1998-12-15 Canon Inc 研磨装置および研磨方法
US5834377A (en) 1997-04-07 1998-11-10 Industrial Technology Research Institute In situ method for CMP endpoint detection
US5912678A (en) * 1997-04-14 1999-06-15 Texas Instruments Incorporated Process flow design at the module effects level through the use of acceptability regions
KR100272252B1 (ko) * 1997-04-17 2000-11-15 윤종용 웨이퍼카세트반송방법
US5910011A (en) * 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
US6108091A (en) * 1997-05-28 2000-08-22 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness during chemical-mechanical polishing
US6240330B1 (en) * 1997-05-28 2001-05-29 International Business Machines Corporation Method for feedforward corrections for off-specification conditions
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
US5975994A (en) * 1997-06-11 1999-11-02 Micron Technology, Inc. Method and apparatus for selectively conditioning a polished pad used in planarizng substrates
US6345315B1 (en) * 1997-08-13 2002-02-05 Sudhindra N. Mishra Method for platform and protocol independent communication between client-server pairs
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US5957754A (en) 1997-08-29 1999-09-28 Applied Materials, Inc. Cavitational polishing pad conditioner
KR19990027324A (ko) * 1997-09-29 1999-04-15 윤종용 웨이퍼 인식 시스템을 구비하는 멀티 챔버 시스템과 이를 이용한 웨이퍼 가공방법
US5916016A (en) * 1997-10-23 1999-06-29 Vlsi Technology, Inc. Methods and apparatus for polishing wafers
US5934974A (en) * 1997-11-05 1999-08-10 Aplex Group In-situ monitoring of polishing pad wear
US6045434A (en) * 1997-11-10 2000-04-04 International Business Machines Corporation Method and apparatus of monitoring polishing pad wear during processing
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
US6113462A (en) * 1997-12-18 2000-09-05 Advanced Micro Devices, Inc. Feedback loop for selective conditioning of chemical mechanical polishing pad
KR100251279B1 (ko) * 1997-12-26 2000-04-15 윤종용 반도체 제조용 증착설비의 막두께 조절방법
KR100258841B1 (ko) * 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
EP0932194A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
KR100278600B1 (ko) * 1998-01-14 2001-01-15 윤종용 반도체 제조설비 관리시스템의 설비유닛의 상태 관리방법
KR19990065486A (ko) * 1998-01-14 1999-08-05 윤종용 반도체 제조설비 관리시스템의 공정조건 관리방법
US5985497A (en) * 1998-02-03 1999-11-16 Advanced Micro Devices, Inc. Method for reducing defects in a semiconductor lithographic process
KR100297371B1 (ko) * 1998-02-03 2001-10-25 윤종용 반도체 공정 데이터 통합 관리 방법
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6455937B1 (en) * 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US6017771A (en) * 1998-04-27 2000-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for yield loss analysis by yield management system
US6228280B1 (en) * 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6381564B1 (en) * 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6169931B1 (en) * 1998-07-29 2001-01-02 Southwest Research Institute Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
KR100292030B1 (ko) * 1998-09-15 2001-08-07 윤종용 반도체 박막 공정에서의 박막 두께 제어 방법
US6197604B1 (en) * 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6366934B1 (en) * 1998-10-08 2002-04-02 International Business Machines Corporation Method and apparatus for querying structured documents using a database extender
US6226792B1 (en) * 1998-10-14 2001-05-01 Unisys Corporation Object management system supporting the use of application domain knowledge mapped to technology domain knowledge
JP3019079B1 (ja) * 1998-10-15 2000-03-13 日本電気株式会社 化学機械研磨装置
US6210983B1 (en) * 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
US6324481B1 (en) * 1998-10-21 2001-11-27 Texas Instruments Incorporated Method for the calculation of wafer probe yield limits from in-line defect monitor data
US6173240B1 (en) * 1998-11-02 2001-01-09 Ise Integrated Systems Engineering Ag Multidimensional uncertainty analysis
US6280289B1 (en) * 1998-11-02 2001-08-28 Applied Materials, Inc. Method and apparatus for detecting an end-point in chemical mechanical polishing of metal layers
US6176992B1 (en) * 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6214734B1 (en) * 1998-11-20 2001-04-10 Vlsi Technology, Inc. Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection
JP4365914B2 (ja) * 1998-11-25 2009-11-18 キヤノン株式会社 半導体製造装置およびデバイス製造方法
US6172756B1 (en) * 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6252412B1 (en) * 1999-01-08 2001-06-26 Schlumberger Technologies, Inc. Method of detecting defects in patterned substrates
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6389491B1 (en) * 1999-03-23 2002-05-14 Agilent Technologies, Inc. Test instrumentation I/O communication interface and method
US6253366B1 (en) * 1999-03-31 2001-06-26 Unisys Corp. Method and system for generating a compact document type definition for data interchange among software tools
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
DE19917242A1 (de) * 1999-04-16 2000-10-19 Stahlecker Fritz Spulenteller mit Einrichtungen zum Bilden einer Fadenreservewicklung
US6135863A (en) 1999-04-20 2000-10-24 Memc Electronic Materials, Inc. Method of conditioning wafer polishing pads
US6227955B1 (en) * 1999-04-20 2001-05-08 Micron Technology, Inc. Carrier heads, planarizing machines and methods for mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
US6259160B1 (en) * 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
US6268270B1 (en) * 1999-04-30 2001-07-31 Advanced Micro Devices, Inc. Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization
US6334807B1 (en) * 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
AU5881700A (en) * 1999-06-22 2001-01-09 Brooks Automation, Inc. Run-to-run controller for use in microelectronic fabrication
US6204165B1 (en) * 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
JP2001143982A (ja) * 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US20030213772A9 (en) * 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
US6405096B1 (en) * 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6368883B1 (en) * 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6217412B1 (en) * 1999-08-11 2001-04-17 Advanced Micro Devices, Inc. Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad
US6287879B1 (en) * 1999-08-11 2001-09-11 Micron Technology, Inc. Endpoint stabilization for polishing process
US6213848B1 (en) 1999-08-11 2001-04-10 Advanced Micro Devices, Inc. Method for determining a polishing recipe based upon the measured pre-polish thickness of a process layer
US6391780B1 (en) * 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6306008B1 (en) * 1999-08-31 2001-10-23 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6560504B1 (en) * 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6427093B1 (en) * 1999-10-07 2002-07-30 Advanced Micro Devices, Inc. Method and apparatus for optimal wafer-by-wafer processing
US6417014B1 (en) * 1999-10-19 2002-07-09 Advanced Micro Devices, Inc. Method and apparatus for reducing wafer to wafer deposition variation
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6248602B1 (en) * 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
IL133326A0 (en) * 1999-12-06 2001-04-30 Nova Measuring Instr Ltd Method and system for endpoint detection
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6375791B1 (en) * 1999-12-20 2002-04-23 Lsi Logic Corporation Method and apparatus for detecting presence of residual polishing slurry subsequent to polishing of a semiconductor wafer
US6405144B1 (en) * 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
US6567717B2 (en) * 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
US6705930B2 (en) * 2000-01-28 2004-03-16 Lam Research Corporation System and method for polishing and planarizing semiconductor wafers using reduced surface area polishing pads and variable partial pad-wafer overlapping techniques
US6584369B2 (en) * 2000-02-02 2003-06-24 Texas Instruments Incorporated Method and system for dispatching semiconductor lots to manufacturing equipment for fabrication
US7059948B2 (en) * 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
JP4874465B2 (ja) * 2000-03-28 2012-02-15 株式会社東芝 渦電流損失測定センサ
US6368884B1 (en) * 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6435952B1 (en) * 2000-06-30 2002-08-20 Lam Research Corporation Apparatus and method for qualifying a chemical mechanical planarization process
US7102763B2 (en) * 2000-07-08 2006-09-05 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6878038B2 (en) * 2000-07-10 2005-04-12 Applied Materials Inc. Combined eddy current sensing and optical monitoring for chemical mechanical polishing
US6400162B1 (en) * 2000-07-21 2002-06-04 Ade Corporation Capacitive displacement sensor for measuring thin targets
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
JP2002093761A (ja) * 2000-09-19 2002-03-29 Sony Corp 研磨方法、研磨装置、メッキ方法およびメッキ装置
KR100366630B1 (ko) * 2000-09-20 2003-01-09 삼성전자 주식회사 샘플 스킵 방식의 알고리즘을 이용한 웨이퍼의 연마 시간제어 방법 및 이를 이용한 웨이퍼의 연마 방법
JP3634734B2 (ja) * 2000-09-22 2005-03-30 株式会社日立製作所 プラズマ処理装置および処理方法
JP2002124496A (ja) * 2000-10-18 2002-04-26 Hitachi Ltd 研磨加工の終点検出計測方法及びその装置、並びにそれを用いた半導体デバイスの製造方法及びその製造装置
US6517413B1 (en) * 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
JP2002126998A (ja) 2000-10-26 2002-05-08 Hitachi Ltd 研磨方法および研磨装置
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
JP3922887B2 (ja) 2001-03-16 2007-05-30 株式会社荏原製作所 ドレッサ及びポリッシング装置
US6409580B1 (en) * 2001-03-26 2002-06-25 Speedfam-Ipec Corporation Rigid polishing pad conditioner for chemical mechanical polishing tool
US6336841B1 (en) * 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
JP2002299289A (ja) 2001-03-30 2002-10-11 Toshiba Corp 化学的機械的研磨方法および半導体装置の製造方法
US6549279B2 (en) * 2001-04-09 2003-04-15 Speedfam-Ipec Corporation Method and apparatus for optical endpoint calibration in CMP
JP2002373843A (ja) * 2001-06-14 2002-12-26 Nec Corp 塗布装置及び塗布膜厚制御方法
US6910947B2 (en) * 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US6678570B1 (en) * 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6751518B1 (en) * 2002-04-29 2004-06-15 Advanced Micro Devices, Inc. Dynamic process state adjustment of a processing tool to reduce non-uniformity
US6735492B2 (en) * 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101623849B (zh) * 2009-07-31 2011-05-11 清华大学 一种用于对抛光垫进行修整的修整装置
CN102591205A (zh) * 2012-02-29 2012-07-18 清华大学 化学机械抛光传输机器人的递归优化控制系统
CN107633103A (zh) * 2016-07-15 2018-01-26 中国科学院微电子研究所 一种cmp模型参数优化方法和装置
CN107234495A (zh) * 2017-07-24 2017-10-10 黄亦翔 建立平均材料去除速率预测模型的方法及预测速率的方法
CN107234495B (zh) * 2017-07-24 2019-01-29 黄亦翔 建立平均材料去除速率预测模型的方法及预测速率的方法
US11389928B2 (en) 2017-11-30 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for conditioning polishing pad
CN109848855A (zh) * 2017-11-30 2019-06-07 台湾积体电路制造股份有限公司 调节研磨垫的方法
CN109848855B (zh) * 2017-11-30 2021-04-20 台湾积体电路制造股份有限公司 调节研磨垫的方法
CN113227919A (zh) * 2018-08-29 2021-08-06 伊利诺斯工具制品有限公司 材料去除系统的移动控制
CN111699074A (zh) * 2018-12-26 2020-09-22 应用材料公司 普雷斯顿矩阵产生器
CN111699074B (zh) * 2018-12-26 2024-05-03 应用材料公司 普雷斯顿矩阵产生器
CN113574474A (zh) * 2019-03-15 2021-10-29 3M创新有限公司 使用因果模型抛光半导体晶圆
CN114734372A (zh) * 2022-03-28 2022-07-12 北京烁科精微电子装备有限公司 一种晶圆研磨方法

Also Published As

Publication number Publication date
US7413986B2 (en) 2008-08-19
EP1399295A1 (en) 2004-03-24
US20060009129A1 (en) 2006-01-12
US20030027424A1 (en) 2003-02-06
US7101799B2 (en) 2006-09-05
KR100904866B1 (ko) 2009-06-26
WO2002102549A1 (en) 2002-12-27
JP2005518285A (ja) 2005-06-23
KR20040010753A (ko) 2004-01-31

Similar Documents

Publication Publication Date Title
CN1535196A (zh) 化学机械抛光垫的调节的前馈和反馈控制
CN1524027A (zh) 提高垫寿命的化学机械抛光垫调节器方向速度控制
CN1292464C (zh) 提供去除速率曲线处理的化学机械抛光设备的反馈控制
US6169931B1 (en) Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
CN1602546A (zh) 基于原位传感器的半导体处理工序控制
US9031687B2 (en) Method for predicting worked shape, method for determining working conditions, working method, working system, semiconductor device manufacturing method, computer program and computer program storage medium
KR100590465B1 (ko) 시뮬레이션 방법 및 장치, 연마 방법 및 장치, 제어파라미터 또는 제어 프로그램 작성 방법 및 장치, 연마시스템, 기록 매체 및 반도체 소자의 제조 방법
JP2002343753A (ja) シミュレーション方法及び装置、加工装置、加工システム、並びに半導体デバイス製造方法
JP2004153229A (ja) 加工形状の予測方法、加工条件の決定方法、加工量予測方法、加工形状予測システム、加工条件決定システム、加工システム、加工形状予測計算機プログラム、加工条件決定計算機プログラム、プログラム記録媒体、及び半導体デバイスの製造方法
US20040063224A1 (en) Feedback control of a chemical mechanical polishing process for multi-layered films
US7203564B2 (en) Treatment condition decision method, treatment condition decision system, treatment system, treatment condition decision calculator program, program recording medium, and semiconductor device manufacturing method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
AD01 Patent right deemed abandoned
C20 Patent right or utility model deemed to be abandoned or is abandoned