CN1615333A - 用于照相平版印刷术的旋涂玻璃抗反射涂料 - Google Patents

用于照相平版印刷术的旋涂玻璃抗反射涂料 Download PDF

Info

Publication number
CN1615333A
CN1615333A CNA028271661A CN02827166A CN1615333A CN 1615333 A CN1615333 A CN 1615333A CN A028271661 A CNA028271661 A CN A028271661A CN 02827166 A CN02827166 A CN 02827166A CN 1615333 A CN1615333 A CN 1615333A
Authority
CN
China
Prior art keywords
gram
solution
absorptivity
silane
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA028271661A
Other languages
English (en)
Inventor
T·巴尔德温
N·哈克尔
J·肯尼迪
R·斯皮尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
Honeywell International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Publication of CN1615333A publication Critical patent/CN1615333A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/006Surface treatment of glass, not in the form of fibres or filaments, by coating with materials of composite character
    • C03C17/008Surface treatment of glass, not in the form of fibres or filaments, by coating with materials of composite character comprising a mixture of materials covered by two or more of the groups C03C17/02, C03C17/06, C03C17/22 and C03C17/28
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/22Absorbing filters
    • G02B5/23Photochromic filters
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/30Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/12Polysiloxanes containing silicon bound to hydrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/0008Organic ingredients according to more than one of the "one dot" groups of C08K5/01 - C08K5/59
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Abstract

紫外照相平版印刷术的抗反射涂料包括被引入到旋涂玻璃材料中的至少一种有机吸光化合物。合适的吸收性化合物在照相平版印刷术中所用的波长如365nm,248nm,193nm和157nm左右的波长范围内强烈地吸收。制造吸收性旋涂玻璃材料的方法包括在旋涂玻璃材料的合成过程中将至少一种有机吸收性化合物与烷氧基硅烷或卤硅烷反应物混合。

Description

用于照相平版印刷术的旋涂玻璃抗反射涂料
本申请是2000年10月27日提交的US专利申请序列No.09/698,883和2000年1月26日提交的US专利申请序列No.09/491,166的授权于Kennedy等人的US专利6,268,457(2001年7月31日)的部分继续,在此引入其全部内容供参考。
本发明的领域
本发明一般性涉及旋涂玻璃材料,并且更具体而言涉及在照相平版印刷术(photolithography)中用作抗反射层的吸光性旋涂玻璃材料,以及生产该材料的方法。
本发明的背景
为了满足更快速性能的要求,集成电路设备的器件(feature)的特征尺寸继续减少。具有较小器件尺寸的设备的制造在通常用于半导体制造中的许多工艺中引入了新挑战。这些制造工艺中的最重要的一个是照相平版印刷术。
长期以来已经认识到,在由照相平版印刷术形成的图案中线宽变化能够由从半导体晶片(semiconductor wafer)上的底涂层上反射出的光的光学干涉所引起。由于底涂层的外形结构所引起的光刻胶(photoresist)厚度的变化也诱导线宽变化。在光刻胶层之下施涂的抗反射涂料(ARC)已经用于防止来自辐射光束的反射的干扰。另外,抗反射涂料部分地使晶片外形结构发生平面化,有助于改进在各步骤中的线宽变化,因为光刻胶厚度是更均匀的。
有机聚合物膜,特别是在通常用于曝光光刻胶的i-线(365nm)和g-线(436nm)波长下和在最近使用的248nm波长下吸收的那些,已经用作抗反射涂料。然而,有机ARC与有机光刻胶共享许多化学性质的事实能够限制可使用的工艺顺序。此外ARC可与光刻胶层混杂。避免混杂的一个解决方法是引入热固性粘结剂作为有机ARC的附加组分,如在例如授权于Flaim等人的US专利No.5,693,691中所述。染料以及任选的附加添加剂如润湿剂、粘合促进剂、防腐剂和增塑剂也可引入到有机ARC中,如在授权于Arnold等人的US专利No.4,910,122中所述。
氧氮化硅是已经用作抗反射涂料的另一种材料。然而,氧氮化硅由破坏性干涉过程而不是由吸收来用作ARC,这意味着氧氮化物厚度的特别精密控制是必需的并且该材料在高度可变的外形结构上不如ARC好。此外,氧氮化硅典型地由化学蒸汽沉积法沉积,而光刻胶层典型地使用旋涂器来施涂。附加的化学蒸汽沉积过程增加了加工复杂性。
能够用作抗反射层的另一类材料是含有染料的旋涂玻璃(spin-on-glass,SOG)组合物。Yau等人的US专利No.4,587,138公开了以大约1wt%的量与旋涂玻璃混合的染料如碱性黄#11。Allman等人的US专利No.5,100,503公开了含有无机染料如TiO2、Cr2O7、MoO4、MnO4或ScO4和粘合促进剂的交联的聚硅氧烷。Allman另外教导,旋涂玻璃组合物也用作平面化层。然而,迄今公开的旋涂玻璃、染料结合物对暴露于越来越多地用于生产具有小器件尺寸的设备的深紫外尤其是248和193nm光源不是最佳的。此外,并不是所有的染料都能够容易地引入到任意的旋涂玻璃组合物中。
因此,在紫外光谱区中强烈且均匀地吸收的吸收性旋涂玻璃抗反射涂料和平版印刷材料以及生产旋涂玻璃抗反射涂料的方法都是令人向往的。还希望ARC层不受光刻胶显影剂的影响。
本发明概述
用于深紫外照相平版印刷术的抗反射涂料包括被引入到旋涂玻璃(SOG)材料中的一种或多种有机吸收性化合物。该旋涂玻璃材料包括硅型化合物,如甲基硅氧烷,甲基硅倍半氧烷,苯基硅氧烷,苯基硅倍半氧烷,甲基苯基硅氧烷,甲基苯基硅倍半氧烷,硅酸盐聚合物和它们的混合物。这里使用的已知为“旋涂玻璃材料”的物质组还包括硅氧烷聚合物,通式(H0-1.0SiO1.5-2.0)x的氢硅氧烷聚合物和具有通式(HSiO1.5)x的氢硅倍半氧烷聚合物,其中x大于约四。也包括的是氢硅倍半氧烷和烷氧基氢基硅氧烷或羟基氢基硅氧烷的共聚物。旋涂玻璃材料另外包括通式(H0-1.0SiO1.5-2.0)n(R0-1.0SiO1.5-2.0)m的有机氢基硅氧烷聚合物和通式(HSiO1.5)n(RSiO1.5)m的有机氢基硅倍半氧烷聚合物,其中m大于零并且n和m的总和大于约四,R是烷基或芳基。
适合引入到旋涂玻璃材料中的吸收性化合物在低于375nm或低于约260nm的波长下强烈吸收。尤其,合适的吸收性化合物将吸收在例如248nm、193nm、157nm波长左右或在可用于照相平版印刷术中的其它紫外线波长如365nm下的光。合适的化合物的发色团典型地具有至少一个苯环,并且在有两个或更多个苯环的那些情况下,这些环可以是或不是稠合的。可引入的吸收性化合物具有连接于发色团上的可使用的反应活性基团,其中该反应活性基团能够包括羟基、胺基、羧酸基团,以及其中硅键接于一个、两个或三个烷氧基或卤素原子取代基上的取代甲硅烷基。反应活性基团可以直接键接到该发色团上或反应活性基团可以经由烃桥基或氧键而键接于发色团上。该发色团也可包括与用于配制旋涂玻璃材料的那些类似的硅型化合物或聚合物。
合适的可引入的有机吸收性化合物的例子包括具有一个苯环的那些化合物,如苯基三烷氧基硅烷(苯基三乙氧基硅烷,苯基三甲氧基硅烷,苯基三丙氧基硅烷);具有两个或更多个未稠合苯环的那些化合物,如2-羟基-4-(3-三烷氧基甲硅烷基丙氧基)-二苯基酮,3-羟基-4-(3-三烷氧基甲硅烷基丙氧基)-二苯基酮,玫红酸,4-苯基偶氮苯酚和4-烷氧基苯基偶氮苯-4-羧基烷基三乙氧基甲硅烷,樱草灵;和具有两个或更多个稠合苯环的那些化合物,如三烷氧基甲硅烷基丙基-1,8-萘二甲酰亚胺,2,6-二羟蒽醌,茜素,醌茜,9-蒽羧基烷基三乙氧基硅烷(9-蒽羧基甲基三乙氧基硅烷,9-蒽羧基乙基三乙氧基硅烷,9-蒽羧基丁基三乙氧基硅烷,9-蒽羧基丙基三乙氧基硅烷,9-蒽羧基戊基三乙氧基硅烷),9-蒽甲酸,9-蒽甲醇和它们的混合物。
根据本发明的另一个方面,提供了合成吸收性旋涂玻璃组合物的方法。旋涂玻璃材料通常是从硅烷和硅型反应物如三乙氧基硅烷,四乙氧基硅烷,甲基三乙氧基硅烷,二甲基二乙氧基硅烷,四甲氧基硅烷,甲基三甲氧基硅烷,三甲氧基硅烷,二甲基二甲氧基硅烷,苯基三乙氧基硅烷,苯基三甲氧基硅烷,二苯基二乙氧基硅烷和二苯基二甲氧基硅烷合成的。卤硅烷,特别是氯硅烷,例如三氯硅烷,甲基三氯硅烷,乙基三氯硅烷,苯基三氯硅烷,四氯硅烷,二氯甲硅烷,甲基二氯硅烷,二甲基二氯硅烷,氯三乙氧基硅烷,氯三甲氧基硅烷,氯甲基三乙氧基硅烷,氯乙基三乙氧基硅烷,氯苯基三乙氧基硅烷,氯甲基三甲氧基硅烷,氯乙基三甲氧基硅烷和氯苯基三甲氧基硅烷也被用作硅烷反应物。
制造吸收性旋涂玻璃组合物的方法包括混合一种或多种烷氧基硅烷、或一种或多种卤硅烷一种或多种可引入的有机吸收性化合物酸/水混合物如硝酸/水混合物以及一种或多种溶剂而形成反应混合物;并让反应混合物回流而形成吸收性旋涂玻璃组合物。如此形成的旋涂玻璃组合物用一种或多种溶剂稀释,提供涂料溶液,后者可获得各种厚度的膜。也提供了制造吸收性旋涂玻璃组合物的替换方法,包括使用卤硅烷和相转移催化剂的方法。
在本发明的又一个方面,生产吸收性旋涂组合物,它包括硅型化合物和强烈地吸收在低于约375nm的波长下的光的可引入的有机吸收性化合物。进一步提供的是吸收性旋涂旋组合物,其中所述硅型化合物或可引入的有机吸收性化合物中的至少一种包括至少一个烷基、烷氧基、酮基或偶氮基。
根据本发明的又一个方面,提供了包括9-蒽羧基烷基三烷氧基硅烷的化学类型的吸收性化合物。合成9-蒽羧基烷基三烷氧基硅烷中的任何一种的方法包括混合9-蒽甲酸、氯烷基三烷氧基硅烷、三乙胺和溶剂而形成反应混合物;回流该反应混合物;冷却该回流的反应混合物而形成沉淀物和剩余的溶液;并过滤该剩余溶液,生产出液体9-蒽羧基烷基三烷氧基硅烷。
附图简述
图1a-1f显示了被引入到旋涂玻璃组合物中的吸收性化合物的化学式。
图2a-2h说明了吸收性旋涂玻璃组合物在照相平版印刷过程中用作抗反射涂层的用途。
详细说明
用于深紫外照相平版印刷术的抗反射涂料包括被引入到旋涂玻璃(SOG)材料中的至少一种有机吸收性化合物。该吸收性旋涂玻璃组合物溶于合适的溶剂中以形成涂料溶液并在制造半导体器件中施涂于材料的各层上。该吸收性旋涂玻璃抗反射涂料经过设计而易于结合到现有的半导体制造工艺中。促进结合的一些性能包括a)耐显影剂性,b)在标准光刻胶加工中的热稳定性,和c)对于底涂层的选择性除去。
所考虑的旋涂玻璃材料包括硅型化合物,如甲基硅氧烷,甲基硅倍半氧烷,苯基硅氧烷,苯基硅倍半氧烷,甲基苯基硅氧烷,甲基苯基硅倍半氧烷,硅氮烷聚合物,硅酸盐聚合物和它们的混合物。所考虑的硅氮烷聚合物是全氢硅氮烷,它具有连接了发色团的“透明”聚合物骨架。这里使用的短语“旋涂玻璃材料”也包括硅氧烷聚合物和嵌段聚合物,通式(H0-1.0SiO1.5-2.0)x的氢硅氧烷聚合物和具有通式(HSiO1.5)x的氢硅倍半氧烷聚合物,其中x大于约四。也包括的是氢硅倍半氧烷和烷氧基氢基硅氧烷或羟基氢基硅氧烷的共聚物。旋涂玻璃材料另外包括通式(H0-1.0SiO1.5-2.0)n(R0-1.0SiO1.5-2.0)m的有机氢基硅氧烷聚合物和通式(HSiO1.5)n(RSiO1.5)m的有机氢基硅倍半氧烷聚合物,其中m大于零并且n和m的总和大于约四,R是烷基或芳基。一些有用的有机氢基硅氧烷聚合物的n和m的总和为大约四到约5000,其中R是C1-C20烷基或C6-C12芳基。该有机氢基硅氧烷和有机氢基硅倍半氧烷聚合物另外被表示为旋涂聚合物(spin-on-polymers)。一些特定的例子包括烷基氢基硅氧烷,比如甲基氢基硅氧烷,乙基氢基硅氧烷,丙基氢基硅氧烷,叔丁基氢基硅氧烷,苯基氢基硅氧烷;和烷基氢基硅倍半氧烷,如甲基氢基硅倍半氧烷,乙基氢基硅倍半氧烷,丙基氢基硅倍半氧烷,叔丁基氢基硅倍半氧烷,苯基氢基硅倍半氧烷,和它们的结合物。
许多萘-和蒽-型化合物在248nm和更短的波长下具有显著的吸收。苯型(在这里同等地称为苯基型),化合物在短于200nm的波长下具有显著的吸收。尽管这些萘-、蒽-和苯基-型化合物常常被称为染料,但这里使用术语吸收性化合物,因为这些化合物的吸收不局限于在可见光谱区中的波长。然而,不是全部的此类吸收性化合物均能够引入到用作ARC材料的旋涂玻璃中。本发明适合使用的吸收性化合物将吸收在一定波长范围中的光,该范围以波长如248nm、193nm或用于照相平版印刷术中的其它紫外线波长如365nm为中心。
合适的吸收性化合物的发色团典型地具有至少一个苯环,在有两个或更多个苯环的情况下,这些环可以是或不是稠合的。可引入的吸收性化合物具有连接于发色团上的可使用的反应活性基团,其中该反应活性基团包括羟基、胺基、羧酸基团以及其中硅键接于一个、两个或三个“离去基团”如烷氧基或卤素原子上的取代甲硅烷基。乙氧基或甲氧基或氯原子常常被用作离去基团。包括这些常常使用的离去基团的反应活性基团包括硅烷氧基、硅二烷氧基和硅三烷氧基化合物,如硅乙氧基,硅二乙氧基,硅三乙氧基,硅甲氧基,硅二甲氧基,硅三甲氧基,氯甲硅烷基,二氯甲硅烷基和三氯甲硅烷基基团。反应活性基团可以直接键接于发色团上,如例如在苯基三乙氧基硅烷上,或反应活性基团可以经由氧键或烃桥连基连接于发色团上,如例如在9-蒽羧基烷基三乙氧基甲硅烷上。已经发现例如在发色团上包含硅三烷氧基是有利的,尤其对促进吸收性SOG膜的稳定性有利。其它有用的吸收性化合物是含有偶氮基、-N=N-和可使用的反应活性基团的那些,特别是含有连接苯环的偶氮基的那些,尤其当在365nm左右的吸收是具体应用所需要的。
在吸收性旋涂玻璃组合物或材料中,吸收性化合物可以有空隙地(interstitially)引入到旋涂玻璃基质中。或者吸收性化合物能够以化学键连接于旋涂玻璃聚合物。在一些所考虑的实施方案中,可引入的吸收性化合物经由可使用的反应活性基团与旋涂玻璃聚合物骨架形成键。
在一些所考虑的实施方案中,吸收性旋涂组合物包括硅-型化合物和可引入的有机吸收性化合物,后者吸收在低于约375nm的波长下的光。在其它所考虑的实施方案中,吸收性旋涂组合物吸收在大于2nm的波长范围中的光。在再其它所考虑的实施方案中,吸收性旋涂组合物吸收在大于10nm的波长范围中的光。
此外,硅-型化合物或可引入的有机吸收性化合物中的至少一种包括至少一个烷基、烷氧基、酮基或偶氮基。
本发明适合使用的吸收性化合物的例子包括2,6-二羟蒽醌(1),9-蒽甲酸(2),9-蒽甲醇(3),9-蒽乙醇(4),9-蒽丙醇(5),9-蒽丁醇(6),茜素(7),醌茜(8),樱草灵(9),2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮(10),2-羟基-4-(3-三甲氧基甲硅烷基丙氧基)-二苯基酮(11),2-羟基-4-(3-三丁氧基甲硅烷基丙氧基)-二苯基酮(12),2-羟基-4-(3-三丙氧基甲硅烷基丙氧基)-二苯基酮(13),玫红酸(14),三乙氧基甲硅烷基丙基-1,8-萘二甲酰亚胺(15),三甲氧基甲硅烷基丙基-1,8-萘二甲酰亚胺(16),三丙氧基甲硅烷基丙基-1,8-萘二甲酰亚胺(17),9-蒽羧基-甲基三乙氧基硅烷(18),9-蒽羧基-乙基三乙氧基硅烷(19),9-蒽羧基-丁基三乙氧基硅烷(20),9-蒽羧基-丙基三乙氧基硅烷(21),9-蒽羧基-甲基三甲氧基硅烷(22),9-蒽羧基-乙基三丁氧基硅烷(23),9-蒽羧基-甲基三丙氧基硅烷(24),9-蒽羧基-丙基三甲氧基硅烷(25),苯基三乙氧基硅烷(26),苯基三甲氧基硅烷(27),苯基三丙氧基硅烷(28),4-苯基偶氮苯酚(29),4-乙氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷(30),4-甲氧基苯基偶氮苯-4-羧基-乙基三乙氧基硅烷(31),4-乙氧基苯基偶氮苯-4-羧基-丙基三乙氧基硅烷(32),4-丁氧基苯基偶氮苯-4-羧基-丙基三乙氧基硅烷(33),4-甲氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷(34),4-乙氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷(35),4-甲氧基苯基偶氮苯-4-羧基-乙基三乙氧基硅烷(36),4-甲氧基苯基偶氮苯-4-羧基-丙基三乙氧基硅烷(37),和它们的结合物。吸收性化合物1-37的化学式在图1a-1f中给出。例如用9-蒽羧基-甲基三乙氧基硅烷(18),用9-蒽甲醇(3)、2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮(10)和玫红酸(14)的结合物,以及用苯基三乙氧基硅烷(26)已经获得有利的结果。然而,应该认识到,此处所列举的特定化合物并不是穷举,所考虑和优选的化合物可以选自包括这些特定化合物的化合物种类。
大多数这类吸收性化合物是可以商购的,例如,从AldrichChemical Company(Milwaukee,WI)商购。9-蒽羧基-烷基三烷氧基硅烷通过使用酯化方法来合成,该方法在下面马上将进行描述。除了以上的吸收性化合物外,苯基-型吸收性化合物的例子包括烷氧基苯甲酸化合物,如甲氧基苯甲酸;有硅-型反应活性基团连接于苯基环或连接于取代的苯基,如甲基苯基、氯苯基和氯甲基苯基上的结构。特定的苯基-型吸收性化合物包括苯基三甲氧基硅烷,苄基三氯硅烷,氯甲基苯基三甲氧基硅烷,苯基三氟硅烷,仅仅举几个例子。包括一个或两个“离去基团”的二苯基硅烷,如二苯基甲基乙氧基硅烷、二苯基二乙氧基硅烷和二苯基二氯硅烷,再次仅仅举几个例子,也是合适的可引入的吸收性化合物。
合成9-蒽羧基-烷基三烷氧基硅烷化合物的一般方法包括使用9-蒽甲酸和氯甲基三烷氧基硅烷化合物作为反应物。具体而言,合成9-蒽羧基-甲基三乙氧基硅烷(18)的方法使用9-蒽甲酸(2)和氯甲基三乙氧基硅烷作为反应物。该反应物与预先经4分子筛干燥的三乙胺和甲基异丁基酮(MIBK)结合,形成反应混合物,加热反应混合物到回流并回流大约6到10小时。在回流后,反应混合物冷却一夜而形成大量的固体沉淀物。该剩余溶液进行旋转蒸发,经硅胶柱过滤,并第二次旋转蒸发,得到深琥珀色油状液体形式的9-蒽羧基-甲基三乙氧基硅烷(18),它可以提纯。这一方法是重要的,因为它适于用于生产在9-蒽羧基-烷基三烷氧基硅烷类型中的任何化合物,其中包括9-蒽羧基-乙基三乙氧基硅烷(TESAC)、9-蒽羧基-丙基三甲氧基硅烷和9-蒽羧基-丙基三乙氧基硅烷(ACTEP)。
根据本发明的另一个方面,提供了合成吸收性旋涂玻璃组合物的方法。旋涂玻璃材料典型地从各种硅烷反应物合成,硅烷反应物包括例如三乙氧基硅烷(HTEOS),四乙氧基硅烷(TEOS),甲基三乙氧基硅烷(MTEOS),二甲基二乙氧基硅烷,四甲氧基硅烷(TMOS),甲基三甲氧基硅烷(MTMOS),三甲氧基硅烷,二甲基二甲氧基硅烷,苯基三乙氧基硅烷(PTEOS),苯基三甲氧基硅烷(PTMOS),二苯基二乙氧基硅烷和二苯基二甲氧基硅烷。卤硅烷,包括氯硅烷类在内,如三氯硅烷,甲基三氯硅烷,乙基三氯硅烷,苯基三氯硅烷,四氯硅烷,二氯甲硅烷,甲基二氯硅烷,二甲基二氯硅烷,氯三乙氧基硅烷,氯三甲氧基硅烷,氯甲基三乙氧基硅烷,氯乙基三乙氧基硅烷,氯苯基三乙氧基硅烷,氯甲基三甲氧基硅烷,氯乙基三甲氧基硅烷和氯苯基三甲氧基硅烷也被用作硅烷反应物。为了生产吸收性旋涂玻璃组合物,吸收性化合物,如吸收性化合物1-37,或它们的结合物,与硅烷反应物在SOG材料的合成过程中相结合。
在第一种方法中,在反应容器中形成反应混合物,它包括硅烷反应物,例如HTEOS,或TEOS和MTEOS,或TMOS和MTMOS;或另外的四氯硅烷和甲基三氯硅烷,一种或多种吸收性化合物,如吸收性化合物1-37;溶剂或溶剂的结合物;以及酸/水混合物。合适的溶剂包括丙酮,2-丙醇和其它简单的醇、酮和酯类,如1-丙醇,MIBK,丙氧基丙醇和乙酸丙基酯。该酸/水混合物是,例如硝酸和水。其它质子酸或酸酐,如乙酸,甲酸,磷酸,盐酸或乙酸酐另外被用于酸混合物中。所获得的混合物回流大约1至24小时,生产吸收性SOG聚合物溶液。
吸收性SOG能够用合适的溶剂稀释而获得涂料溶液,后者能够获得各种厚度的膜。合适的稀释溶剂包括丙酮,2-丙醇,乙醇,丁醇,甲醇,乙酸丙酯,乳酸乙酯和丙二醇丙醚,商业上称作Propasol-P。已经发现具有高沸点的稀释溶剂如乳酸乙酯和丙二醇丙醚是有益的。据认为高沸点溶剂可减少泡膜缺陷形成的可能性。相反,较低沸点的溶剂会夹含在膜的交联的顶层之下并随后当在烘烤工艺步骤中驱除时会产生空隙。用于本发明中的附加溶剂包括乙二醇二甲醚,另外称作甘醇二甲醚,苯甲醚,二丁醚,二丙醚,丙二醇单甲醚乙酸酯和戊醇。任选地,表面活性剂,如由3M(Minneapolis,MN)提供的产品FC430,或由DIC(日本)提供的产品Megaface R08,也被添加到涂料溶液中。涂料溶液典型地为约0.5-20wt%聚合物的溶液。在使用前,涂料溶液通过标准过滤技术来过滤。
根据形成吸收性SOG材料的第二种方法,在反应容器中形成反应混合物,它包括硅烷反应物,一种或多种吸收性化合物,如吸收性化合物1-37,和溶剂或溶剂的结合物。反应混合物被加热至回流并回流大约1至24小时。该硅烷反应物和溶剂与在以上第一种方法中所述的一样。如上所述的酸/水混合物,在搅拌下被加入到反应混合物中。所获得的混合物被加热至回流并回流大约1至24小时,生产吸收性SOG聚合物。吸收性SOG如以上所述被稀释和过滤以形成涂料溶液。
形成吸收性有机氢基硅氧烷材料的方法包括:形成双相溶剂的混合物,它包括非极性溶剂和极性溶剂及相转移催化剂;添加一种或多种有机三卤硅烷、氢基三卤硅烷和一种或多种吸收性化合物,如吸收性化合物1-37,以得到双相反应混合物;以及让双相反应混合物反应1至24小时,而生产吸收性有机氢基硅氧烷聚合物。相转移催化剂包括但不限于四丁基氯化铵和苄基三甲基氯化铵。举例的非极性溶剂包括但不限于戊烷,己烷,庚烷,环己烷,苯,甲苯,二甲苯,卤化溶剂如四氯化碳和它们的混合物。有用的极性溶剂包括水,醇,以及醇和水混合物。该吸收性聚合物溶液如以上所述被稀释和过滤以形成涂料溶液。
吸收性SOG涂料溶液一般通过普通的旋涂沉积技术被施涂于在半导体加工中的各层上,这取决于特定的制造工艺。这些技术包括分配旋涂,厚度旋涂和热烘烤步骤,以生产吸收性SOG抗反射涂料。典型工艺包括在1000至4000rpm进行厚度旋涂约20秒,及在80℃至300℃下的两个或三个烘烤步骤,各自约1分钟。根据本发明的吸收性SOG抗反射涂料显示出约1.3至约2.0的折射率和大于0.07的消光系数。正如在下面的实施例部分中所报道,已经获得了大于0.4的消光系数。相反,介电材料如二氧化硅、硅酸盐和甲基硅氧烷的消光系数在大于190nm下的波长下约为零。
使用根据本发明的吸收性旋涂玻璃材料作为在照相制版工艺中的抗反射涂料的一般方法在图2a-2h中进行说明。如图2a中所示,电介质层22沉积在硅基片20上。电介质层22能够由各种介电材料组成,其中包括例如从TEOS形成的二氧化硅层,硅烷型二氧化硅层,热生长的氧化物,或化学蒸汽沉积生产的甲基氢基硅氧烷或引入了其它元素或化合物的二氧化硅。电介质层22典型地是光学透明介质。吸收性SOG抗反射涂料层24被施涂在被普通的正性光刻胶的光刻胶层26所覆盖的电介质层22(图2b)上,生产在图2c中所示的堆叠体。图2c的堆叠体经掩模30曝露于紫外线辐射32,如图2d中所示。在该曝露过程中,吸收性SOG ARC层24吸收透过光刻胶的UV光32。因为电介质层22在UV波长范围中是透明的,如果吸收性SOG ARC层24不存在,则UV光32将从底下的硅层20上反射出来而降低临界尺寸,例如曝光的光刻胶的临界尺寸27。在这一实施例中,采用正性光刻胶,它提供直接的图像转移。
曝光的堆叠体经过显影而生产图2e的堆叠体。吸收性SOG ARC层24耐普通的光刻胶显影剂溶液,如2.5%的四甲基氢氧化铵(TMAH)溶液。相反,有机ARC层,它具有光刻胶材料的一些化学特性,是对光刻胶显影剂更敏感的。此外,可以预料吸收性SOG ARC层耐还原性化学气体型光刻胶抽提过程,而有机ARC没有耐受性。因此,吸收性SOG层的使用会促进光刻胶重新发挥作用,无需再施涂ARC层。
接下来,通过在光刻胶层26中的开孔在吸收性SOG ARC层24中刻蚀图案以生产图2f的刻蚀堆叠体。对光刻胶有高选择性的碳氟化合物刻蚀用于刻蚀吸收性SOG ARC层24。吸收性SOG对碳氟化合物刻蚀的响应提供了与需要氧等离子体刻蚀的有机ARC层相比的吸收性SOG的附加优点。氧等离子体刻蚀能够降低被显影光刻胶的临界尺寸,因为光刻胶是有机型的,也被氧等离子体刻蚀。碳氟化合物等离子体比氧等离子体消耗更少的光刻胶。在更短UV波长下,聚焦深度要求将限制在曝光步骤中光刻胶层26的厚度,如图2d中所示。例如,可以估计在193nm下,光刻胶层的厚度应该是大约300nm。因此,当这些短波长开始使用时,重要的是具有ARC层,它能够对于光刻胶有选择地刻蚀。
碳氟化合物刻蚀继续进行穿过电介质层22,得到图2g的堆叠体。在继续的刻蚀过程中光刻胶层26部分被消耗。最后,光刻胶层26通过使用氧等离子体或氢气还原化学或湿法化学来抽提,而SOG ARC层24通过使用缓冲的氧化物刻蚀,例如标准氢氟酸/水混合物,或含水或无水的有机胺或含水或无水的氟型化学过程来抽提。有利的是SOG ARC层能够用溶液来抽提,该溶液显示出对底下的电介质层的良好选择性。因此,在图2a-2h中显示的一般照相平版印刷方法说明了吸收性SOG材料作为抗反射涂料层和作为牺牲的抗反射涂料层的工艺优点。
实施例
合成吸收性SOG材料的方法以及吸收性化合物,如9-蒽羧基-烷基三烷氧基硅烷即更具体而言的9-蒽羧基-乙基三乙氧基硅烷和9-蒽羧基-丙基三乙氧基硅烷的合成在下面的实施例中进行说明。
实施例1
含有9-蒽羧基-甲基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,60克9-蒽羧基-甲基三乙氧基硅烷,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加115克丁醇,488克2-丙醇,245克丙酮,329克乙醇,53克去离子水和3.8克10%的FC 430(3M,Minneapolis,MN)。溶液被过滤。溶液被分配,随后进行3000rpm厚度旋涂达20秒,并在80℃和180℃下烘烤各1分钟。光学性质用N & K Technology Model 1200分析仪测量。膜厚度是1635埃。在248nm下,折射率(n)是1.373,消光系数(k)是0.268。然而,应该认识到,在这一实施例中的更高纯度的起始原料和吸收性化合物,如9-蒽羧基-甲基三乙氧基硅烷,将得到更高的消光系数。相同的旋涂和烘烤工艺参数和测量技术用于全部下列实施例中。
含有9-蒽羧基-乙基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,60克9-蒽羧基-乙基三乙氧基硅烷,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加115克丁醇,488克2-丙醇,245克丙酮,329克乙醇,53克去离子水和3.8克10%的FC 430(3M,Minneapolis,MN)。溶液被过滤。溶液被分配,随后进行3000rpm厚度旋涂达20秒,并在80℃和180℃下烘烤各1分钟。光学性质用N & K Technology Model 1200分析仪测量。
含有9-蒽羧基-乙基三甲氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,60克9-蒽羧基-乙基三甲氧基硅烷,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加115克丁醇,488克2-丙醇,245克丙酮,329克乙醇,53克去离子水和3.8克10%的FC 430(3M,Minneapolis,MN)。溶液被过滤。溶液被分配,随后进行3000rpm厚度旋涂达20秒,并在80℃和180℃下烘烤各1分钟。光学性质用N & K Technology Model 1200分析仪测量。
含有9-蒽羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,178克2-丙醇,89克丙酮,52克TEOS,59克MTEOS,29克9-蒽羧基-丙基三乙氧基硅烷,3.3克0.1M硝酸和40克去离子水被混合。该烧瓶回流4小时。向溶液中添加26克丁醇,488克2-丙醇,245克丙酮,329克乙醇,53克去离子水和3.8克10%的FC 430(3M,Minneapolis,MN)。溶液被过滤。溶液被分配,随后进行3000rpm厚度旋涂达20秒,并在80℃和180℃下烘烤各1分钟。光学性质用N & K Technology Model 1200分析仪测量。厚度是1487.1埃;k=0.4315;n=1.4986。
含有9-蒽羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,178克2-丙醇,89克丙酮,49克TEOS,55克MTEOS,48克9-蒽羧基-丙基三乙氧基硅烷,3.3克0.1M硝酸和40克去离子水被混合。该烧瓶回流4小时。向溶液中添加26克丁醇,488克2-丙醇,245克丙酮,329克乙醇,53克去离子水和3.8克10%的FC 430(3M,Minneapolis,MN)。溶液被过滤。溶液被分配,随后进行3000rpm厚度旋涂达20秒,并在80℃和180℃下烘烤各1分钟。光学性质用N & K Technology Model 1200分析仪测量。厚度是534.45埃;k=0.45;n=1.49。
含有9-蒽羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,178克2-丙醇,89克丙酮,13克TEOS,110克MTEOS,13克9-蒽羧基-丙基三乙氧基硅烷,3.3克0.1M硝酸和40克去离子水被混合。该烧瓶回流4小时。向溶液中添加26克丁醇,488克2-丙醇,245克丙酮,329克乙醇,53克去离子水和3.8克10%的FC 430(3M,Minneapolis,MN)。溶液被过滤。溶液被分配,随后进行3000rpm厚度旋涂达20秒,并在80℃和180℃下烘烤各1分钟。光学性质用N & K Technology Model 1200分析仪测量。厚度是414.17埃;k=0.3551;n=1.5079。
含有9-蒽羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,178克2-丙醇,89克丙酮,96克TEOS,15克MTEOS,13克9-蒽羧基-丙基三乙氧基硅烷,3.3克0.1M硝酸和40克去离子水被混合。该烧瓶回流4小时。向溶液中添加15克丁醇,488克2-丙醇,245克丙酮,329克乙醇,53克去离子水和3.8克10%的FC430(3M,Minneapolis,MN)。溶液被过滤。溶液被分配,随后进行3000rpm厚度旋涂达20秒,并在80℃和180℃下烘烤各1分钟。光学性质用N & K Technology Model 1200分析仪测量。厚度是494.77埃;k=0.3354;n=1.5243。
含有9-蒽羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,178克2-丙醇,89克丙酮,56克TEOS,64克MTEOS,7.63克9-蒽羧基-丙基三乙氧基硅烷,3.3克0.1M硝酸和40克去离子水被混合。该烧瓶回流4小时。向溶液中添加26克丁醇,488克2-丙醇,245克丙酮,329克乙醇,53克去离子水和3.8克10%的FC430(3M,Minneapolis,MN)。溶液被过滤。溶液被分配,随后进行3000rpm厚度旋涂达20秒,并在80℃和180℃下烘烤各1分钟。光学性质用N & K Technology Model 1200分析仪测量。厚度是3629.76埃;k=0.3559;n=1.4508。第二种厚度=1377.37埃;k=0.358;n=2.643,然而,n值可以根据起始组分和反应物的厚度和纯度来变化。
含有9-蒽羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,178克2-丙醇,89克丙酮,86克TEOS,25克MTEOS,12.1克9-蒽羧基-丙基三乙氧基硅烷,3.3克0.1M硝酸和40克去离子水被混合。该烧瓶回流4小时。向溶液中添加26克丁醇,488克2-丙醇,245克丙酮,329克乙醇,53克去离子水和3.8克10%的FC 430(3M,Minneapolis,MN)。溶液被过滤。溶液被分配,随后进行3000rpm厚度旋涂达20秒,并在80℃和180℃下烘烤各1分钟。光学性质用N & K Technology Model 1200分析仪测量。厚度是1455.93埃;k=0.339;n=1.5895。
含有9-蒽羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,178克2-丙醇,89克丙酮,21克TEOS,101克MTEOS,12克9-蒽羧基-丙基三乙氧基硅烷,3.3克0.1M硝酸和40克去离子水被混合。该烧瓶回流4小时。向溶液中添加26克丁醇,488克2-丙醇,245克丙酮,329克乙醇,53克去离子水和3.8克10%的FC 430(3M,Minneapolis,MN)。溶液被过滤。溶液被分配,随后进行3000rpm厚度旋涂达20秒,并在80℃和180℃下烘烤各1分钟。光学性质用N & K Technology Model 1200分析器测量。厚度是345.31埃;k=0.3264;n=1.4614。第二种厚度=1021.18埃;k=0.3215;n=1.5059。
含有9-蒽羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,178克2-丙醇,89克丙酮,37克TEOS,74克MTEOS,36克9-蒽羧基-丙基三乙氧基硅烷,3.3克0.1M硝酸和40克去离子水被混合。该烧瓶回流4小时。向溶液中添加26克丁醇,488克2-丙醇,245克丙酮,329克乙醇,53克去离子水和3.8克10%FC的430(3M,Minneapolis,MN)。溶液被过滤。溶液被分配,随后进行3000rpm厚度旋涂达20秒,并在80℃和180℃下烘烤各1分钟。光学性质用N & K Technology Model 1200分析仪测量。厚度是6000埃;k=0.3701;n=1.4486。第二种厚度=2851.52;k=0.3912;n=1.4786。
含有9-蒽羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,178克2-丙醇,89克丙酮,64克TEOS,42克MTEOS,36克9-蒽羧基-丙基三乙氧基硅烷,3.3克0.1M硝酸和40克去离子水被混合。该烧瓶回流4小时。向溶液中添加26克丁醇,488克2-丙醇,245丙酮,329克乙醇,53克去离子水和3.8克10%的FC430(3M,Minneapolis,MN)。溶液被过滤。溶液被分配,随后进行3000rpm厚度旋涂达20秒,并在80℃和180℃下烘烤各1分钟。光学性质用N & K Technology Model 1200分析仪测量。厚度是5988埃;k=0.36;n=1.445。第二种厚度=2888.27埃;k=0.3835;n=1.4856。
实施例2
含有9-蒽甲醇、2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮和玫红酸的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,25克9-蒽甲醇,10克2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮,5克玫红酸,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加111克丁醇,459克2-丙醇,230克丙酮,309克乙醇,50克去离子水和3.75克10%的FC 430(3M,Minneapolis,MN)。厚度=1436埃,n=1.479,k=0.1255。
含有9-蒽乙醇、2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮和玫红酸的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,25克9-蒽乙醇,10克2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮,5克玫红酸,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加111克丁醇,459克2-丙醇,230克丙酮,309克乙醇,50克去离子水和3.75克10%的FC 430(3M,Minneapolis,MN)。
含有9-蒽甲醇、2-羟基-4-(3-三甲氧基甲硅烷基丙氧基)-二苯基酮和玫红酸的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,25克9-蒽甲醇,10克2-羟基-4-(3-三甲氧基甲硅烷基丙氧基)-二苯基酮,5克玫红酸,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加111克丁醇,459克2-丙醇,230克丙酮,309克乙醇,50克去离子水和3.75克10%的FC 430(3M,Minneapolis,MN)。
含有9-蒽乙醇、2-羟基-4-(3-三甲氧基甲硅烷基丙氧基)-二苯基酮和玫红酸的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,25克9-蒽乙醇,10克2-羟基-4-(3-三甲氧基甲硅烷基丙氧基)-二苯基酮,5克玫红酸,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加111克丁醇,459克2-丙醇,230克丙酮,309克乙醇,50克去离子水和3.75克10%的FC 430(3M,Minneapolis,MN)。
实施例3
含有9-蒽甲醇、2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮和玫红酸的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,93克TEOS,77克MTEOS,20克9-蒽甲醇,60克2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮,5克玫红酸,0.5599克0.1M硝酸和71.90克去离子水被混合。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.75克10%的FC430(3M,Minneapolis,MN)。
实施例4
含有9-蒽甲醇、2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮和玫红酸的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,108克TEOS,77克MTEOS,10克9-蒽甲醇,60克2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮,5克玫红酸,0.5599克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.75克10%的FC 430(3M,Minneapolis,MN)。厚度=4275埃,n=1.529,k=0.124。
实施例5
含有2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,51克MTEOS,60克2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.75克10%的FC 430(3M,Minneapolis,MN)。厚度=3592埃,n=1.563,k=0.067。
含有2-羟基-4-(3-三甲氧基甲硅烷基丙氧基)-二苯基酮的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,51克MTEOS,60克2-羟基-4-(3-三甲氧基甲硅烷基丙氧基)-二苯基酮,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.75克10%的FC 430(3M,Minneapolis,MN)。
实施例6
含有9-蒽甲醇的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,10克9-蒽甲醇,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.75克10%的FC 430(3M,Minneapolis,MN)。
含有9-蒽乙醇的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,10克9-蒽乙醇,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.75克10%的FC 430(3M,Minneapolis,MN)。
含有9-蒽丙醇的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,10克9-蒽丙醇,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.75克10%的FC 430(3M,Minneapolis,MN)。
实施例7
含有9-蒽甲醇、2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮和玫红酸的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,20克2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮,25克9-蒽甲醇,5克玫红酸,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.75克10%的FC 430(3M,Minneapolis,MN)。厚度=3503埃,n=1.475,k=0.193。
实施例8
含有9-蒽甲醇、2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮和玫红酸的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,5克2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮,25克9-蒽甲醇,5克玫红酸,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.75克10%的FC 430(3M,Minneapolis,MN)。厚度=3119埃,n=1.454,k=0 175。
实施例9
含有9-蒽甲醇、2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮、玫红酸、醌茜和茜素的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,20克2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮,25克9-蒽甲醇,5克玫红酸,2克醌茜,2克茜素,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.7克10%的FC 430(3M,Minneapolis,MN)。厚度=3554埃,n=1.489,k=0.193。
实施例10
含有9-蒽甲醇、2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮、玫红酸和茜素的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,51.5克MTEOS,5克2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮,25克9-蒽甲醇,5克玫红酸,2克茜素,0.5599克0.1M硝酸和71.90克去离子水被混合。该烧瓶回流4小时。向溶液中添加56.68克丁醇,87.99克2-丙醇,44.10克丙酮,59.31克乙醇,9.55克去离子水和3.75克10%的FC 430(3M,Minneapolis,MN)。厚度=3109埃,n=1.454,k=0.193。
实施例11
含有9-蒽羧基-甲基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,30克9-蒽羧基-甲基三乙氧基硅烷,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.7克10%的FC 430(3M,Minneapolis,MN)。厚度=3010埃,n=1.377,k=0.163。
含有9-蒽羧基-乙基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,30克9-蒽羧基-乙基三乙氧基硅烷,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.7克10%的FC 430(3M,Minneapolis,MN)。
含有9-蒽羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,30克9-蒽羧基-丙基三乙氧基硅烷,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.7克10%的FC 430(3M,Minneapolis,MN)。
含有9-蒽羧基-戊基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,30克9-蒽羧基-戊基三乙氧基甲硅烷,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.7克10%的FC 430(3M,Minneapolis,MN)。
含有9-蒽羧基-甲基三甲氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,30克9-蒽羧基-甲基三甲氧基硅烷,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.7克10%的FC 430(3M,Minneapolis,MN)。
含有9-蒽羧基-乙基三甲氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,30克9-蒽羧基-乙基三甲氧基硅烷,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.7克10%的FC 430(3M,Minneapolis,MN)。
含有9-蒽羧基-丙基三甲氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,30克9-蒽羧基-丙基三甲氧基硅烷,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.7克10%的FC 430(3M,Minneapolis,MN)。
实施例12
含有9-蒽甲醇的吸收性SOG的合成
在1升烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,和10克9-蒽甲醇被混合。溶液回流6小时。将0.6克0.1M硝酸和72克去离子水的混合物加入到该烧瓶中。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.75克10%FC的430(3M,Minneapolis,MN)。
含有9-蒽乙醇的吸收性SOG的合成
在1升烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,和10克9-蒽乙醇被混合。溶液回流6小时。将0.6克0.1M硝酸和72克去离子水的混合物加入到该烧瓶中。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.75克10%的FC 430(3M,Minneapolis,MN)。
含有9-蒽丙醇的吸收性SOG的合成
在1升烧瓶中,297克2-丙醇,148克丙酮,123克TEOS,77克MTEOS,和10克9-蒽丙醇被混合。溶液回流6小时。将0.6克0.1M硝酸和72克去离子水的混合物加入到该烧瓶中。该烧瓶回流4小时。向溶液中添加57克丁醇,88克2-丙醇,44克丙酮,59克乙醇,9.5克去离子水和3.75克10%的FC 430(3M,Minneapolis,MN)。
实施例13
含有9-蒽羧基-甲基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,90克TMOS,59克MTMOS,60克9-蒽羧基-甲基三乙氧基硅烷,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加115克丁醇,488克2-丙醇,245克丙酮,329克乙醇,53克去离子水和3.8克10%的FC 430(3M,Minneapolis,MN)。
含有9-蒽羧基-乙基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,90克TMOS,59克MTMOS,60克9-蒽羧基-乙基三乙氧基硅烷,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加115克丁醇,488克2-丙醇,245克丙酮,329克乙醇,53克去离子水和3.8克10%的FC 430(3M,Minneapolis,MN)。
含有9-蒽羧基-甲基三甲氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,90克TMOS,59克MTMOS,60克9-蒽羧基-甲基三甲氧基硅烷,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加115克丁醇,488克2-丙醇,245克丙酮,329克乙醇,53克去离子水和3.8克10%的FC 430(3M,Minneapolis,MN)。
含有9-蒽羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,90克TMOS,59克MTMOS,60克9-蒽羧基-丙基三乙氧基硅烷,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加115克丁醇,488克2-丙醇,245克丙酮,329克乙醇,53克去离子水和3.8克10%的FC 430(3M,Minneapolis,MN)。
含有9-蒽羧基-甲基三丙氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,90克TMOS,59克MTMOS,60克9-蒽羧基-甲基三丙氧基硅烷,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加115克丁醇,488克2-丙醇,245克丙酮,329克乙醇,53克去离子水和3.8克10%的FC 430(3M,Minneapolis,MN)。
含有9-蒽羧基-乙基三丁氧基硅烷的吸收性SOG的合成
在1升的烧瓶中,297克2-丙醇,148克丙酮,90克TMOS,59克MTMOS,60克9-蒽羧基-乙基三丁氧基硅烷,0.6克0.1M硝酸和72克去离子水被混合。该烧瓶回流4小时。向溶液中添加115克丁醇,488克2-丙醇,245克丙酮,329克乙醇,53克去离子水和3.8克10%的FC 430(3M,Minneapolis,MN)。
实施例14
9-蒽羧基-甲基三乙氧基硅烷的合成
在2升烧瓶中,对90.0g 9-蒽甲酸,86.0mL氯甲基三乙氧基硅烷,66ml三乙胺,和已经在4埃分子筛上干燥过的1.25L甲基异丁基酮(MIBK)进行搅拌,慢慢地加热到回流并回流8.5小时。溶液被转移到2L特氟隆瓶子中并静置过夜。形成了大量的固体沉淀物。该MIBK溶液进行滗析并旋转蒸发至约200g。添加同等量的己烷并进行混合。形成了沉淀物。制备用20%乙酸乙酯/80%己烷浆化的1.75英寸直径×2英寸高的硅胶柱。该MIBK/烷溶液在压力下流过该柱,然后该柱用800ml的20%乙酸乙酯/80%己烷淋洗。溶液过滤到0.2μm并旋转蒸发。当溶剂停止散发时,将温度升高至35℃保持60分钟。获得深琥珀色油状液体产品(85g)。
含有9-蒽羧基-乙基三乙氧基硅烷的吸收性SOG的合成
在2升烧瓶中,对90.0g 9-蒽甲酸,86.0mL氯乙基三乙氧基硅烷,66ml三乙胺,和已经在4埃分子筛上干燥过的1.25L甲基异丁基酮(MIBK)进行搅拌,慢慢地加热到回流并回流8.5小时。溶液被转移到2L特氟隆瓶子中并静置过夜。形成了大量的固体沉淀物。该MIBK溶液进行滗析并旋转蒸发至约200g。添加同等量的己烷并进行混合。形成了沉淀物。制备用20%乙酸乙酯/80%己烷浆化的1.75英寸直径×2英寸高的硅胶柱。该MIBK/烷溶液在压力下流过该柱,然后该柱用800ml的20%乙酸乙酯/80%己烷淋洗。溶液过滤到0.2μm并旋转蒸发。当溶剂停止散发时,将温度升高至35℃保持60分钟。
含有9-蒽羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在2升烧瓶中,对90.0g 9-蒽甲酸,86.0mL氯丙基三乙氧基硅烷,66ml三乙胺,和已经在4埃分子筛上干燥过的1.25L甲基异丁基酮(MIBK)进行搅拌,慢慢地加热到回流并回流8.5小时。溶液被转移到2L特氟隆瓶子中并静置过夜。形成了大量的固体沉淀物。该MIBK溶液进行滗析并旋转蒸发至约200g。添加同等量的己烷并进行混合。形成了沉淀物。制备用20%乙酸乙酯/80%己烷浆化的1.75英寸直径×2英寸高的硅胶柱。该MIBK/烷溶液在压力下流过该柱,然后该柱用800ml的20%乙酸乙酯/80%己烷淋洗。溶液过滤到0.2μm并旋转蒸发。当溶剂停止散发时,将温度升高至35℃保持60分钟。
9-蒽羧基-甲基三甲氧基硅烷的合成
在2升烧瓶中,对90.0g 9-蒽甲酸,86.0mL氯甲基三甲氧基硅烷,66ml三乙胺,和已经在4埃分子筛上干燥过的1.25L甲基异丁基酮(MIBK)进行搅拌,慢慢地加热到回流并回流8.5小时。溶液被转移到2L特氟隆瓶子中并静置过夜。形成了大量的固体沉淀物。该MIBK溶液进行滗析并旋转蒸发至约200g。添加同等量的己烷并进行混合。形成了沉淀物。制备用20%乙酸乙酯/80%己烷浆化的1.75英寸直径×2英寸高的硅胶柱。该MIBK/烷溶液在压力下流过该柱,然后该柱用800ml的20%乙酸乙酯/80%己烷淋洗。溶液过滤到0.2μm并旋转蒸发。当溶剂停止散发时,将温度升高至35℃保持60分钟。
实施例15
含有9-蒽羧基-甲基三乙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,77克(0.432mol)MTEOS,45克(0.102mol)9-蒽羧基-甲基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加43克(0.590mol)丁醇和1260克(8.344mol)乳酸乙酯。厚度=1156埃,n=1.502,k=0.446。
含有9-蒽羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,77克(0.432mol)MTEOS,45克(0.102mol)9-蒽羧基-甲基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加43克(0.590mol)丁醇和1260克(8.344mol)乳酸乙酯。
含有9-蒽羧基-乙基三乙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,77克(0.432mol)MTEOS,45克(0.102mol)9-蒽羧基-甲基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加43克(0.590mol)丁醇和1260克(8.344mol)乳酸乙酯。
含有9-蒽羧基-甲基三甲氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,77克(0.432mol)MTEOS,45克(0.102mol)9-蒽羧基-甲基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加43克(0.590mol)丁醇和1260克(8.344mol)乳酸乙酯。
实施例16
含有9-蒽羧基-甲基三乙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,77克(0.432mol)MTEOS,30克(0.102mol)9-蒽羧基-甲基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水和3.7克10%的FC430。
含有9-蒽羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,77克(0.432mol)MTEOS,30克(0.102mol)9-蒽羧基-甲基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水和3.7克10%的FC430。
含有9-蒽羧基-乙基三甲氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,77克(0.432mol)MTEOS,30克(0.102mol)9-蒽羧基-甲基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水和3.7克10%的FC430。
含有9-蒽羧基-乙基三乙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,77克(0.432mol)MTEOS,30克(0.102mol)9-蒽羧基-甲基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水和3.7克10%的FC430。
含有9-蒽羧基-丁基三乙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,77克(0.432mol)MTEOS,30克(0.102mol)9-蒽羧基-甲基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水和3.7克10%的FC430。
实施例17
含有9-蒽羧基-甲基三乙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,77克(0.432mol)MTEOS,45克(0.102mol)9-蒽羧基-甲基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加43克(0.590mol)丁醇和981克(8.301mol)propasol-p。厚度=1407埃,n=1.334,k=0.551。
含有9-蒽羧基-乙基三乙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,77克(0.432mol)MTEOS,45克(0.102mol)9-蒽羧基-甲基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加43克(0.590mol)丁醇和981克(8.301mol)propasol-p。
含有9-蒽羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,77克(0.432mol)MTEOS,45克(0.102mol)9-蒽羧基-甲基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加43克(0.590mol)丁醇和981克(8.301mol)propasol-p。
含有9-蒽羧基-甲基三甲氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,77克(0.432mol)MTEOS,45克(0.102mol)9-蒽羧基-甲基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离于水进行混合。该烧瓶回流4小时。向溶液中添加43克(0.590mol)丁醇和981克(8.301mol)propasol-p。
实施例18
含有9-蒽羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在装有氮气导入管、干冰冷凝器和机械搅拌器的6升夹套反应器中加入5000mL己烷,720mL乙醇,65mL水和120g 10wt%的四丁基氯化铵水合物水溶液。混合物在25℃和搅拌下平衡0.5小时。三氯硅烷(377.4g,2.78mol),甲基三氯硅烷(277.7g,1.86mol),和(203.8g,0.46mol)9-蒽羧基-甲基三乙氧基硅烷的混合物使用蠕动泵经过70分钟加入到反应器中。在硅烷和吸收性化合物的添加结束之后,己烷被泵抽通过管线达10分钟。该反应搅拌2.3小时,乙醇/H2O层被分出,然后剩余己烷溶液经由3微米(μm)过滤器进行过滤,随后经由1μm过滤器进行过滤。向溶液中添加(3957g,45.92mol)己烷。
含有9-蒽羧基-乙基三甲氧基硅烷的吸收性SOG的合成
在装有氮气导入管、干冰冷凝器和机械搅拌器的6升夹套反应器中加入5000mL己烷,720mL乙醇,65mL水和120g 10wt%的四丁基氯化铵水合物水溶液。混合物在25℃和搅拌下平衡0.5小时。三氯硅烷(377.4g,2.78mol),甲基三氯硅烷(277.7g,1.86mol),和(203.8g,0.46mol)9-蒽羧基-甲基三乙氧基硅烷的混合物使用蠕动泵经过70分钟加入到反应器中。在硅烷和吸收性化合物的添加结束之后,己烷被泵抽通过管线达10分钟。该反应搅拌2.3小时,乙醇/H2O层被分出,然后剩余己烷溶液经由3微米(μm)过滤器进行过滤,随后经由1μm过滤器进行过滤。向溶液中添加(3957g,45.92mol)己烷。
含有9-蒽羧基-丙基三甲氧基硅烷的吸收性SOG的合成
在装有氮气导入管、干冰冷凝器和机械搅拌器的6升夹套反应器中加入5000mL己烷,720mL乙醇,65mL水和120g 10wt%的四丁基氯化铵水合物水溶液。混合物在2 5℃和搅拌下平衡0.5小时。三氯硅烷(377.4g,2.78mol),甲基三氯硅烷(277.7g,1.86mol),和(203.8g,0.46mol)9-蒽羧基-甲基三乙氧基硅烷的混合物使用蠕动泵经过70分钟加入到反应器中。在硅烷和吸收性化合物的添加结束之后,己烷被泵抽通过管线达10分钟。该反应搅拌2.3小时,乙醇/H2O层被分出,然后剩余己烷溶液经由3微米(μm)过滤器进行过滤,随后经由1μm过滤器进行过滤。向溶液中添加(3957g,45.92mol)己烷。
含有9-蒽羧基-丁基三丙氧基硅烷的吸收性SOG的合成
在装有氮气导入管、干冰冷凝器和机械搅拌器的6升夹套反应器中加入5000mL己烷,720mL乙醇,65mL水和120g 10wt%的四丁基氯化铵水合物水溶液。混合物在25℃和搅拌下平衡0.5小时。三氯硅烷(377.4g,2.78mol),甲基三氯硅烷(277.7g,1.86mol),和(203.8g,0.46mol)9-蒽羧基-甲基三乙氧基硅烷的混合物使用蠕动泵经过70分钟加入到反应器中。在硅烷和吸收性化合物的添加结束之后,己烷被泵抽通过管线达10分钟。该反应搅拌2.3小时,乙醇/H2O层被分出,然后剩余己烷溶液经由3微米(μm)过滤器进行过滤,随后经由1μm过滤器进行过滤。向溶液中添加(3957g,45.92mol)己烷。
实施例19
含有9-蒽羧基-甲基三乙氧基硅烷的吸收性SOG的合成
在5升烧瓶中,508.8克(3.10mol)三乙氧基硅烷(HTEOS),135.8g(0.31mol)9-蒽羧基-甲基三乙氧基硅烷和508.8g(8.77mol)丙酮由磁力搅拌来混合并冷却到低于20℃。508.8g(8.77mol)丙酮,46.69g(2.59mol H2O,0.0009mol HNO3)0.02N硝酸和37.03g(2.06mol)去离子水经过45分钟时间由滴液漏斗慢慢地添加到在5L烧瓶内的混合物中,将温度维持在20℃以下。溶液回流8小时。向溶液中添加4631g(30.67mol)乳酸乙酯。
含有9-蒽羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在5升烧瓶中,508.8克(3.10mol)三乙氧基硅烷(HTEOS),135.8g(0.31mol)9-蒽羧基-甲基三乙氧基硅烷和508.8g(8.77mol)丙酮由磁力搅拌来混合并冷却到低于20℃。508.8g(8.77mol)丙酮,46.69g(2.59mol H2O,0.0009mol HNO3)0.02N硝酸和37.03g(2.06mol)去离子水经过45分钟时间由滴液漏斗慢慢地添加到在5L烧瓶内的混合物中,将该温度维持在20℃以下。溶液回流8小时。向溶液中添加4631g(30.67mol)乳酸乙酯。
含有9-蒽羧基-乙基三甲氧基硅烷的吸收性SOG的合成
在5升烧瓶中,508.8克(3.10mol)三乙氧基硅烷(HTEOS),135.8g(0.31mol)9-蒽羧基-甲基三乙氧基硅烷和508.8g(8.77mol)丙酮由磁力搅拌来混合并冷却到低于20℃。508.8g(8.77mol)丙酮,46.69g(2.59mol H2O,0.0009mol HNO3)0.02N硝酸和37.03g2.06mol)去离子水经过45分钟时间由滴液漏斗慢慢地添加到在5L烧瓶内的混合物中,将该温度维持在20℃以下。溶液回流8小时。向溶液中添加4631g(30.67mol)乳酸乙酯。
含有9-蒽羧基-丙基三丁氧基硅烷的吸收性SOG的合成
在5升烧瓶中,508.8克(3.10mol)三乙氧基硅烷(HTEOS),135.8g(0.31mol)9-蒽羧基-甲基三乙氧基甲硅烷和508.8g(8.77mol)丙酮由磁力搅拌来混合并冷却到低于20℃。508.8g(8.77mol)丙酮,46.69g(2.59mol H2O,0.0009mol HNO3)0.02N硝酸和37.03g(2.06mol)去离子水经过45分钟时间由滴液漏斗慢慢地添加到在5L烧瓶内的混合物中,将该温度维持在20℃以下。溶液回流8小时。向溶液中添加4631g(30.67mol)乳酸乙酯。
实施例20
含有苯基三乙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,104克(0.432mol)苯基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。厚度=1727埃,n=1.957,k=0.384。
含有苯基三甲氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,104克(0.432mol)苯基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。
含有苯基三丙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,104克(0.432mol)苯基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。
含有苯基三丁氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,104克(0.432mol)苯基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。
实施例21
含有苯基三乙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,93克(0.448mol)TEOS,37克(0.209mol)MTEOS,100克(0.418mol)苯基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。厚度=1325埃,n=1.923,k=0.364。
含有苯基三甲氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,93克(0.448mol)TEOS,37克(0.209mol)MTEOS,100克(0.418mol)苯基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。
含有苯基三丙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,93克(0.448mol)TEOS,37克(0.209mol)MTEOS,100克(0.418mol)苯基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。
实施例22
含有苯基三乙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,119克(0.573mol)TEOS,27克(0.153mol)MTEOS,74克(0.306mol)苯基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。厚度=1286埃,n=1.889,k=0.286。
含有苯基三甲氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,119克(0.573mol)TEOS,27克(0.153mol)MTEOS,74克(0.306mol)苯基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。
含有苯基三丙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,119克(0.573mol)TEOS,27克(0.153mol)MTEOS,74克(0.306mol)苯基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。
实施例23
含有苯基三乙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,73克(0.351mol)TEOS,45克(0.251mo)MTEOS,121克(0.503mol)苯基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。厚度=1047埃,n=1.993,k=0.378。
含有苯基三甲氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,73克(0.351mol)TEOS,45克(0.251mol)MTEOS,121克(0.503mol)苯基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。
含有苯基三丙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,73克(0.351mol)TEOS,45克(0.251mol)MTEOS,121克(0.503mol)苯基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。
含有苯基三丁氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,73克(0.351mol)TEOS,45克(0.251mol)MTEOS,121克(0.503mol)苯基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。
实施例24
含有苯基三乙氧基硅烷和2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,73克(0.351mol)TEOS,45克(0.251mol)MTEOS,103克(0.428mol)苯基三乙氧基硅烷,12克(0.0298mol)2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。
实施例25
含有4-乙氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,77克(0.432mol)MTEOS,44.5克(0.13mol)4-乙氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。在365nm,n=1.499,k=0.162。
含有4-乙氧基苯基偶氮苯-4-羧基-乙基三乙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,77克(0.432mol)MTEOS,44.5克(0.13mol)4-乙氧基苯基偶氮苯-4-羧基-甲基三乙氧基甲硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。
含有4-甲氧基苯基偶氮苯-4-羧基-丙基三乙氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,77克(0.432mol)MTEOS,44.5克(0.13mol)4-乙氧基苯基偶氮苯-4-羧基-甲基三乙氧基甲硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。
含有4-甲氧基苯基偶氮苯-4-羧基-丙基三甲氧基硅烷的吸收性SOG的合成
在1升烧瓶中,297克(4.798mol)2-丙醇,148克(2.558mol)丙酮,123克(0.593mol)TEOS,77克(0.432mol)MTEOS,44.5克(0.13mol)4-乙氧基苯基偶氮苯-4-羧基-甲基三乙氧基甲硅烷,0.6克0.1M硝酸和72克(3.716mol)去离子水进行混合。该烧瓶回流4小时。向溶液中添加57克(0.769mol)丁醇,88克(1.422mol)2-丙醇,44克(0.758mol)丙酮,59克(1.227mol)乙醇,9.5克(0.528mol)去离子水。
因此,公开了特定的实施方案和组合物的应用以及生产包含吸收性化合物的旋涂玻璃材料的方法。然而,本领域中的技术人员应该理解,在不脱离这里的发明概念的前提下对于早已描述过的那些的更多改进都是可能的。因此,本发明的主题不受限制,仅仅限制在所附权利要求的范围内。而且,在解释说明书和权利要求时,全部的术语应该在上下文一致地以最宽泛可能的方式来解释。尤其,术语“包括”和“包含”应该解释为,对于以非排他的方式所提及的元素、组分或步骤,表明所提到的元素、组分或步骤可以与非特意提到的其它元素、组分或步骤一起存在,或一起使用,或相结合。
权利要求书
(按照条约第19条的修改)
1.吸收性旋涂玻璃组合物,它包括硅型化合物和在低于375nm的波长下吸收光的可引入的有机吸收性化合物,其中硅型化合物或可引入的有机吸收性化合物中的至少一种包括至少一种CnH2n+1基团,其中n大于2,至少一种烷氧基,至少一种酮基或至少一种偶氮基。
2.权利要求1的组合物,其中所述范围是在低于约260nm的波长下。
3.权利要求1的组合物,其中所述有机吸收性化合物包括至少一个苯环和反应活性基团,该反应活性基团选自羟基、胺基、羧酸基和其中硅键接于选自烷氧基和卤素原子中的至少一个取代基上的取代甲硅烷基。
4.权利要求3的组合物,其中所述有机吸收性化合物包括两个或多个苯环。
5.权利要求4的组合物,其中两个或多个苯环是稠合的。
6.权利要求3的组合物,其中所述有机吸收性化合物包括选自硅烷氧基、硅二烷氧基和硅三烷氧基的反应活性基团。
7.权利要求6的组合物,其中所述硅烷氧基、硅二烷氧基和硅三烷氧基包括硅乙氧基、硅二乙氧基、硅三乙氧基、硅甲氧基、硅二甲氧基和硅三甲氧基基团。
8.权利要求3的组合物,其中反应活性基团直接键接于苯环上。
9.权利要求3的组合物,其中反应活性基团经烃桥连基连接于苯环上。
10.权利要求3的组合物,其中所述有机吸收性化合物包括选自2,6-二羟蒽醌,9-蒽甲酸,9-蒽甲醇,茜素,醌茜,樱草灵,2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮,玫红酸,三乙氧基甲硅烷基丙基-1,8-萘二甲酰亚胺,9-蒽羧基-烷基三乙氧基硅烷,苯基三乙氧基硅烷,4-苯基偶氮苯酚,4-乙氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷,4-甲氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷,和它们的混合物中的吸收性化合物。
11.权利要求10的组合物,其中9-蒽羧基-烷基三乙氧基硅烷包括9-蒽羧基-丙基三乙氧基硅烷。
12.权利要求10的组合物,其中所述有机吸收性化合物包括苯基三乙氧基硅烷。
13.权利要求1的组合物,其中所述硅型化合物包括选自甲基硅氧烷,甲基硅倍半氧烷,苯基硅氧烷,苯基硅倍半氧烷,甲基苯基硅氧烷,甲基苯基硅倍半氧烷,硅氮烷聚合物,硅酸盐聚合物和它们的混合物中的聚合物。
14.权利要求1的组合物,其中所述硅型化合物是选自氢硅氧烷,氢硅倍半氧烷,有机氢基硅氧烷和有机氢基硅倍半氧烷聚合物以及氢硅倍半氧烷和烷氧基氢基硅氧烷或羟基氢基硅氧烷的共聚物中的聚合物。
15.权利要求14的组合物,其中聚合物所具有的通式选自(H0-1.0SiO1.5-2.0)x,其中x大于约8,和(H0-1.0SiO1.5-2.0)n(R0-1.0SiO1.5-2.0)m,其中m大于0,n和m的总和是约8到约5000并且R是C1-C20烷基或C6-C12芳基。
16.涂料溶液,包括权利要求1的吸收性旋涂玻璃组合物和溶剂或溶剂混合物。
17.权利要求16的涂料溶液,其中溶液是约0.5wt%-约20wt%吸收性旋涂玻璃组合物的溶液。
18.权利要求17的涂料溶液,其中溶剂选自乳酸乙酯和丙二醇丙基醚。
19.制造吸收性旋涂玻璃组合物的方法,包括:
将选自烷氧基硅烷和卤硅烷中的至少一种硅烷反应物,至少一种可引入的有机吸收性化合物、酸/水混合物和至少一种溶剂混合而形成反应混合物;和
让该反应混合物回流而形成吸收性旋涂玻璃组合物,其中该吸收性旋涂玻璃组合物包括至少一个烷基、烷氧基、酮基或偶氮基。
20.权利要求19的方法,其中至少一种有机吸收性化合物包括至少一个苯环和反应活性基团,该反应活性基团包括羟基、胺基、羧酸基和其中硅键接于包括烷氧基和卤素原子的至少一个取代基上的取代甲硅烷基。
21.权利要求19的方法,其中一种或多种有机吸收性化合物包括2,6-二羟蒽醌,9-蒽甲酸,9-蒽甲醇,茜素,醌茜,樱草灵,2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮,玫红酸,三乙氧基甲硅烷基丙基-1,8-萘二甲酰亚胺,9-蒽羧基-烷基三乙氧基硅烷,苯基三乙氧基硅烷,4-苯基偶氮苯酚,4-乙氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷,4-甲氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷,和它们的混合物。
22.权利要求21的方法,其中9-蒽羧基-烷基三乙氧基硅烷包括9-蒽羧基-丙基三乙氧基硅烷。
23.权利要求19的方法,其中所述至少一种硅烷反应物包括三乙氧基硅烷,四乙氧基硅烷,甲基三乙氧基硅烷,二甲基二乙氧基硅烷,四甲氧基硅烷,甲基三甲氧基硅烷,三甲氧基硅烷,二甲基二甲氧基硅烷,苯基三乙氧基硅烷,苯基三甲氧基硅烷,二苯基二乙氧基硅烷,和二苯基二甲氧基硅烷,三氯硅烷,甲基三氯硅烷,乙基三氯硅烷,苯基三氯硅烷,四氯硅烷,氯三乙氧基硅烷,氯三甲氧基硅烷,氯甲基三乙氧基硅烷,氯乙基三乙氧基硅烷,氯苯基三乙氧基硅烷,氯甲基三甲氧基硅烷,氯乙基三甲氧基硅烷,和氯苯基三甲氧基硅烷。
24.权利要求23的方法,其中所述至少一种硅烷反应物包括四乙氧基硅烷和甲基三乙氧基硅烷。
25.权利要求19的方法,其中酸/水混合物是硝酸/水混合物。
26.制造含有吸收性旋涂玻璃聚合物的涂料溶液的方法,包括:
将至少一种烷氧基硅烷或卤硅烷;至少一种可引入的有机吸收性化合物;酸/水混合物;和至少一种溶剂混合而形成反应混合物;和
让该反应混合物回流而形成吸收性旋涂玻璃聚合物,其中所述吸收性旋涂玻璃组合物包括至少一个烷基、烷氧基、酮基或偶氮基。
27.权利要求26的方法,进一步包括向吸收性旋涂玻璃组合物中添加一种或多种稀释溶剂而生产涂料溶液。
28.权利要求26的方法,其中所述涂料溶液是约0.5%-约20%吸收性旋涂玻璃聚合物的溶液。
29.制备9-蒽羧基-丙基三乙氧基硅烷的方法,包括:
将9-蒽甲酸、氯丙基三乙氧基硅烷、三乙胺和溶剂混合而形成反应混合物;
让反应混合物回流;
冷却该回流反应混合物以形成沉淀物和剩余溶液;和
过滤该剩余溶液以生产液态9-蒽羧基-丙基三乙氧基硅烷。
30.权利要求29的方法,其中过滤剩余溶液的操作包括:
旋转蒸发剩余溶液;
让经旋转蒸发的溶液通过硅胶柱;和
对流过硅胶柱的溶液进行旋转蒸发。

Claims (30)

1.吸收性旋涂玻璃组合物,它包括硅型化合物和在低于375nm波长下吸收光的可引入的有机吸收性化合物,其中所述硅型化合物或可引入的有机吸收性化合物中的至少一种包括烷基、烷氧基、酮基或偶氮基。
2.权利要求1的组合物,其中所述范围在低于约260nm的波长下。
3.权利要求1的组合物,其中所述有机吸收性化合物包括至少一个苯环和反应活性基团,该反应活性基团选自羟基、胺基、羧酸基和其中硅键接于选自烷氧基和卤素原子中的至少一个取代基上的取代甲硅烷基。
4.权利要求3的组合物,其中所述有机吸收性化合物包括两个或多个苯环。
5.权利要求4的组合物,其中所述两个或多个苯环是稠合的。
6.权利要求3的组合物,其中所述有机吸收性化合物包括选自硅烷氧基、硅二烷氧基和硅三烷氧基的反应活性基团。
7.权利要求6的组合物,其中所述硅烷氧基、硅二烷氧基和硅三烷氧基包括硅乙氧基、硅二乙氧基、硅三乙氧基、硅甲氧基、硅二甲氧基和硅三甲氧基基团。
8.权利要求3的组合物,其中所述反应活性基团直接键接于苯环上。
9.权利要求3的组合物,其中所述反应活性基团经烃桥连基连接于苯环上。
10.权利要求3的组合物,其中所述有机吸收性化合物包括选自2,6-二羟蒽醌,9-蒽甲酸,9-蒽甲醇,茜素,醌茜,樱草灵,2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮,玫红酸,三乙氧基甲硅烷基丙基-1,8-萘二甲酰亚胺,9-蒽羧基-烷基三乙氧基硅烷,苯基三乙氧基硅烷,4-苯基偶氮苯酚,4-乙氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷,4-甲氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷,和它们的混合物中的吸收性化合物。
11.权利要求10的组合物,其中9-蒽羧基-烷基三乙氧基硅烷包括9-蒽羧基-丙基三乙氧基硅烷。
12.权利要求10的组合物,其中所述有机吸收性化合物包括苯基三乙氧基硅烷。
13.权利要求1的组合物,其中所述硅型化合物包括选自甲基硅氧烷,甲基硅倍半氧烷,苯基硅氧烷,苯基硅倍半氧烷,甲基苯基硅氧烷,甲基苯基硅倍半氧烷,硅氮烷聚合物,硅酸盐聚合物和它们的混合物中的聚合物。
14.权利要求1的组合物,其中所述硅型化合物是选自氢硅氧烷,氢硅倍半氧烷、有机氢基硅氧烷和有机氢基硅倍半氧烷聚合物以及氢硅倍半氧烷和烷氧基氢基硅氧烷或羟基氢基硅氧烷的共聚物中的聚合物。
15.权利要求14的组合物,其中聚合物所具有的通式选自(H0-1.0SiO1.5-2.0)x,其中x大于约8,和(H0-1.0SiO1.5-2.0)n(R0-1.0SiO1.5-2.0)m,其中m大于0,n和m的总和是约8到约5000并且R是C1-C20烷基或C6-C12芳基。
16.涂料溶液,包括权利要求1的吸收性旋涂玻璃组合物和溶剂或溶剂混合物。
17.权利要求16的涂料溶液,其中溶液是约0.5wt%-约20wt%吸收性旋涂玻璃组合物的溶液。
18.权利要求17的涂料溶液,其中溶剂选自乳酸乙酯和丙二醇丙基醚。
19.制造吸收性旋涂玻璃组合物的方法,包括:
将选自烷氧基硅烷和卤硅烷中的至少一种硅烷反应物、至少一种可引入的有机吸收性化合物、酸/水混合物和至少一种溶剂混合而形成反应混合物;和
让该反应混合物回流而形成吸收性旋涂玻璃组合物,其中吸收性旋涂玻璃组合物包括至少一个烷基、烷氧基、酮基或偶氮基。
20.权利要求19的方法,其中至少一种有机吸收性化合物包括至少一个苯环和反应活性基团,该反应活性基团包括羟基、胺基、羧酸基和其中硅键接于包括烷氧基和卤素原子的至少一个取代基上的取代甲硅烷基。
21.权利要求19的方法,其中一种或多种有机吸收性化合物包括2,6-二羟蒽醌,9-蒽甲酸,9-蒽甲醇,茜素,醌茜,樱草灵,2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基酮,玫红酸,三乙氧基甲硅烷基丙基-1,8-萘二甲酰亚胺,9-蒽羧基-烷基三乙氧基硅烷,苯基三乙氧基硅烷,4-苯基偶氮苯酚,4-乙氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷,4-甲氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷,和它们的混合物。
22.权利要求21的方法,其中9-蒽羧基-烷基三乙氧基硅烷包括9-蒽羧基-丙基三乙氧基硅烷。
23.权利要求19的方法,其中所述至少一种硅烷反应物包括三乙氧基硅烷,四乙氧基硅烷,甲基三乙氧基硅烷,二甲基二乙氧基硅烷,四甲氧基硅烷,甲基三甲氧基硅烷,三甲氧基硅烷,二甲基二甲氧基硅烷,苯基三乙氧基硅烷,苯基三甲氧基硅烷,二苯基二乙氧基硅烷,和二苯基二甲氧基硅烷,三氯硅烷,甲基三氯硅烷,乙基三氯硅烷,苯基三氯硅烷,四氯硅烷,氯三乙氧基硅烷,氯三甲氧基硅烷,氯甲基三乙氧基硅烷,氯乙基三乙氧基硅烷,氯苯基三乙氧基硅烷,氯甲基三甲氧基硅烷,氯乙基三甲氧基硅烷,和氯苯基三甲氧基硅烷。
24.权利要求23的方法,其中所述至少一种硅烷反应物包括四乙氧基硅烷和甲基三乙氧基硅烷。
25.权利要求19的方法,其中酸/水混合物是硝酸/水混合物。
26.制造含有吸收性旋涂玻璃聚合物的涂料溶液的方法,包括:
将至少一种烷氧基硅烷或卤硅烷;至少一种可引入的有机吸收性化合物;酸/水混合物;和至少一种溶剂混合而形成反应混合物;和
让该反应混合物回流而形成吸收性旋涂玻璃聚合物,其中所述吸收性旋涂玻璃组合物包括至少一个烷基、烷氧基、酮基或偶氮基。
27.权利要求26的方法,进一步包括向吸收性旋涂玻璃组合物中添加一种或多种稀释溶剂而生产涂料溶液。
28.权利要求26的方法,其中所述涂料溶液是约0.5%-约20%吸收性旋涂玻璃聚合物的溶液。
29.制备9-蒽羧基-丙基三乙氧基硅烷的方法,包括:
将9-蒽甲酸、氯丙基三乙氧基硅烷、三乙胺和溶剂混合而形成反应混合物;
让反应混合物回流;
冷却该回流反应混合物以形成沉淀物和剩余溶液;和
过滤该剩余溶液以生产液态9-蒽羧基-丙基三乙氧基硅烷。
30.权利要求29的方法,其中过滤剩余溶液的操作包括:
旋转蒸发剩余溶液;
让经旋转蒸发的溶液通过硅胶柱;和
对流过硅胶柱的溶液进行旋转蒸发。
CNA028271661A 2001-11-15 2002-10-31 用于照相平版印刷术的旋涂玻璃抗反射涂料 Pending CN1615333A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/001,143 US6824879B2 (en) 1999-06-10 2001-11-15 Spin-on-glass anti-reflective coatings for photolithography
US10/001,143 2001-11-15

Publications (1)

Publication Number Publication Date
CN1615333A true CN1615333A (zh) 2005-05-11

Family

ID=21694597

Family Applications (2)

Application Number Title Priority Date Filing Date
CNA028271661A Pending CN1615333A (zh) 2001-11-15 2002-10-31 用于照相平版印刷术的旋涂玻璃抗反射涂料
CNA028271505A Pending CN1615332A (zh) 2001-11-15 2002-11-12 用于照相平版印刷的抗反射涂料及其制备方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNA028271505A Pending CN1615332A (zh) 2001-11-15 2002-11-12 用于照相平版印刷的抗反射涂料及其制备方法

Country Status (8)

Country Link
US (2) US6824879B2 (zh)
EP (1) EP1478683A4 (zh)
JP (3) JP2005509914A (zh)
KR (2) KR100897575B1 (zh)
CN (2) CN1615333A (zh)
AU (1) AU2002336709A1 (zh)
TW (2) TWI308585B (zh)
WO (1) WO2003044079A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102819192A (zh) * 2008-12-30 2012-12-12 第一毛织株式会社 抗蚀剂下层组合物及利用其制造集成电路器件的方法
CN103660666A (zh) * 2012-08-31 2014-03-26 施乐公司 可变平版印刷方法

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2374944A1 (en) 1999-06-10 2000-12-21 Nigel Hacker Spin-on-glass anti-reflective coatings for photolithography
US6824879B2 (en) * 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
AU2002227106A1 (en) * 2001-11-15 2003-06-10 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography
DE10227807A1 (de) * 2002-06-21 2004-01-22 Honeywell Specialty Chemicals Seelze Gmbh Silylalkylester von Anthracen- und Phenanthrencarbonsäuren
EP1619555A4 (en) * 2003-04-17 2007-09-12 Nissan Chemical Ind Ltd POROUS LAYERING FILM AND LAYERING-FILM-EDUCATIONAL COMPOSITION FOR ITS PRODUCTION
DE602004009791T2 (de) * 2003-05-23 2008-10-30 Dow Corning Corp., Midland Siloxan-harz basierte anti-reflektionsbeschichtung mit hoher nassätzgeschwindigkeit
JP4700929B2 (ja) * 2003-06-03 2011-06-15 信越化学工業株式会社 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP5348843B2 (ja) * 2003-10-07 2013-11-20 ハネウエル・インターナシヨナル・インコーポレーテツド 集積回路用途の被覆およびハードマスク組成物、これらの製造方法および使用
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
JP5102428B2 (ja) * 2003-11-25 2012-12-19 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 導波路組成物およびこれから形成された導波路
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US7507521B2 (en) * 2004-08-09 2009-03-24 Intel Corporation Silicon based optically degraded arc for lithographic patterning
US7687225B2 (en) * 2004-09-29 2010-03-30 Intel Corporation Optical coatings
JP4995096B2 (ja) * 2004-12-17 2012-08-08 ダウ・コーニング・コーポレイション 反射防止膜の形成方法、レジスト画像の形成方法、パターンの形成方法、電子デバイスの製造方法及びarc組成物
EP1819844B1 (en) 2004-12-17 2008-07-09 Dow Corning Corporation Method for forming anti-reflective coating
ATE486098T1 (de) * 2004-12-17 2010-11-15 Dow Corning Siloxanharzbeschichtung
JP4832955B2 (ja) * 2005-06-07 2011-12-07 信越化学工業株式会社 レジスト下層膜材料並びにそれを用いたパターン形成方法
US7862886B2 (en) * 2005-08-12 2011-01-04 Fujifilm Corporation Optical film, antireflection film, processes for producing the same, and polarizing plate and display employing the same
NO325797B1 (no) * 2005-10-14 2008-07-21 Nor X Ind As Lysbeskyttelsesmiddel basert på organisk/uorganisk hybridpolymer, fremgangsmåte til fremstilling og anvendelse av samme
EP1788436B1 (en) * 2005-11-16 2013-01-09 Shin-Etsu Chemical Company, Ltd. Rework process for photoresist film
EP1989593A2 (en) 2006-02-13 2008-11-12 Dow Corning Corporation Antireflective coating material
JP2008026500A (ja) * 2006-07-20 2008-02-07 Dainippon Printing Co Ltd 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスおよびそれを用いたフォトマスクの製造方法
WO2008099904A1 (en) 2007-02-09 2008-08-21 Nippon Shokubai Co., Ltd. Silane compound, production method thereof, and resin composition containing silane compound
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
EP2121808A1 (en) 2007-02-27 2009-11-25 AZ Electronic Materials USA Corp. Silicon-based antifrelective coating compositions
TWI439494B (zh) * 2007-02-27 2014-06-01 Braggone Oy 產生有機矽氧烷聚合物的方法
JP5470687B2 (ja) * 2007-08-10 2014-04-16 富士通株式会社 シリコン化合物、紫外線吸収体、多層配線装置の製造方法および多層配線装置
US20090111925A1 (en) * 2007-10-31 2009-04-30 Burnham Kikue S Thermal interface materials, methods of production and uses thereof
EP2240534B1 (en) 2008-01-08 2013-01-23 Dow Corning Toray Co., Ltd. Silsesquioxane resins
KR20100114075A (ko) * 2008-01-15 2010-10-22 다우 코닝 코포레이션 실세스퀴옥산 수지
US8304161B2 (en) * 2008-03-04 2012-11-06 Dow Corning Corporation Silsesquioxane resins
WO2009111121A2 (en) * 2008-03-05 2009-09-11 Dow Corning Corporation Silsesquioxane resins
US8084193B2 (en) * 2008-07-12 2011-12-27 International Business Machines Corporation Self-segregating multilayer imaging stack with built-in antireflective properties
US7955782B2 (en) * 2008-09-22 2011-06-07 Honeywell International Inc. Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
JP5632387B2 (ja) * 2008-12-10 2014-11-26 ダウ コーニング コーポレーションDow Corning Corporation 湿式エッチング可能な反射防止膜
US8809482B2 (en) 2008-12-10 2014-08-19 Dow Corning Corporation Silsesquioxane resins
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US9366964B2 (en) 2011-09-21 2016-06-14 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
JP5700003B2 (ja) * 2012-08-31 2015-04-15 大日本印刷株式会社 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスを用いたフォトマスクの製造方法
US20150329415A1 (en) * 2012-12-13 2015-11-19 Robert Alan Bellman Glass and methods of making glass articles
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
WO2014098076A1 (ja) * 2012-12-19 2014-06-26 日産化学工業株式会社 環状ジエステル基を有するシリコン含有レジスト下層膜形成組成物
JP5742903B2 (ja) * 2013-09-24 2015-07-01 大日本印刷株式会社 フォトマスクブランクス
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
US9804493B2 (en) 2013-11-22 2017-10-31 Samsung Electronics Co., Ltd. Composition for forming topcoat layer and resist pattern formation method employing the same
WO2015112958A1 (en) 2014-01-27 2015-07-30 Corning Incorporated Articles and methods for controlled bonding of thin sheets with carriers
TWI575566B (zh) 2014-02-24 2017-03-21 東京威力科創股份有限公司 與光敏化化學放大光阻化學品及程序一起使用的方法及技術
EP3129221A1 (en) 2014-04-09 2017-02-15 Corning Incorporated Device modified substrate article and methods for making
JP6196194B2 (ja) 2014-08-19 2017-09-13 信越化学工業株式会社 紫外線吸収剤、レジスト下層膜形成用組成物、及びパターン形成方法
JP5979268B2 (ja) * 2015-03-06 2016-08-24 大日本印刷株式会社 フォトマスクブランクス
TWI593753B (zh) * 2015-03-11 2017-08-01 Taimide Tech Incoporation Polyimide film and method of forming a radial circuit board from the film
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
JP2018524201A (ja) 2015-05-19 2018-08-30 コーニング インコーポレイテッド シートをキャリアと結合するための物品および方法
EP3313799B1 (en) 2015-06-26 2022-09-07 Corning Incorporated Methods and articles including a sheet and a carrier
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
RU2626105C1 (ru) * 2016-04-11 2017-07-21 Акционерное Общество "Саратовский институт стекла" Способ получения просветляющего золь-гель покрытия на основе диоксида кремния
WO2017188329A1 (ja) * 2016-04-28 2017-11-02 住友化学株式会社 組成物
CN109313395B (zh) 2016-05-13 2021-05-14 东京毅力科创株式会社 通过使用光剂来进行的临界尺寸控制
WO2017197279A1 (en) 2016-05-13 2017-11-16 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
JP6252623B2 (ja) * 2016-05-20 2017-12-27 大日本印刷株式会社 フォトマスクブランクス
TW201825623A (zh) 2016-08-30 2018-07-16 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
TWI613167B (zh) * 2016-11-18 2018-02-01 宏益玻璃科技股份有限公司 一種抗眩光強化抗菌及抗指紋之玻璃面板製作方法
JP6895317B2 (ja) * 2017-05-24 2021-06-30 信越化学工業株式会社 多環式芳香族基片末端ポリオルガノシロキサンおよび組成物
US10748757B2 (en) * 2017-09-21 2020-08-18 Honeywell International, Inc. Thermally removable fill materials for anti-stiction applications
WO2019118660A1 (en) 2017-12-15 2019-06-20 Corning Incorporated Method for treating a substrate and method for making articles comprising bonded sheets
JP7277700B2 (ja) * 2018-01-15 2023-05-19 セントラル硝子株式会社 撥水性保護膜形成用薬液、及びウェハの表面処理方法
US11500290B2 (en) 2018-11-13 2022-11-15 International Business Machines Corporation Adhesion promoters
JP7307005B2 (ja) 2019-04-26 2023-07-11 信越化学工業株式会社 硬化触媒の拡散距離を測定する方法
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
CN111362587B (zh) * 2020-04-28 2022-05-24 东莞南玻太阳能玻璃有限公司 一种高硬度防潮增透太阳能玻璃及其制备方法
CN115404005B (zh) * 2022-09-01 2024-01-05 北京星驰恒动科技发展有限公司 一种耐高温空间消杂光涂料及其制备方法和应用

Family Cites Families (477)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA586038A (en) 1956-03-26 1959-10-27 General Electric Company Organopolysiloxane resins
US5270285A (en) 1965-02-28 1993-12-14 Dai Nippon Insatsu Kabushiki Kaisha Sheet for heat transference
US3547766A (en) 1966-11-25 1970-12-15 Du Pont Laminated article
CA993709A (en) 1971-01-21 1976-07-27 Leo Roos Composite, mask-forming photohardenable elements
US3784378A (en) 1971-10-18 1974-01-08 Du Pont Double-exposure method for producing reverse images in photopolymers
JPS5125070B2 (zh) * 1972-07-11 1976-07-28
US3884702A (en) 1972-12-14 1975-05-20 Unitika Ltd Photosensitive polyamide composition
US3929489A (en) 1973-09-14 1975-12-30 Eastman Kodak Co Lithographic plates having radiation sensitive elements developable with aqueous alcohol
US4043812A (en) 1973-11-19 1977-08-23 Xerox Corporation Electrostatographic imaging member and process using anthracene functional polymers
US4107133A (en) 1974-01-14 1978-08-15 Dainippon Ink & Chemicals, Inc. Colored polyethylene molding compositions
US3925077A (en) 1974-03-01 1975-12-09 Horizons Inc Photoresist for holography and laser recording with bleachout dyes
US4191571A (en) 1974-04-26 1980-03-04 Hitachi, Ltd. Method of pattern forming in a photosensitive composition having a reciprocity law failing property
US4018606A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Organic azo pigment sensitizers for photoconductive layers
US4018607A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Crystalline organic pigment sensitizers for photoconductive layers
US4052367A (en) 1975-10-14 1977-10-04 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4048146A (en) 1975-10-14 1977-09-13 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
US5153254A (en) 1977-03-17 1992-10-06 Applied Elastomerics, Inc. Reusable lint remover
US5475890A (en) 1977-03-17 1995-12-19 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US4618213A (en) 1977-03-17 1986-10-21 Applied Elastomerics, Incorporated Gelatinous elastomeric optical lens, light pipe, comprising a specific block copolymer and an oil plasticizer
US5334646B1 (en) 1977-03-17 1998-09-08 Applied Elastomerics Inc Thermoplastic elastomer gelatinous articles
US5633286B1 (en) 1977-03-17 2000-10-10 Applied Elastomerics Inc Gelatinous elastomer articles
US5262468A (en) 1977-03-17 1993-11-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions
US5508334A (en) 1977-03-17 1996-04-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions and articles
US4369284A (en) 1977-03-17 1983-01-18 Applied Elastomerics, Incorporated Thermoplastic elastomer gelatinous compositions
US5336708A (en) 1977-03-17 1994-08-09 Applied Elastomerics, Inc. Gelatinous elastomer articles
US5624294A (en) 1977-03-17 1997-04-29 Applied Elastomerics, Inc. Humdinger, gel spinner
US5655947A (en) 1977-03-17 1997-08-12 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5324222A (en) 1977-03-17 1994-06-28 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic airfoils
US5239723A (en) 1977-03-17 1993-08-31 Applied Elastomerics, Inc. Gelatinous elastomer swabs
DE2720559A1 (de) 1977-05-07 1978-11-09 Basf Ag Verbesserte photopolymerisierbare massen fuer die herstellung von druckplatten und reliefformen
GB1604414A (en) 1977-07-27 1981-12-09 Raychem Ltd Silicone resin
JPS6058467B2 (ja) 1977-10-22 1985-12-20 株式会社リコー 電子写真用感光体
US4302503A (en) 1978-05-17 1981-11-24 Libbey-Owens-Ford Company Architectural spandrel
JPS5563335A (en) 1978-11-07 1980-05-13 Yoshiyuki Kitajima Cooling method
JPS55165922A (en) 1979-06-14 1980-12-24 Daicel Chem Ind Ltd Production of thermosetting organopolysiloxane
US4299938A (en) 1979-06-19 1981-11-10 Ciba-Geigy Corporation Photopolymerizable and thermally polymerizable compositions
US4349609A (en) 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
US4935583A (en) 1980-05-30 1990-06-19 Kyle James C Insulated conductor with ceramic-connected elements
US4413052A (en) 1981-02-04 1983-11-01 Ciba-Geigy Corporation Photopolymerization process employing compounds containing acryloyl group and anthryl group
US4362809A (en) 1981-03-30 1982-12-07 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4348471A (en) 1981-06-15 1982-09-07 Polychrome Corporation Positive acting composition yielding pre-development high visibility image after radiation exposure comprising acid free novolak, diazo oxide and acid sensitive dyestuff
US4783347A (en) 1981-12-01 1988-11-08 General Electric Company Method for primerless coating of plastics
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
US5670295A (en) 1982-07-30 1997-09-23 Namba; Kenryo Optical recording medium
DE3231147A1 (de) 1982-08-21 1984-02-23 Basf Ag, 6700 Ludwigshafen Positiv arbeitendes verfahren zur herstellung von reliefbildern oder resistmustern
US6194121B1 (en) 1982-09-25 2001-02-27 Tdk Corp. Optical recording medium
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4822718A (en) 1982-09-30 1989-04-18 Brewer Science, Inc. Light absorbing coating
JPH0612452B2 (ja) 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド 集積回路素子の製造方法
JPS59109565A (ja) 1982-12-16 1984-06-25 Fujitsu Ltd コ−テイング樹脂溶液およびその製造方法
US4590117A (en) * 1983-03-10 1986-05-20 Toray Industries, Inc. Transparent material having antireflective coating
JPS59226346A (ja) 1983-06-07 1984-12-19 Fuotopori Ouka Kk プリント回路の製造方法
US4430153A (en) 1983-06-30 1984-02-07 International Business Machines Corporation Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide
DE3324795A1 (de) 1983-07-09 1985-01-17 Merck Patent Gmbh, 6100 Darmstadt Negativ arbeitende fotoresistzusammensetzungen mit strahlungsabsorbierenden zusaetzen
GB8333901D0 (en) 1983-12-20 1984-02-01 Minnesota Mining & Mfg Radiationsensitive compositions
DE3565013D1 (en) 1984-02-10 1988-10-20 Ciba Geigy Ag Process for the preparation of a protection layer or a relief pattern
DE3561155D1 (zh) 1984-02-10 1988-01-21 Ciba-Geigy Ag
US4831188A (en) 1984-02-29 1989-05-16 Bowling Green State University Perester photoinitiators
US4752649A (en) 1984-02-29 1988-06-21 Bowling Green State University Perester photoinitiators
US4767571A (en) 1984-06-27 1988-08-30 Fuji Photo Film Co., Ltd. Infrared absorbent
US4705739A (en) 1984-07-16 1987-11-10 Minnesota Mining And Manufacturing Company Graphic arts imaging constructions using vapor-deposited colorant and metalloid layers with overlying photosensitive resist layer
US4763966A (en) 1984-07-16 1988-08-16 Fuji Photo Film Co., Ltd. Infrared absorbent
US5674648A (en) 1984-08-06 1997-10-07 Brewer Science, Inc. Anti-reflective coating
US4594309A (en) 1984-10-31 1986-06-10 Allied Corporation α,β Diketone containing polymers as positive photoresist compositions
US4587138A (en) 1984-11-09 1986-05-06 Intel Corporation MOS rear end processing
US4705729A (en) 1984-11-19 1987-11-10 Hewlett-Packard Company Method for photochemically enhancing resolution in photolithography processes
IT1177373B (it) 1984-12-06 1987-08-26 Bioresearch Spa Sali della 5'-metiltio-5'-deossiadenosina con acidi solfonici a lunga catena alchilica
US4708925A (en) 1984-12-11 1987-11-24 Minnesota Mining And Manufacturing Company Photosolubilizable compositions containing novolac phenolic resin
JPS61274497A (ja) 1985-05-29 1986-12-04 Furuno Electric Co Ltd 送受波器
JPS6289907A (ja) 1985-06-19 1987-04-24 Sumitomo Bakelite Co Ltd 偏光膜一体型透明導電性フイルム
US4814578A (en) 1985-06-24 1989-03-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4674176A (en) 1985-06-24 1987-06-23 The United States Of America As Represented By The United States Department Of Energy Planarization of metal films for multilevel interconnects by pulsed laser heating
US4609614A (en) 1985-06-24 1986-09-02 Rca Corporation Process of using absorptive layer in optical lithography with overlying photoresist layer to form relief pattern on substrate
US4681795A (en) 1985-06-24 1987-07-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4816049A (en) 1985-07-12 1989-03-28 Hoya Corporation Process of surface treating laser glass
EP0217137B1 (en) 1985-08-29 1992-04-08 E.I. Du Pont De Nemours And Company Photopolymerizable composition of acrylic copolymer containing dicyclopentenyl acrylate or methacrylate
US4786569A (en) 1985-09-04 1988-11-22 Ciba-Geigy Corporation Adhesively bonded photostructurable polyimide film
US4923638A (en) 1985-09-30 1990-05-08 Fuji Photo Film Co., Ltd. Near infrared absorbing composition
ES2060579T3 (es) 1985-12-09 1994-12-01 Nippon Paint Co Ltd Material de impresion a base de resina fotosensible.
US4678835A (en) 1986-01-30 1987-07-07 Ppg Industries, Inc. Coating composition containing an ungelled reaction product as a curative
US4693959A (en) 1986-03-07 1987-09-15 E.I. Du Pont De Nemours And Company Adhesion promotion in photoresist lamination and processing
JPS63139303A (ja) 1986-08-05 1988-06-11 Fuji Photo Film Co Ltd 赤外線吸収性組成物
US4950583A (en) 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
US4732858A (en) 1986-09-17 1988-03-22 Brewer Science, Inc. Adhesion promoting product and process for treating an integrated circuit substrate
US4731264A (en) 1986-10-03 1988-03-15 Ppg Industries, Inc. Sol-gel compositions containing silane and alumina
US4863827A (en) 1986-10-20 1989-09-05 American Hoechst Corporation Postive working multi-level photoresist
US6033283A (en) 1986-10-21 2000-03-07 Applied Elastomerics, Inc. Humdinger, string spinning toy
JPS63137437A (ja) 1986-11-28 1988-06-09 Matsushita Electric Works Ltd 半導体チツプの樹脂封止法
JPS63149949A (ja) 1986-12-12 1988-06-22 Fujitsu Ltd アダプティブ半固定等化器
US5389496A (en) 1987-03-06 1995-02-14 Rohm And Haas Company Processes and compositions for electroless metallization
US5079600A (en) 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US4782009A (en) 1987-04-03 1988-11-01 General Electric Company Method of coating and imaging photopatternable silicone polyamic acid
US4855199A (en) 1987-04-03 1989-08-08 General Electric Company Photopatterned product of silicone polyamic acid on a transparent substrate
DE3719844A1 (de) 1987-06-13 1988-12-29 Basf Ag Durch photopolymersisation vernetzbares gemisch
US4839274A (en) 1987-06-30 1989-06-13 Eastman Kodak Company Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes
JPS6428032A (en) 1987-07-22 1989-01-30 Nissan Motor Select lever control device for vehicle automatic transmission
EP0301641A1 (en) 1987-07-23 1989-02-01 Koninklijke Philips Electronics N.V. Master disc and method of manufacturing a matrix
US4973510A (en) 1987-09-02 1990-11-27 Teijin Limited Coated sheet material and process for producing same
US5024923A (en) 1987-09-09 1991-06-18 Fuji Photo Film Co., Ltd. Infrared absorbent compositions
DE3735852A1 (de) 1987-10-23 1989-05-03 Hoechst Ag Positiv arbeitendes lichtempfindliches gemisch, enthaltend einen farbstoff, und daraus hergestelltes positiv arbeitendes lichtempfindliches aufzeichnungsmaterial
AU611106B2 (en) 1987-10-24 1991-06-06 Ito Optical Industrial Co. Ltd. Optical antireflection treatment
US4925772A (en) 1987-11-26 1990-05-15 Siemens Aktiengesellschaft Anti-radiation covering for electronic components
GB8729510D0 (en) 1987-12-18 1988-02-03 Ucb Sa Photosensitive compositions containing phenolic resins & diazoquinone compounds
US5272026A (en) 1987-12-18 1993-12-21 Ucb S.A. Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article
US6040251A (en) 1988-03-14 2000-03-21 Nextec Applications Inc. Garments of barrier webs
US5194364A (en) 1988-03-16 1993-03-16 Fujitsu Limited Process for formation of resist patterns
US5391463A (en) 1988-04-14 1995-02-21 The United States Of America As Represented By The Secretary Of The Navy Surface modification to create regions resistant to adsorption of biomolecules
JP2603291B2 (ja) 1988-04-19 1997-04-23 東芝シリコーン株式会社 第4級アンモニウム基含有シリコーン樹脂微粉末
US4914143A (en) * 1988-04-25 1990-04-03 General Electric Company Flexible silicone coatings for plastic substrates and methods for making thermoformable, abrasion-resistant thermoplastic articles
US4942083A (en) 1988-05-16 1990-07-17 Smith Novis W Jr Abrasion resistant coatings
EP0345219B1 (de) 1988-05-31 1994-02-02 Ciba-Geigy Ag Wässrige Dispersion von 2-(2'-Hydroxyphenyl-)benzotriazolen
JPH02145511A (ja) 1988-08-22 1990-06-05 A Baron Nevley 紫外線吸収眼用軟化剤
US5403680A (en) 1988-08-30 1995-04-04 Osaka Gas Company, Ltd. Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers
US5173368A (en) 1988-09-14 1992-12-22 Pilkington Visioncare Holdings, Inc. Solution-applied antireflective coatings
AU4349489A (en) 1988-09-28 1990-04-18 Brewer Science, Inc. Multifunctional photolithographic compositions
US4954414A (en) 1988-11-08 1990-09-04 The Mead Corporation Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same
US5199979A (en) 1988-11-25 1993-04-06 Ppg Industries, Inc. UV resistant, abrasion resistant coatings
US5455145A (en) 1988-12-24 1995-10-03 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing double layer resist pattern and double layer resist structure
US5026624A (en) 1989-03-03 1991-06-25 International Business Machines Corporation Composition for photo imaging
US4940651A (en) 1988-12-30 1990-07-10 International Business Machines Corporation Method for patterning cationic curable photoresist
US5300402A (en) 1988-12-30 1994-04-05 International Business Machines Corporation Composition for photo imaging
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5747223A (en) 1988-12-30 1998-05-05 International Business Machines Corporation Composition for photoimaging
US6180317B1 (en) 1988-12-30 2001-01-30 International Business Machines Corporation Composition for photoimaging
DE68908872T2 (de) 1989-02-03 1994-02-10 Mitsubishi Metal Corp Verfahren zum Ziehen von Einkristallen.
US6210862B1 (en) 1989-03-03 2001-04-03 International Business Machines Corporation Composition for photoimaging
US5278010A (en) 1989-03-03 1994-01-11 International Business Machines Corporation Composition for photo imaging
EP0388343B1 (en) 1989-03-14 1996-07-17 International Business Machines Corporation Chemically amplified photoresist
US5317044A (en) 1989-05-16 1994-05-31 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5009810A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5106534A (en) 1989-05-16 1992-04-21 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5009809A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5302455A (en) 1989-05-16 1994-04-12 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5250224A (en) 1989-05-16 1993-10-05 J. M. Huber Corporation Foamed products containing endothermic blowing agents and processes
US5306736A (en) 1989-05-16 1994-04-26 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5137655A (en) 1989-05-16 1992-08-11 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5252618A (en) 1989-05-16 1993-10-12 J. M. Huber Corporation Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5013608A (en) 1989-07-07 1991-05-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5102695A (en) 1989-07-07 1992-04-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5112728A (en) 1989-10-05 1992-05-12 Konica Corporation Silver halide photographic light-sensitive material
US5059512A (en) 1989-10-10 1991-10-22 International Business Machines Corporation Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions
US5212046A (en) 1989-10-17 1993-05-18 Shipley Company Inc. Near UV photoresist
US5190804A (en) * 1989-11-27 1993-03-02 Toshiba Silicone Co., Ltd. Coated inorganic hardened product
US5252340A (en) 1989-12-14 1993-10-12 Isolyser Company, Inc. Method of producing an absorbent composition
US5043789A (en) * 1990-03-15 1991-08-27 International Business Machines Corporation Planarizing silsesquioxane copolymer coating
US5104692A (en) 1990-04-20 1992-04-14 Pilkington Visioncare Holdings, Inc. Two-layer antireflective coating applied in solution
US5055372A (en) 1990-04-23 1991-10-08 The Mead Corporation Photohardenable composition containing borate salts and ketone initiators
US6050871A (en) 1994-04-19 2000-04-18 Applied Elastomerics, Inc. Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation
US5760117A (en) 1990-05-21 1998-06-02 Applied Elastomerics, Inc. Gelatinous composition and articles
US6333374B1 (en) 1990-05-21 2001-12-25 Applied Elastomerics, Inc. Fluffy, strong, solid elastic gels, articles and method of making same
US5938499A (en) 1993-11-15 1999-08-17 Applied Elastomerics, Inc. Elastic gel toy
US5962572A (en) 1994-04-19 1999-10-05 Applied Elastomerics, Inc. Oriented gel and oriented gel articles
US5868597A (en) 1990-05-21 1999-02-09 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5884639A (en) 1996-03-08 1999-03-23 Applied Elastomerics, Inc. Crystal gels with improved properties
US6117176A (en) 1993-11-15 2000-09-12 Applied Elastomerics, Inc. Elastic-crystal gel
US6148830A (en) 1994-04-19 2000-11-21 Applied Elastomerics, Inc. Tear resistant, multiblock copolymer gels and articles
US6552109B1 (en) 1994-04-19 2003-04-22 Applied Elastomerics, Inc. Gelatinous elastomer compositions and articles
DE69101338T2 (de) 1990-05-25 1994-09-01 Matsushita Electric Ind Co Ltd Lichtempfindliche Materialien, die organische photoleitfähige Substanzen enthalten in einem polymeren Bindemittel, das aromatische Ringe umfasst, die mit OH-Gruppen und Bromatomen verbunden sind.
US5126289A (en) 1990-07-20 1992-06-30 At&T Bell Laboratories Semiconductor lithography methods using an arc of organic material
JP2517785B2 (ja) 1990-08-02 1996-07-24 信越化学工業株式会社 含浸性防水剤組成物
US5082758A (en) 1990-08-31 1992-01-21 Xerox Corporation Toner and developer compositions with charge enhancing additives
US5100503A (en) 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
US5527872A (en) 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
US5152834A (en) 1990-09-14 1992-10-06 Ncr Corporation Spin-on glass composition
US5472488A (en) 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5140396A (en) 1990-10-10 1992-08-18 Polaroid Corporation Filter and solid state imager incorporating this filter
US5059500A (en) 1990-10-10 1991-10-22 Polaroid Corporation Process for forming a color filter
EP0482821B1 (en) 1990-10-16 1998-09-30 Mitsui Chemicals, Inc. Use of a highly light-transmitting dust protective film, process for preparation thereof and dust protective member
US5055376A (en) 1990-11-13 1991-10-08 Eastman Kodak Company Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use
JP2712817B2 (ja) * 1990-11-15 1998-02-16 信越化学工業株式会社 ポリオルガノシロキサン樹脂の製造方法
ATE127870T1 (de) 1990-12-13 1995-09-15 Ciba Geigy Ag Wässrige dispersion schwerlöslicher uv-absorber.
US5256510A (en) 1990-12-21 1993-10-26 Eastman Kodak Company Photoelectrographic imaging with near-infrared sensitizing dyes
US5219788A (en) 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
US5648201A (en) 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
JPH05202483A (ja) 1991-04-25 1993-08-10 Shipley Co Inc 無電解金属化方法と組成物
US5166093A (en) 1991-07-31 1992-11-24 Micron Technology, Inc. Method to reduce the reflectivity of a semi-conductor metallic surface
DE4132697A1 (de) 1991-10-01 1993-04-08 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysiloxanharz
US5418136A (en) 1991-10-01 1995-05-23 Biostar, Inc. Devices for detection of an analyte based upon light interference
JPH0597478A (ja) * 1991-10-04 1993-04-20 Nippon Sheet Glass Co Ltd 撥水性ガラス物品およびその製造方法
US5212218A (en) 1991-10-15 1993-05-18 A. B. Chance Company Hydrophobic, erodiable synthetic resin composition for electrical insulators
US6165697A (en) 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
US6528235B2 (en) 1991-11-15 2003-03-04 Shipley Company, L.L.C. Antihalation compositions
US6773864B1 (en) 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
US6472128B2 (en) 1996-04-30 2002-10-29 Shipley Company, L.L.C. Antihalation compositions
EP0580857B1 (en) 1992-02-05 1998-05-20 Toray Industries, Inc. Multilayer coated article and use therein of a primer coating
JP2694097B2 (ja) 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション 反射防止コーティング組成物
US5457081A (en) 1992-05-15 1995-10-10 Dai Nippon Printing Co., Ltd. Thermal transfer image receiving sheet
JP2753921B2 (ja) 1992-06-04 1998-05-20 富士写真フイルム株式会社 ポジ型フオトレジスト組成物
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JPH0656560A (ja) 1992-08-10 1994-03-01 Sony Corp Sog組成物及びそれを用いた半導体装置の製造方法
US6867253B1 (en) 1994-04-19 2005-03-15 Applied Elastomerics, Inc. Tear resistant, crystalline midblock copolymer gels and articles
US6324703B1 (en) 1994-04-19 2001-12-04 Applied Elastomerics, Inc. Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use
US6909220B2 (en) 1994-04-19 2005-06-21 Applied Elastomerics, Inc. High strain tear resistant gels and gel composites for use as artificial muscle actuators
US6794440B2 (en) 1994-04-19 2004-09-21 Applied Elastomerics, Inc. Tear resistant gelatinous elastomer compositions and articles for use as fishing bait
US6627275B1 (en) 1994-04-19 2003-09-30 Applied Elastomerics, Incorporated Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses
US6420475B1 (en) 1994-04-19 2002-07-16 Applied Elastomerics, Inc. Tear resistant elastic crystal gels gel composites and their uses
WO1994006870A1 (en) 1992-09-24 1994-03-31 Kansai Paint Co., Ltd. Finish coating composition and method of forming its coating film
US5873931A (en) 1992-10-06 1999-02-23 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
US5432007A (en) 1992-10-06 1995-07-11 Shizu Naito Solvent-free organosiloxane composition and its use
JPH06140396A (ja) 1992-10-23 1994-05-20 Yamaha Corp 半導体装置とその製法
US5384357A (en) 1992-11-02 1995-01-24 General Electric Company Infrared radiation curable organopolysiloxane compositions
US5395734A (en) 1992-11-30 1995-03-07 Minnesota Mining And Manufacturing Company Shoot and run printing materials
US5719249A (en) 1993-11-29 1998-02-17 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer
US5349002A (en) * 1992-12-02 1994-09-20 General Electric Company Heat curable primerless silicone hardcoat compositions, and thermoplastic composites
DE4241727A1 (de) 1992-12-10 1994-06-16 Wacker Chemie Gmbh In Wasser selbstdispergierende, Organopolysiloxan anhaltende Zusammensetzungen
US5449712A (en) 1993-01-13 1995-09-12 Thoro System Products, Inc. Organosilicon emulsions for rendering porous substrates water repellent
US5512418A (en) 1993-03-10 1996-04-30 E. I. Du Pont De Nemours And Company Infra-red sensitive aqueous wash-off photoimaging element
JP3152544B2 (ja) 1993-06-24 2001-04-03 シャープ株式会社 スキャナ
US5576359A (en) 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
US5498748A (en) 1993-07-20 1996-03-12 Wako Pure Chemical Industries, Ltd. Anthracene derivatives
JP3064753B2 (ja) 1993-08-10 2000-07-12 東亞合成株式会社 抗菌性熱可塑性樹脂成形体の製造方法
DE4331162A1 (de) 1993-09-14 1995-03-16 Bayer Ag Verfahren zur Herstellung von Cyaninfarbstoffen
JP3200257B2 (ja) 1993-09-13 2001-08-20 キヤノン株式会社 画像復号装置
US5382615A (en) 1993-10-01 1995-01-17 Eastman Chemical Company Modified polyethylene based hot-melt adhesives for use in packaging
US5467626A (en) 1993-10-01 1995-11-21 The Boeing Company Integral forming die system for superplastic metal forming
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5460911A (en) 1994-03-14 1995-10-24 Xerox Corporation Electrophotographic imaging member free of reflection interference
EP0675410B1 (en) 1994-03-28 1999-08-04 Wako Pure Chemical Industries Ltd Resist composition for deep ultraviolet light
US6161555A (en) 1994-04-19 2000-12-19 Applied Elastomerics, Inc. Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties
US5759625A (en) 1994-06-03 1998-06-02 E. I. Du Pont De Nemours And Company Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof
US5468591A (en) 1994-06-14 1995-11-21 Eastman Kodak Company Barrier layer for laser ablative imaging
JP3209476B2 (ja) 1994-06-17 2001-09-17 フジコピアン株式会社 ファブリックインクリボン
FR2721720B1 (fr) 1994-06-27 1996-09-06 Essilor Int Lentille ophtalmique en verre organique à intercouche anti-chocs et son procédé de fabrication.
US5705116A (en) 1994-06-27 1998-01-06 Sitzmann; Eugene Valentine Increasing the useful range of cationic photoinitiators in stereolithography
US20020034630A1 (en) 1994-06-27 2002-03-21 Jean-Paul Cano Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same
US6000339A (en) 1994-06-30 1999-12-14 Hitachi Chemical Company, Ltd. Material for forming silica-base coated insulation film, process for producing the material, silica-base insulation film, semiconductor device, and process for producing the device
US5910021A (en) 1994-07-04 1999-06-08 Yamaha Corporation Manufacture of semiconductor device with fine pattens
US5976666A (en) 1994-08-29 1999-11-02 Sri International Electromagnetic radiation absorbing devices and associated methods of manufacture and use
US5498468A (en) 1994-09-23 1996-03-12 Kimberly-Clark Corporation Fabrics composed of ribbon-like fibrous material and method to make the same
US5449639A (en) 1994-10-24 1995-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Disposable metal anti-reflection coating process used together with metal dry/wet etch
KR0129950B1 (ko) 1994-11-30 1998-04-03 김광호 반사방지막 조성물
US5964917A (en) 1995-01-31 1999-10-12 Latting; John Alvis Free-flowing fertilizer compositions
US5679128A (en) 1995-01-31 1997-10-21 Latting; John Alvis Dry-bonded nonionic adjuvants
US5580819A (en) 1995-03-22 1996-12-03 Ppg Industries, Inc. Coating composition, process for producing antireflective coatings, and coated articles
US5945249A (en) 1995-04-20 1999-08-31 Imation Corp. Laser absorbable photobleachable compositions
GB9508031D0 (en) 1995-04-20 1995-06-07 Minnesota Mining & Mfg UV-absorbing media bleachable by IR-radiation
US5935758A (en) 1995-04-20 1999-08-10 Imation Corp. Laser induced film transfer system
GB9617416D0 (en) 1996-08-20 1996-10-02 Minnesota Mining & Mfg Thermal bleaching of infrared dyes
US6103779A (en) 1995-04-26 2000-08-15 Reinforced Polmers, Inc. Method of preparing molding compositions with fiber reinforcement and products obtained therefrom
US5747553A (en) 1995-04-26 1998-05-05 Reinforced Polymer Inc. Low pressure acrylic molding composition with fiber reinforcement
US6150250A (en) 1995-07-05 2000-11-21 Yamaha Corporation Conductive layer forming method using etching mask with direction <200>
JP3512911B2 (ja) 1995-07-11 2004-03-31 富士写真フイルム株式会社 紫外線吸収剤前駆体化合物、それを含有する感光性樹脂組成物及び画像形成方法
JP3824334B2 (ja) * 1995-08-07 2006-09-20 東京応化工業株式会社 シリカ系被膜形成用塗布液及び被膜形成方法
US5583195A (en) 1995-09-29 1996-12-10 General Electric Company Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes
JPH09120157A (ja) 1995-10-25 1997-05-06 Fuji Photo Film Co Ltd 湿し水不要感光性平版印刷版
US5955140A (en) * 1995-11-16 1999-09-21 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
TW376408B (en) 1995-12-01 1999-12-11 Nissan Chemical Ind Ltd Coating film having water repellency and low refractive index
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5949518A (en) 1996-02-13 1999-09-07 Sola International, Inc. Color-neutral UV blocking coating for plastic lens
US5756257A (en) 1996-02-14 1998-05-26 Imation Corp. Color proofing article incorporating novel antihalation dye
JP3694703B2 (ja) * 1996-04-25 2005-09-14 Azエレクトロニックマテリアルズ株式会社 反射防止コーティング用組成物
JP3436843B2 (ja) 1996-04-25 2003-08-18 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いたリソグラフィー用レジスト材料
US6291586B2 (en) 1996-05-03 2001-09-18 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyurethanes and polyureas
US5994431A (en) 1996-05-03 1999-11-30 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyolefins
TW354392B (en) * 1996-07-03 1999-03-11 Du Pont Photomask blanks
TW515926B (en) 1996-07-10 2003-01-01 Matsushita Electric Ind Co Ltd Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same
JP3222386B2 (ja) 1996-07-12 2001-10-29 信越化学工業株式会社 コーティング剤組成物及びそのコーティング組成物で処理してなる物品
US6040053A (en) 1996-07-19 2000-03-21 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
AU4991097A (en) 1996-10-25 1998-05-22 Blue River International, L.L.C. Silicon coating compositions and uses thereof
TW438860B (en) * 1996-11-20 2001-06-07 Japan Synthetic Rubber Co Ltd Curable resin composition and cured products
JPH10161315A (ja) 1996-12-05 1998-06-19 Nippon Steel Chem Co Ltd アルカリ可溶性感光性樹脂組成物
US5695551A (en) 1996-12-09 1997-12-09 Dow Corning Corporation Water repellent composition
CN1070903C (zh) 1996-12-13 2001-09-12 松下电工株式会社 硅氧烷乳液涂料组合物及其制备方法
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6174631B1 (en) 1997-02-10 2001-01-16 E. I. Du Pont De Nemours And Company Attenuating phase shift photomasks
US6491840B1 (en) 2000-02-14 2002-12-10 The Procter & Gamble Company Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use
DE19710461A1 (de) 1997-03-13 1998-09-17 Wacker Chemie Gmbh Farbstoffreste aufweisende Organopolysiloxane
EP0989170B1 (en) 1997-05-13 2002-10-02 Kirin Beer Kabushiki Kaisha Coating material for forming vitreous coating film, method of coating with the same, and coater
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
US5883011A (en) 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JP4012600B2 (ja) 1997-06-23 2007-11-21 富士通株式会社 酸感応性重合体、レジスト組成物、レジストパターン形成方法、および半導体装置の製造方法
JP3473887B2 (ja) 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
JP4053631B2 (ja) 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
US6190839B1 (en) 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
EP1049442B2 (de) 1998-01-22 2007-03-07 KETTENBACH GmbH &amp; CO. KG Unterfütterung für prothesen und verfahren zur herstellung
US6190955B1 (en) 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
WO1999039829A1 (en) 1998-02-04 1999-08-12 Merck & Co., Inc. Virtual wells for use in high throughput screening assays
US5972616A (en) 1998-02-20 1999-10-26 The Board Of Trustees Of The University Of Arkansas TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas
US7022821B1 (en) 1998-02-20 2006-04-04 O'brien Timothy J Antibody kit for the detection of TADG-15 protein
US6503586B1 (en) 1998-02-25 2003-01-07 Arteva North America S.A.R.L. Title improved infrared absorbing polyester packaging polymer
US6962727B2 (en) 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US5985444A (en) 1998-04-03 1999-11-16 3M Innovative Properties Company Amide functional ultraviolet light absorbers for fluoropolymers
CN1171961C (zh) * 1998-04-10 2004-10-20 松下电工株式会社 亲水性无机涂膜的形成方法及无机涂料组合物
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
DE19817069A1 (de) 1998-04-17 1999-10-21 Clariant Gmbh Infrarotstrahlung reflektierende Farbmittel
US6576408B2 (en) 1998-04-29 2003-06-10 Brewer Science, Inc. Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose
CA2330689A1 (en) 1998-04-29 1999-11-04 Brewer Science, Inc. Fast-etching, thermosetting anti-reflective coatings derived from cellulosic binders
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
WO2000003303A1 (fr) 1998-07-10 2000-01-20 Clariant International Ltd. Composition pour film empechant la reflexion de fond et nouveau colorant polymere utilise dans celle-ci
US6444584B1 (en) 1998-07-16 2002-09-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming composite silicon/dielectric/silicon stack layer
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
DE19834745A1 (de) 1998-08-01 2000-02-03 Agfa Gevaert Ag Strahlungsempfindliches Gemisch mit IR-absorbierenden, anionischen Cyaninfarbstoffen und damit hergestelltes Aufzeichnungsmaterial
US6152906A (en) 1998-08-25 2000-11-28 Kimberly-Clark Worldwide, Inc. Absorbent article having improved breathability
US6448464B1 (en) 1999-07-30 2002-09-10 Kimberly-Clark Worldwide, Inc. Absorbent article which maintains skin temperature when wet
US6149934A (en) 1999-04-23 2000-11-21 Kimberly-Clark Worldwide, Inc. Absorbent article having a lotionized bodyside liner
US6217890B1 (en) 1998-08-25 2001-04-17 Susan Carol Paul Absorbent article which maintains or improves skin health
US6497893B1 (en) 1999-06-30 2002-12-24 Kimberly-Clark Worldwide, Inc. Silk protein treatment composition and treated substrate for transfer to skin
US6238379B1 (en) 1998-08-25 2001-05-29 Kimberly-Clark Worldwide, Inc. Absorbent article with increased wet breathability
US6287286B1 (en) 1998-08-25 2001-09-11 Kimberly-Clark Worldwide, Inc. Absorbent article having a reduced viability of candida albicans
US20020102483A1 (en) 1998-09-15 2002-08-01 Timothy Adams Antireflective coating compositions
US6190830B1 (en) 1998-09-29 2001-02-20 Kodak Polychrome Graphics Llc Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing
US6562192B1 (en) 1998-10-02 2003-05-13 Kimberly-Clark Worldwide, Inc. Absorbent articles with absorbent free-flowing particles and methods for producing the same
US6667424B1 (en) 1998-10-02 2003-12-23 Kimberly-Clark Worldwide, Inc. Absorbent articles with nits and free-flowing particles
US6673982B1 (en) 1998-10-02 2004-01-06 Kimberly-Clark Worldwide, Inc. Absorbent article with center fill performance
US6503233B1 (en) 1998-10-02 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent article having good body fit under dynamic conditions
JP3702108B2 (ja) 1998-10-07 2005-10-05 株式会社東芝 レジストパターン形成方法
JP2000129073A (ja) 1998-10-26 2000-05-09 Toyo Ink Mfg Co Ltd 常温硬化性樹脂組成物および該樹脂組成物を塗工した基材
DE19852852A1 (de) 1998-11-11 2000-05-18 Inst Halbleiterphysik Gmbh Lithographieverfahren zur Emitterstrukturierung von Bipolartransistoren
US6251973B1 (en) 1998-11-23 2001-06-26 Akzo Nobel N.V. Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane
US5981675A (en) 1998-12-07 1999-11-09 Bausch & Lomb Incorporated Silicone-containing macromonomers and low water materials
US6326231B1 (en) 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6235456B1 (en) 1998-12-09 2001-05-22 Advanced Micros Devices, Inc. Graded anti-reflective barrier films for ultra-fine lithography
US6635281B2 (en) 1998-12-23 2003-10-21 Alza Corporation Gastric retaining oral liquid dosage form
US6342249B1 (en) 1998-12-23 2002-01-29 Alza Corporation Controlled release liquid active agent formulation dosage forms
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
US6210856B1 (en) 1999-01-27 2001-04-03 International Business Machines Corporation Resist composition and process of forming a patterned resist layer on a substrate
TW476865B (en) 1999-01-28 2002-02-21 Tokyo Ohka Kogyo Co Ltd Undercoating composition for photolithographic resist
US6544717B2 (en) 1999-01-28 2003-04-08 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic resist
US6187505B1 (en) 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
EP1031579B1 (en) 1999-02-26 2005-07-27 Showa Denko Kabushiki Kaisha Photopolymerization initiator for color filter, photosensitive coloring composition, and color filter
US6316165B1 (en) * 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6849923B2 (en) 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
JP4270632B2 (ja) 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6409883B1 (en) 1999-04-16 2002-06-25 Kimberly-Clark Worldwide, Inc. Methods of making fiber bundles and fibrous structures
CA2374944A1 (en) * 1999-06-10 2000-12-21 Nigel Hacker Spin-on-glass anti-reflective coatings for photolithography
US6268457B1 (en) * 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6824879B2 (en) * 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
AU770696B2 (en) 1999-07-30 2004-02-26 Ppg Industries Ohio, Inc. Cured coatings having improved scratch resistance, coated substrates and methods related thereto
US6623791B2 (en) 1999-07-30 2003-09-23 Ppg Industries Ohio, Inc. Coating compositions having improved adhesion, coated substrates and methods related thereto
US6475892B1 (en) 1999-08-02 2002-11-05 Aadvanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6107167A (en) 1999-08-02 2000-08-22 Advanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6649083B1 (en) * 1999-08-12 2003-11-18 Board Of Trustees Of Michigan State University Combined porous organic and inorganic oxide materials prepared by non-ionic surfactant templating route
US6335235B1 (en) 1999-08-17 2002-01-01 Advanced Micro Devices, Inc. Simplified method of patterning field dielectric regions in a semiconductor device
AR027842A1 (es) 1999-08-23 2003-04-16 Kimberly Clark Co Un articulo absorbente el cual mantiene o mejora la salud de la piel
AR025300A1 (es) 1999-08-23 2002-11-20 Kimberly Clark Co Un articulo absorbente descartable con capacidad para respirar en humedo incrementada.
JP2001079491A (ja) 1999-09-10 2001-03-27 Koito Mfg Co Ltd 塗膜形成方法及び該方法で形成された車両用灯具
JP2003509825A (ja) 1999-09-13 2003-03-11 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 電 灯
JP4248098B2 (ja) 1999-09-20 2009-04-02 東京応化工業株式会社 反射防止膜形成用組成物及びレジストパターンの形成方法
US6315946B1 (en) 1999-10-21 2001-11-13 The United States Of America As Represented By The Secretary Of The Navy Ultra low carbon bainitic weathering steel
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6391524B2 (en) 1999-11-19 2002-05-21 Kodak Polychrome Graphics Llc Article having imagable coatings
TW468053B (en) 1999-12-14 2001-12-11 Nissan Chemical Ind Ltd Antireflection film, process for forming the antireflection film, and antireflection glass
AU774200B2 (en) 2000-02-08 2004-06-17 Adsil, Lc Method for improving heat efficiency using silane coatings and coated articles produced thereby
AU2001238216A1 (en) 2000-02-14 2001-08-27 The Procter And Gamble Company Stable, aqueous compositions for treating surfaces, especially fabrics
WO2001063358A1 (en) 2000-02-22 2001-08-30 Brewer Science, Inc. Organic polymeric antireflective coatings deposited by chemical vapor deposition
DE60138327D1 (de) * 2000-02-28 2009-05-28 Jsr Corp Zusammensetzung zur Filmerzeugung, Verfahren zur Filmerzeugung und Filme auf Basis von Siliciumoxid
NZ520879A (en) * 2000-02-28 2004-08-27 Adsil Lc Silane-based, coating compositions, coated articles obtained therefrom and methods of using same
US6451420B1 (en) 2000-03-17 2002-09-17 Nanofilm, Ltd. Organic-inorganic hybrid polymer and method of making same
JP3604007B2 (ja) * 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
WO2001074937A1 (en) 2000-03-30 2001-10-11 General Electric Company Transparent, flame retardant poly(arylene ether) blends
JP3795333B2 (ja) 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
US6593388B2 (en) 2000-04-04 2003-07-15 Renssealer Polytechnic Institute Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group
US6268294B1 (en) 2000-04-04 2001-07-31 Taiwan Semiconductor Manufacturing Company Method of protecting a low-K dielectric material
EP1146099B1 (en) 2000-04-13 2006-03-22 JSR Corporation Coating composition, method for producing the same, cured product and coating film
US6374738B1 (en) 2000-05-03 2002-04-23 Presstek, Inc. Lithographic imaging with non-ablative wet printing members
US6632535B1 (en) 2000-06-08 2003-10-14 Q2100, Inc. Method of forming antireflective coatings
JP3846545B2 (ja) 2000-06-08 2006-11-15 信越化学工業株式会社 コーティング剤組成物、コーティング方法及び被覆物品
US6852766B1 (en) 2000-06-15 2005-02-08 3M Innovative Properties Company Multiphoton photosensitization system
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6323268B1 (en) 2000-06-27 2001-11-27 Dow Corning Corporation Organosilicon water repellent compositions
US6891237B1 (en) 2000-06-27 2005-05-10 Lucent Technologies Inc. Organic semiconductor device having an active dielectric layer comprising silsesquioxanes
JP2002023350A (ja) 2000-07-07 2002-01-23 Fuji Photo Film Co Ltd ネガ型平版印刷版原版
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6368400B1 (en) * 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
US6635341B1 (en) 2000-07-31 2003-10-21 Ppg Industries Ohio, Inc. Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto
AU2001288306A1 (en) 2000-08-18 2002-03-04 The Procter And Gamble Company Compositions and methods for odor and fungal control in ballistic fabric and other protective garments
EP1837902B1 (en) 2000-08-21 2017-05-24 Dow Global Technologies LLC Use of organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US6645685B2 (en) 2000-09-06 2003-11-11 Mitsubishi Paper Mills Limited Process for producing printed wiring board
JP3993373B2 (ja) 2000-09-14 2007-10-17 信越化学工業株式会社 ポリオルガノシロキサン化合物及びそれを含有するコーティング組成物
JP3772077B2 (ja) 2000-09-27 2006-05-10 株式会社東芝 パターン形成方法
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
EP1197511A1 (en) * 2000-10-10 2002-04-17 Shipley Company LLC Antireflective composition
US6864040B2 (en) 2001-04-11 2005-03-08 Kodak Polychrome Graphics Llc Thermal initiator system using leuco dyes and polyhalogene compounds
US6884568B2 (en) 2000-10-17 2005-04-26 Kodak Polychrome Graphics, Llc Stabilized infrared-sensitive polymerizable systems
US6503526B1 (en) 2000-10-20 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent articles enhancing skin barrier function
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
WO2002048264A1 (fr) * 2000-12-11 2002-06-20 Jsr Corporation Composition sensible aux rayonnements, a indice de refraction variable et procede pour modifier son indice de refraction
US6699647B2 (en) 2000-12-21 2004-03-02 Eastman Kodak Company High speed photothermographic materials containing tellurium compounds and methods of using same
US20020128615A1 (en) 2000-12-22 2002-09-12 Tyrrell David John Absorbent articles with non-aqueous compositions containing anionic polymers
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
US6832064B2 (en) 2000-12-29 2004-12-14 Samsung Electronics Co., Ltd. Seamless drying belt for electrophotographic process
WO2002058699A1 (en) 2001-01-25 2002-08-01 Bristol-Myers Squibb Company Pharmaceutical forms of epothilones for oral administration
US6465889B1 (en) 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
US6752613B2 (en) 2001-02-20 2004-06-22 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing
US6758663B2 (en) 2001-02-20 2004-07-06 Q2100, Inc. System for preparing eyeglass lenses with a high volume curing unit
US6655946B2 (en) 2001-02-20 2003-12-02 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units
US6676398B2 (en) 2001-02-20 2004-01-13 Q2100, Inc. Apparatus for preparing an eyeglass lens having a prescription reader
US7052262B2 (en) 2001-02-20 2006-05-30 Q2100, Inc. System for preparing eyeglasses lens with filling station
US6875005B2 (en) 2001-02-20 2005-04-05 Q1200, Inc. Apparatus for preparing an eyeglass lens having a gating device
US6709257B2 (en) 2001-02-20 2004-03-23 Q2100, Inc. Eyeglass lens forming apparatus with sensor
US6702564B2 (en) 2001-02-20 2004-03-09 Q2100, Inc. System for preparing an eyeglass lens using colored mold holders
US6893245B2 (en) 2001-02-20 2005-05-17 Q2100, Inc. Apparatus for preparing an eyeglass lens having a computer system controller
US6808381B2 (en) 2001-02-20 2004-10-26 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller
US6612828B2 (en) 2001-02-20 2003-09-02 Q2100, Inc. Fill system with controller for monitoring use
US7011773B2 (en) 2001-02-20 2006-03-14 Q2100, Inc. Graphical interface to display mold assembly position in a lens forming apparatus
US7139636B2 (en) 2001-02-20 2006-11-21 Q2100, Inc. System for preparing eyeglass lenses with bar code reader
US6790024B2 (en) 2001-02-20 2004-09-14 Q2100, Inc. Apparatus for preparing an eyeglass lens having multiple conveyor systems
US6840752B2 (en) 2001-02-20 2005-01-11 Q2100, Inc. Apparatus for preparing multiple eyeglass lenses
US6712331B2 (en) 2001-02-20 2004-03-30 Q2100, Inc. Holder for mold assemblies with indicia
US6726463B2 (en) 2001-02-20 2004-04-27 Q2100, Inc. Apparatus for preparing an eyeglass lens having a dual computer system controller
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US6825303B2 (en) 2001-02-26 2004-11-30 Dielectric Systems, Inc. Integration of low ε thin films and Ta into Cu dual damascene
US20030198578A1 (en) 2002-04-18 2003-10-23 Dielectric Systems, Inc. Multi-stage-heating thermal reactor for transport polymerization
US6703462B2 (en) 2001-08-09 2004-03-09 Dielectric Systems Inc. Stabilized polymer film and its manufacture
US6797343B2 (en) 2001-12-20 2004-09-28 Dielectric Systems, Inc. Dielectric thin films from fluorinated precursors
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6846614B2 (en) 2002-02-04 2005-01-25 Kodak Polychrome Graphics Llc On-press developable IR sensitive printing plates
US6893797B2 (en) 2001-11-09 2005-05-17 Kodak Polychrome Graphics Llc High speed negative-working thermal printing plates
US20040091811A1 (en) 2002-10-30 2004-05-13 Munnelly Heidi M. Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
US6713643B2 (en) * 2001-05-24 2004-03-30 Board Of Trustees Of Michigan State University Ultrastable organofunctional microporous to mesoporous silica compositions
JP4146105B2 (ja) 2001-05-30 2008-09-03 富士フイルム株式会社 紫外線吸収剤及びその製造方法、紫外線吸収剤を含有する組成物、ならびに画像形成方法
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
AU2002305849B2 (en) 2001-06-05 2008-01-17 Flexplay Technologies, Inc. Limited play optical devices with interstitial reactive layer and methods of making same
US6558880B1 (en) 2001-06-06 2003-05-06 Eastman Kodak Company Thermally developable imaging materials containing heat-bleachable antihalation composition
JP4181312B2 (ja) 2001-06-25 2008-11-12 富士フイルム株式会社 ネガ型画像記録材料
JP2003025510A (ja) 2001-07-16 2003-01-29 Shin Etsu Chem Co Ltd 反射防止性及び耐擦傷性を有する多層積層体
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US6592999B1 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
JP2003050459A (ja) 2001-08-07 2003-02-21 Hitachi Chem Co Ltd 感光性樹脂組成物、感光性エレメント、レジストパターンの製造法およびプリント配線板の製造法
KR100436220B1 (ko) 2001-08-30 2004-06-12 주식회사 네패스 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물
US6514677B1 (en) 2001-08-31 2003-02-04 Eastman Kodak Company Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition
US6824952B1 (en) 2001-09-13 2004-11-30 Microchem Corp. Deep-UV anti-reflective resist compositions
TW591341B (en) 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
DE10151264A1 (de) * 2001-10-17 2003-04-30 Degussa Aminoalkylalkoxysiloxanhaltige Gemische, deren Herstellung und deren Verwendung
US6730461B2 (en) 2001-10-26 2004-05-04 Eastman Kodak Company Thermally developable imaging materials with reduced mottle providing improved image uniformity
US6949297B2 (en) 2001-11-02 2005-09-27 3M Innovative Properties Company Hybrid adhesives, articles, and methods
AU2002227106A1 (en) 2001-11-15 2003-06-10 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US20030171729A1 (en) 2001-12-28 2003-09-11 Kaun James Martin Multifunctional containment sheet and system for absorbent atricles
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
TW200401944A (en) 2002-02-01 2004-02-01 Seiko Epson Corp Circuit substrate, electro-optical device and electronic appliances
WO2003067682A2 (en) 2002-02-05 2003-08-14 Gencell Corporation Silane coated metallic fuel cell components and methods of manufacture
WO2003077029A1 (en) 2002-03-04 2003-09-18 Shipley Company, Llc Negative photoresists for short wavelength imaging
DE10213294B4 (de) 2002-03-25 2015-05-13 Osram Gmbh Verwendung eines UV-beständigen Polymers in der Optoelektronik sowie im Außenanwendungsbereich, UV-beständiges Polymer sowie optisches Bauelement
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6787281B2 (en) 2002-05-24 2004-09-07 Kodak Polychrome Graphics Llc Selected acid generating agents and their use in processes for imaging radiation-sensitive elements
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US7897979B2 (en) 2002-06-07 2011-03-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and manufacturing method thereof
CN1248556C (zh) 2002-08-05 2006-03-29 佳能株式会社 电极和布线材料吸收用底层图形形成材料及其应用
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
US20040067437A1 (en) 2002-10-06 2004-04-08 Shipley Company, L.L.C. Coating compositions for use with an overcoated photoresist
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US7038328B2 (en) 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds
JP2004212946A (ja) 2002-10-21 2004-07-29 Rohm & Haas Electronic Materials Llc Siポリマー含有フォトレジスト
US6783468B2 (en) 2002-10-24 2004-08-31 Acushnet Company Low deformation golf ball
US7122384B2 (en) 2002-11-06 2006-10-17 E. I. Du Pont De Nemours And Company Resonant light scattering microparticle methods
US7465414B2 (en) 2002-11-14 2008-12-16 Transitions Optical, Inc. Photochromic article
JP2004177952A (ja) 2002-11-20 2004-06-24 Rohm & Haas Electronic Materials Llc 多層フォトレジスト系
US7018779B2 (en) 2003-01-07 2006-03-28 International Business Machines Corporation Apparatus and method to improve resist line roughness in semiconductor wafer processing
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US6902861B2 (en) 2003-03-10 2005-06-07 Kodak Polychrome Graphics, Llc Infrared absorbing compounds and their use in photoimageable elements
US7202013B2 (en) 2003-06-03 2007-04-10 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7303785B2 (en) 2003-06-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7008476B2 (en) 2003-06-11 2006-03-07 Az Electronic Materials Usa Corp. Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof
US6899988B2 (en) 2003-06-13 2005-05-31 Kodak Polychrome Graphics Llc Laser thermal metallic donors
JP2005049542A (ja) 2003-07-31 2005-02-24 Fuji Photo Film Co Ltd 画像形成方法及び現像液
US7172849B2 (en) 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
US20050074981A1 (en) 2003-10-06 2005-04-07 Meagley Robert P. Increasing the etch resistance of photoresists
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
TWI367686B (en) 2004-04-07 2012-07-01 Semiconductor Energy Lab Light emitting device, electronic device, and television device
US20060155594A1 (en) 2005-01-13 2006-07-13 Jess Almeida Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data
JP4513966B2 (ja) 2005-03-07 2010-07-28 信越化学工業株式会社 プライマー組成物及びそれを用いた電気電子部品

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102819192A (zh) * 2008-12-30 2012-12-12 第一毛织株式会社 抗蚀剂下层组合物及利用其制造集成电路器件的方法
CN102819192B (zh) * 2008-12-30 2014-07-09 第一毛织株式会社 抗蚀剂下层组合物及利用其制造集成电路器件的方法
CN103660666A (zh) * 2012-08-31 2014-03-26 施乐公司 可变平版印刷方法
CN103660666B (zh) * 2012-08-31 2018-06-08 施乐公司 可变平版印刷方法

Also Published As

Publication number Publication date
JP2009282524A (ja) 2009-12-03
TW200306342A (en) 2003-11-16
KR100897575B1 (ko) 2009-05-15
US9069133B2 (en) 2015-06-30
US20020095018A1 (en) 2002-07-18
JP2005509913A (ja) 2005-04-14
EP1478683A1 (en) 2004-11-24
US20140227538A1 (en) 2014-08-14
US6824879B2 (en) 2004-11-30
AU2002336709A1 (en) 2003-06-10
TW200302259A (en) 2003-08-01
KR20050042069A (ko) 2005-05-04
JP2005509914A (ja) 2005-04-14
WO2003044079A1 (en) 2003-05-30
JP4703745B2 (ja) 2011-06-15
TWI324626B (en) 2010-05-11
EP1478683A4 (en) 2005-06-15
KR20050042068A (ko) 2005-05-04
TWI308585B (en) 2009-04-11
CN1615332A (zh) 2005-05-11

Similar Documents

Publication Publication Date Title
CN1615333A (zh) 用于照相平版印刷术的旋涂玻璃抗反射涂料
CN1606713A (zh) 用于照相平版印刷术的旋涂抗反射涂料
CN1113273C (zh) 正型光敏树脂组合物和使用它的半导体装置
CN1296435C (zh) 用于光刻法的旋压玻璃抗反射涂层的吸收性化合物
CN1283696C (zh) 某些硅氧烷聚醚、制备它们的方法及其用途
CN1249126C (zh) 聚硅氧烷、其生产方法、及辐射敏感树脂组合物
EP1190277B1 (en) Semiconductor having spin-on-glass anti-reflective coatings for photolithography
CN1088082C (zh) 含有硅烷官能团的涂料组合物
CN1708839A (zh) 低介电常数无定形二氧化硅类被膜的形成方法及由该方法得到的低介电常数无定形二氧化硅类被膜
CN101063818A (zh) 用于光刻技术加工的表面涂层
CN1754126A (zh) 湿润性图案形成用涂敷液和图案形成体的制造方法
CN1314934A (zh) 被覆物品
CN1806012A (zh) 有机官能硅氧烷嵌段缩合物,它们的制备和用途,以及它们的性能
CN101052671A (zh) 快速固化的改性硅氧烷组合物
JP2010519398A (ja) ケイ素に基づく反射防止膜用組成物
CN1573583A (zh) 表面用硅烷处理的球形二氧化硅-二氧化钛基细颗粒、其生产方法及其用途
CN1301226C (zh) 使水滴在其表面上滑落方面优异的制品和生产这种制品的方法
CN1957020A (zh) 有机二氧化硅系膜及形成法、布线结构体、半导体装置及膜形成用组合物
CN1708563A (zh) 低介电常数无定形二氧化硅类被膜形成用涂布液及该涂布液的配制方法
CN1103073A (zh) 环氧丙烯酸酯
CN1573546A (zh) 正型感光性树脂组合物、树脂膜的制造方法、半导体装置和显示元件及其制造方法
CN1880395A (zh) 成膜用涂布液及其膜以及成膜方法
CN1387676A (zh) 用于集成电路平面化的改进装置和方法
CN1495522A (zh) 精细图案形成方法和抗蚀剂表层处理剂
CN1645220A (zh) 隔片形成用放射线敏感性树脂组合物、隔片及其形成方法以及液晶显示元件

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication