CN1619820A - 氧化铬及氧化铝合成介电层及其制造方法 - Google Patents

氧化铬及氧化铝合成介电层及其制造方法 Download PDF

Info

Publication number
CN1619820A
CN1619820A CNA2004100626280A CN200410062628A CN1619820A CN 1619820 A CN1619820 A CN 1619820A CN A2004100626280 A CNA2004100626280 A CN A2004100626280A CN 200410062628 A CN200410062628 A CN 200410062628A CN 1619820 A CN1619820 A CN 1619820A
Authority
CN
China
Prior art keywords
layer
dielectric layer
circulation
purge gas
hafnium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004100626280A
Other languages
English (en)
Inventor
吉德信
卢载盛
孙贤哲
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of CN1619820A publication Critical patent/CN1619820A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor

Abstract

本发明涉及一种氧化铪及氧化铝合成介电层及其制造方法。所述介电层通过采用原子层沉积技术沉积形成。制造氧化铪及氧化铝合成介电层的方法包含下列步骤:通过重复进行原子层沉积技术的第一循环沉积氧化铪单原子层;通过重复进行原子层沉积技术的第二循环沉积氧化铝单原子层;及通过重复进行包含混合第一与第二循环的第三循环,沉积氧化铪单原子层与氧化铝单原子层的介电层。

Description

氧化铬及氧化铝合成介电层及其制造方法
技术领域
本发明涉及一种半导体装置,具体地,涉及一种电容的介电层及其制造方法。
背景技术
通常,通过热处理或快速热处理生长的二氧化硅(SiO2)被用作动态随机存储器(DRAM)装置与逻辑装置的栅极氧化层。由于半导体装置的设计规则已转向最小化,用于产生隧道效应的所述栅极氧化层的有效厚度已减至约25~30,此为发生隧道效应的最小厚度。在利用约0.1μm设计规则的装置中,所述栅极氧化层的期望的厚度范围为约25至约30。然而,注意到由直接的隧道效应而引起的关闭电流的增加会对该装置的操作产生负面影响。具体的,主要焦点在于电流存储装置降低泄漏电流。
作为解决上述问题的一种尝试,已对由具有高介电常数的材料,即高k值介电材料构成的栅极氧化层进行了积极的研究。所述高k值介电例如氧化钽(Ta2O5)、氧化钛(TiO2)、氧化铝(Al2O3)与氧化铪(HfO2)。另外,半导体存储装置加速的集成度已导致单位单元面积的急剧下降。而且,操作电压也已被降低至一低电平。
然而,虽然单元面积已减少,但操作记存储装置所需的最小电容仍要大于25fA/单元,用以防止发生软错误与缩短更新时间。因此,已对用作电容器介电层的高介电材料进行了积极的研究,以期大规模集成半导体装置所需的有效的电容,其中所述高介电材料例如Ta2O5、TiO2、Al2O3或HfO2,它们的介电常数高于例如以下材料的介电常数:二氧化硅(SiO2)、氮化硅(Si3N4)与一氧化氮(NO)。具体的,HfO2与Al2O3的堆叠式介电层因其兼具HfO2层带来的良好的介电特性与Al2O3层带来的良好的泄漏电流特性,目前已被视为栅极氧化层与电容器最可能应用的介电层。
图1为一电容器结构的示图,包括氧化铪及氧化铝的堆叠式介电层。
如图所示,电容器包括一由多晶硅制成的下电极11、一堆叠式介电层12及一由多晶硅制成的上电极13。在此,所述堆叠式介电层12通过顺序层叠氧化铝层12A与氧化铪层12B而形成。
在所述堆叠式介电层12中,所述氧化铝层12A接触所述下电极11,而所述氧化铪层12B接触所述氧化铝层12A。在此,所述氧化铝层12A所需的厚度为大于约20埃(),以改善泄漏电流特性。
具有所述堆叠式介电层12的电容器在低电压时会显现出极好的泄漏电流特性。但是,在高电压时,泄漏电流会陡然增加而导致低的击穿电压。结果,会进一步降低所述电容器的可靠度。
图2为显示一具有堆叠式介电层的传统电容器的泄漏电流特性的曲线图,其中所述堆叠式介电层通过层叠氧化铪层与氧化铝层而形成。在图2中,水平轴与垂直轴分别代表所施加的偏压与泄漏电流。为测量所述泄漏电流,在对所述上电极施加正电压并确定所述下电极为接地的情况下,可得到曲线CI。另一方面,在对所述上电极施加一负电压并确定所述下电极为接地的情况下,则可获得曲线CII。
如图所示,在低电源电压VL的情况下,所述泄漏电流特性呈现出一渐减坡度。另一方面,在高电源电压VH的情况下,所述泄漏电流特性呈现出一突增坡度。由于在所述高电源电压VH的情况下所述泄漏电流的陡然增加增,所以电容器会有一低击穿电压。
而且,在所述氧化铝层上形成所述氧化铪层,以确保所述介电特性。然而,所述氧化铪对热是不稳定的,因此在所述上电极形成后随后的热处理会使所述泄漏电流与所述介电特性降级。
图3A为显示在实施所述随后的热处理时一传统电容器的泄漏电流特性的曲线图,其中所述传统电容器仅具有一氧化铝层。图3B为显示在实施所述随后的热处理时一传统电容器的泄漏电流特性的曲线图,其中所述传统电容器具有氧化铪与氧化铝的堆叠式介电层。在图3A与图3B中,水平轴与垂直轴分别代表所施加的偏压与泄漏电流。曲线C1与C3显示在所述随后热处理前所述泄漏电流特性,其中所述随后热处理是在所述上电极形成后进行的,而曲线C2与C4显示在所述热处理实施后所述泄漏电流特性。在此,所述随后热处理在大约750℃进行约20分钟,并在大约675℃下进行约70分钟。
参考图3A,仅具有所述氧化铝层的电容器不管是在所述随后热处理实施前还是实施后,在泄漏电流特性方面是一致的。然而,具有所述氧化铪与氧化铝的堆叠式介电层的电容器在所述随后热处理实施前和实施后则有不同的泄漏电流特性。更具体地,在施加相同偏压的情况下,在所述随后热处理之后所获得的泄漏电流会大于在所述随后热处理之前所获得的泄漏电流。如图3B所示,所述泄漏电流会突然增加,穿过由所述随后热处理而结晶的氧化铪的晶界。
发明内容
因此,本发明的一个目的在于提供一种半导体装置的介电层及其制造方法,在通过顺序层叠氧化铪(HfO2)层与氧化铝(Al2O3)层形成介电层时,其能够防止击穿电压在高电源电压下被降低。
本发明的另一目的在于提供一种半导体装置的介电层,其能够防止在后续的热处理期间由氧化铪(HfO2)与氧化铝(Al2O3)叠层介电层引起的漏电流的增加。
根据本发明的一方面,提供一种半导体装置的介电层,其包含通过利用原子层沉积技术而形成的氧化铪与氧化铝合成介电层。
根据本发明的另一方面,还提供一种制造半导体装置的介电层的方法,其包含下列步骤:通过重复进行原子层沉积技术的第一循环,沉积一氧化铪单原子层;通过重复进行原子层沉积技术的第二循环,沉积一氧化铝单原子层;及通过重复进行包含混合第一与第二循环的第三循环,沉积由氧化铪单原子层与氧化铝单原子层形成的合成介电层。
根据本发明的又一方面,还提供一种制造氧化铪与氧化铝合成介电层的方法,包含重复进行一单位循环,所述单位循环包括顺序提供铪与铝的单分子源气体、净化气体、氧化剂与净化气体。
附图说明
通过以下结合附图对优选实施例进行的描述,本发明的所述和其他的目的和特点将会更加容易了解,在所述附图中,
图1为显示一电容器结构的示图,其中所述电容器结构包括传统的氧化铪及氧化铝的堆叠式介电层;
图2为显示一具有传统的氧化铪及氧化铝堆叠式介电层的电容器的泄漏电流特性的曲线图;
图3A为显示在一后续热处理期间一电容器的泄漏电流特性的曲线图,其中所述电容器只具有一传统的氧化铝介电层;
图3B为显示在一后续热处理期间一电容器的泄漏电流特性的曲线图,其中所述电容器具有一传统的氧化铪及氧化铝的堆叠式介电层;
图4为显示依据本发明第一优选实施例的一氧化铪与氧化铝合成介电层的示图;
图5为显示在依据本发明第一优选实施例通过采用原子层沉积技术形成所述氧化铪及氧化铝合成介电层时将气体供应至一反应室的时序图;
图6为显示依据本发明第二优选实施例的一氧化铪与氧化铝合成介电层的图示;
图7A为显示在依据本发明第二优选实施例通过采用ALD技术形成所述氧化铪及氧化铝合成介电层时将气体供应至一反应室的时序图;
图7B为显示由Hf-Al单分子源气体和臭氧反应气体之间的反应形成的(HfO2)1-X(Al2O3)X的合成状态的示图;
图8为显示一电容器的氧化铪及氧化铝堆叠式介电层、一[A/H/A/H/A/H/A/H/A]叠层介电层及一[HOAOAO]合成介电层的泄漏电流特性的曲线图,其中“A”、“H”及“O”代表原子或分子。
具体实施方式
以下将结合附图详细说明本发明的优选实施例。
图4为显示依据本发明第一优选实施例的一氧化铪及氧化铝合成介电层的图示。
如图所示,所述介电层20通过使氧化铝21与氧化铪22一起形成合金而形成,以便所述介电层20的分子结构为(HfO2)1-X(Al2O3)X,其中x代表分子组成系数。
具体地,通过使用原子层沉积(ALD)技术沉积介电层20。例如,在一原子层单位内重复进行沉积Al2O321的循环,然后在一原子层单位内重复进行沉积HfO222的循环。其后,连续重复进行该二循环的混合循环,直到达到氧化铪(HfO2)与氧化铝(Al2O3)所需的厚度。
还有,如图4所示,Al2O321与HfO222形成于一层内。所述Al2O321与HfO222在一层内同时形成的原因是原子层沉积技术的特性,其通过控制循环的次数而允许单原子层不连续地形成。即,如果该循环重复进行的次数较少,则不连续地沉积形成Al2O321单原子层。以下,分别将在原子层的单位内形成的每个Al2O321与HfO222称作Al2O3层与HfO2层。
对于形成结构为(HfO2)1-X(Al2O3)X的介电层20的方法,更详细的是利用ALD技术可在单层内形成Al2O3层21与HfO2层22。此时,控制重复单独形成Al2O3层21与HfO2层22的每一循环的次数,以使所获得的Al2O3层21与HfO2层22的期望厚度在约1至10的范围。这里,所述厚度为每一个不连续生成的Al2O321与HfO222单层的厚度。若每一单层的厚度大于10,则形成连续单层,因而形成叠层结构而不是合成结构。
图5为显示在依据本发明第一优选实施例通过采用原子层沉积技术形成分子结构为(HfO2)1-X(Al2O3)X的介电层20时将气体供应至一反应室的时序图。
如已知的,首先将源气体供应至一反应室,以使所述源气体分子被化学地吸收到一基板的表面。然后,通过供应一净化气体来清除那些被物理吸收的源气体分子。将一反应气体供应至所述基板,以便使所述经化学吸收的源气体分子与所述反应气体进行反应。依此化学反应,可沉积一单原子层。之后,通过使用一净化气体来清除所述未反应的反应气体。上述连续步骤构成该单原子层沉积的一个循环。上述原子层沉积技术采用一表面反应机制来提供一稳定且均匀的薄层。同样地,与化学汽相沉积(CVD)技术相比,因为所述源气体与所述反应气体是分别依序提供及在随后清除,所以所述原子层沉积技术可通过汽相反应以有效地防止粒子产生。
以下将更详细说明用以沉积分子结构为(HfO2)1-X(Al2O3)X的介电层20的单位循环。
所述单位循环可表示成为如下:
[(Hf/N2/O3/N2)y(Al/N2/O3/N2)z]n    单位循环1
这里,铪及铝是用以分别形成所述氧化铪层22及所述氧化铝层21的源气体。所述下标“y”及“z”代表重复(Hf/N2/O3/N2)及(Al/N2/O3/N2)的各自循环的次数。另一下标“n”代表重复[(Hf/N2/O3/N2)y(Al/N2/O3/N2)z]的循环的次数。在此,“y”、“z”及“n”为自然数。
对所述单位循环1来说,更具体的是,(Hf/N2/O3/N2)y循环表示顺序提供铪源气体、氮净化气体、臭氧氧化剂及氮净化气体的步骤,且所述循环被重复进行y次。同样地,(Al/N2/O3/N2)z循环表示顺序提供铝源气体、氮净化气体、臭氧氧化剂及氮净化气体的步骤,且所述循环被重复进行z次。重复所述循环y次及z次,以分别沉积具有所需厚度的氧化铪22及氧化铝21的单层。
针对所述氧化铝21的单原子层沉积,首先使维持在室温的三甲基铝合金(Al(CH3)3)的源气体流入一反应室大约0.1秒至3秒的时间。以下将所述三甲基铝合金简称为TMA。在此时,使所述反应室的温度保持在大约200℃至350℃之间,且使其压力保持在大约0.1托至10托之间。所述TMA源气体分子被吸收到所述下电极21上。之后,使一氮净化气体流入所述反应室大约0.1秒至约5秒的时间,以去除未被化学吸收的TMA源气体分子。然后,使作为反应气体的臭氧氧化剂流入所述反应室大约0.1秒至3秒的时间,以引起所述被吸收的TMA源气体分子与所述臭氧气体分子间的反应。所述反应会造成一氧化铝21原子层的沉积。接下来,使一氮净化气体流入所述反应室大约0.1秒至5秒的时间,以清除未反应的臭氧分子及所述反应的副产物。
上述顺序提供TMA源气体、氮净化气体、臭氧反应气体及氮净化气体的步骤构成一个单位循环,该单位循环被重复进行z次,以沉积所述具有所需厚度的氧化铝层21。在此,除了所述TMA之外,可使用改进的TMA(MTMA;Al(CH)3N(CH2)5CH3)来做为铝源气体。除了臭氧气体之外,可使用水及氧气等离子体来作为氧化剂。亦可使用如氩气的惰性气体做为所述净化气体。
针对所述氧化铪22的单原子层沉积,在一汽化器中汽化一选自由HfCl4、Hf(NO3)4、Hf(NCH3C2H5)4、Hf(N(CH3)2)4及Hf(N(C2H5)2)4所组成的组的源气体,并使所述汽化的源气体流入一反应室中,由此使该铪源气体分子被吸收,其中所述反应室的温度维持在200℃至400℃之间,压力保持在0.1托至10托之间。然后,使一氮净化气体流入所述反应室大约0.1秒至5秒的时间,以清除未被吸收的铪源气体分子。使一臭氧反应气体流入所述反应室大约0.1秒至3秒的时间,以引导所述被吸收的铪源气体分子与所述臭氧气体分子间的反应。从所述化学反应可沉积一氧化铪22的单原子层。接下来,使一氮净化气体流入所述反应室大约0.1秒至5秒的时间,以清除未反应的臭氧气体分子及所述反应的副产物。
所述顺序提供铪源气体、氮净化气体、臭氧反应气体及氮净化气体的步骤构成一个单位循环,该单位循环被重复进行y次,以沉积具有所需厚度的氧化铪层22。除了所述臭氧气体之外,可使用水及氧等离子体来做为所述氧化剂。也可使用如氩气的惰性气体来做为所述净化气体。
众所皆知,所述原子层沉积技术是以脉冲样单元(pulse-like unit)来进行。重复所述单位循环1,以形成分子结构为(HfO2)1-x(Al2O3)x的介电层20,其中以一预定分子组成系数均匀地形成所述氧化铪层22与所述氧化铝层21。
形成所述具有(HfO2)1-x(Al2O3)x分子结构的介电层20需要有下列条件。首先,重复n次所述单位循环1,其中所述单位循环1包括重复进行y次(Hf/N2/O3/N2)的循环以及重复进行z次(Al/N2/O3/N2)的循环。但是,特别控制重复这两个循环中每一循环的次数,即y及z,使得由所述(Hf/N2/O3/N2)的循环所形成的氧化铪层22的厚度以及由所述(Al/N2/O3/N2)的循环所形成的氧化铝层21的厚度大约在1埃至10埃的范围内,以便最有效地使氧化铪及氧化铝均匀地在一起形成合金。如果每一单原子层的厚度大于约10埃,则每一单原子层会显示出连续特性,进而导致相同于传统氧化铪及氧化铝堆叠式介电层的介电特性或者更差的介电特性。
第二,必须适当控制重复所述两个循环的次数(亦即y及z)的比率,以使所述氧化铝层21占大约30%至60%的比率,以便通过使所述氧化铪层22及氧化铝层21形成合金来形成一非晶形薄介电层,进而获得一极好的电特性。
图6为显示根据本发明第二优选实施例的HfO2与Al2O3合成介电层的示图。
如图所示,通过使Al2O331与HfO232一起均匀形成合金而形成介电层30,使得介电层30具有(HfO2)1-X(Al2O3)x的分子结构,其中x表示分子组成比例。此处,通过利用ALD技术沉积介电层30。
与图4中的介电层20不同,因为使用Al与Hf的单分子源气体进行介电层30的沉积,所以介电层30具有不同的Al2O3与HfO2的合金结构。还进行另一种形式的单位循环来形成介电层30,该单位循环使用上述A1与Hf的单分子源气体。此单位循环可以下式表示:
[(Hf-Al)/N2/O3/N2]w    单位循环2
这里,Hf-Al代表一单分子源气体,其中,Hf及Al混合存在于一单分子中。如HfAl(MMP)2(OiPr)5的物质是所述铪与铝单分子源气体的一个例子。在此,MMP及OiPr分别代表甲基硫丙醛(methylthiopropionaldehyde)及异丙氧化物(isopropoxides)
在第一优选实施例中,分别供应所述铪源气体及铝源气体,如在图5的单位循环1中所述。然而,在第二优选实施例中,使用如单位循环2中所示的铪及铝的单分子源气体。所述单分子源气体的使用简化了供应所述源气体的步骤并进一步缩短了所述整个循环的全部周期。在混合铪及铝以形成一单分子时,可通过控制每一铪及铝的比率来控制所述铪及铝的组成系数。
图7A为显示在依据本发明第二优选实施例通过采用原子层沉积技术形成所述分子结构为(HfO2)1-X(Al2O3)X的合成介电层32时将气体供应至一反应室的时序图。图7B图为显示基于Hf-Al单分子源气体与O3反应气体之间的反应形成上述(HfO2)1-X(Al2O3)X分子结构的示图。
参见图7A,所述(Hf-Al/N2/O3/N2)w的单位循环涉及顺序提供一Hf-Al单分子源气体、氮净化气体、作为反应气体的臭氧氧化剂及氮净化气体的步骤。重复所述循环w次,直到分子结构为(HfO2)1-X(Al2O3)X的合成介电层32达到一所需厚度为止。在此,“w”为自然数。
以下将更详细描述原子层沉积技术的上述循环。首先,使维持在室温的源气体,例如HfAl(MMP)2(OiPr)5)流入一反应室大约0.1秒至约3秒的时间,以使所述HfAl(MMP)2(OiPr)5源气体被吸收。在此时,使所述反应室的温度保持在大约200℃至350℃之间,压力保持在大约0.1托至10托之间。接下来,使所述氮净化气体流入所述反应室大约0.1秒至5秒的时间,以清除未被吸收的HfAl(MMP)2(OiPr)5分子。之后,使所述臭氧反应气体流入所述反应室大约0.1秒至3秒的时间,以引导所述被吸收的HfAl(MMP)2(OiPr)5分子与所述所供应的臭氧气体之间的反应。依所述反应,可沉积一由所述氧化铪层32及所述氧化铝层31所构成的(HfO2)1-x(Al2O3)x原子层。使所述氮净化气体再次流入所述反应室约0.1秒至5秒的时间,以清除所述未反应的臭氧及所述反应的副产物。图7B中示出上述结构(HfO2)1-x(Al2O3)x
重复w次所述单位循环2,直到所述氧化铪及氧化铝合成介电层32达到所需厚度为止,其中,所述单位循环2包括顺序提供所述HfAl(MMP)2(OiPr)5源气体、所述氮净化气体、所述臭氧反应气体及所述氮净化气体的步骤。同时,除了所述臭氧气体之外,可使用水及氧等离子体作为氧化剂。也可使用如氩的惰性气体作为所述净化气体。
图8为显示一HfO2/Al2O3堆叠式介电层、一[A/H/A/H/A/H/A/H/A]叠层介电层及一[HOAOAO]合成层的泄漏电流特性的曲线图。当上述所列的层被用作一电容器的介电层时,可获得所述泄漏电流特性。在此,“A”、“H”及“O”代表被用作形成所述所需层的一特定结构的原子或分子。
如图所示,通过堆叠厚度分别为约20埃和约25埃的氧化铪及氧化铝形成所述氧化铪及氧化铝堆叠式介电层。所述[A/H/A/H/A/H/A/H/A]叠层是通过交替层叠每个厚度约10埃的氧化铝及氧化铪而形成的。所述[HOAOAO]合成层是通过依据本发明第一优选实施例实施所述(Hf/N2/O3/N2)1(Al/N2/O3/N2)2的单位循环而形成的。
对图8中所述层的泄漏电流特性来说,更具体地,如同所述氧化铪及氧化铝堆叠式介电层,由于所述氧化铝层的接触特性,基于所述第一优选实施例所形成的[HOAOAO]合成层在一低电源电压VL情况下显示出一低泄漏电流特性。同样地,在所述低电源电压VL情况下,所述[HOAOAO]合成层显示出一高起升电压(take-off voltage)特性。在此,所述起升电压是指一泄漏电流突然增加的电压。然而,由于所述氧化铪的接触特性明显优于所述氧化铝的接触特性,所以在一高电源电压VH情况下,所述[HOAOAO]合成层显示出一高击穿电压特性。亦即,在所述高电源电压VH的情况下,所述[HOAOAO]合成层的泄漏电流以平缓斜率来增加。与所述[HOAOAO]合成层相比,所述氧化铪及氧化铝堆叠式介电层及所述[A/H/A/H/A/H/A/H/A]叠层的泄漏电流以陡峭斜率急剧地增加。同样地,在所述相同高电源电压VH的情况下,所述[HOAOAO]合成层与其它层相比具有一低泄漏电流密度。
所述[HOAOAO]合成层即使在所述高电源电压VH的情况下仍表现出具有所述特征的泄漏电流特性是因为通常存在于所述氧化铝层中的负电荷的缺陷及通常存在于所述氧化铪层中的正电荷的缺陷会彼此补偿。因此,与所述氧化铪及氧化铝堆叠式介电层相比,所述[HOAOAO]合成介电层在所述低电源电压VL情况下与所述高电源电压VH情况下均显示出极好的泄漏电流特性。
同样地,在所述[HOAOAO]合成层中,所述氧化铪层与上电极及下电极的直接接触被最小化,由此抑制由在所述上电极形成后进行的热处理所造成的泄漏电流及介电特性的降级。
根据本发明的第一优选实施例和第二优选实施例,可以制造具有高介电系数、高击穿电压特性与良好漏电流特性的高品质的介电层。
应注意的是,根据本发明的第一和第二优选实施例所形成的介电层仅可应用于作为电容器的栅极氧化层或介电层。
本申请包含与韩国专利申请KR 2003-0083398相关的主题,该申请于2003年11月22日向韩国专利局提出申请,在此参考引用其整个内容。
虽然已以有关优选实施例对本发明进行了说明,但是对本专业技术人员来说很明显的是可在不脱离权利要求所限定的本发明的范围的情况下进行不同的变化和改进。

Claims (19)

1.一种半导体装置的介电层,包含通过采用原子层沉积技术而形成氧化铪与氧化铝合成介电层。
2.如权利要求1所述的介电层,其中,所述氧化铪与氧化铝分别为HfO2与Al2O3,且所述氧化铪与氧化铝合成介电层所具有的分子结构为(HfO2)1-X(Al2O3)X,其中,x表示分子组成比例。
3.如权利要求2所述的介电层,其中,所述HfO2层与所述Al2O3层的每一层的厚度范围在约1至10的范围。
4.如权利要求2所述的介电层,其中,在所述(HfO2)1-X(Al2O3)X分子结构中,表示Al2O3层的分子组成比例的下标x在约0.3至约0.6的范围。
5.一种制造半导体装置的介电层的方法,包含下列步骤:
通过重复进行原子层沉积技术的第一循环,沉积一氧化铪单原子层;
通过重复进行原子层沉积技术的第二循环,沉积一氧化铝单原子层;及
通过重复进行包含混合所述第一与第二循环的第三循环,沉积一由所述氧化铪单原子层与所述氧化铝单原子层形成的合成介电层。
6.如权利要求5所述的方法,其中,所述氧化铪单原子层与氧化铝单原子层分别为HfO2层与Al2O3层,且所述氧化铪与氧化铝合成介电层所具有的分子结构为(HfO2)1-X(Al2O3)X,其中,x表示分子组成比例。
7.如权利要求6所述的方法,其中,所述HfO2层与Al2O3层的每一层的厚度在约1至10的范围。
8.如权利要求6所述的方法,其中,控制所述第一循环与所述第二循环的比例使表示Al2O3层分子比例的下标x在约0.3至约0.6的范围。
9.如权利要求5所述的方法,其中,所述第一循环为一单位循环,其包含顺序提供铪源气体、净化气体、氧化剂与净化气体的步骤。
10.如权利要求6所述的方法,其中,所述第一循环为一单位循环,其包含顺序提供铪源气体、净化气体、氧化剂与净化气体的步骤。
11.如权利要求9所述的方法,其中,所述铪源气体选自包含HfCl4、Hf(NO3)4、Hf(NCH3C2H5)4、Hf[N(CH3)2]4与Hf[N(C2H5)2]4的组;所述氧化剂为O3、H2O与O2等离子体中的一种;且所述净化气体为N2与Ar中的一种。
12.如权利要求10所述的方法,其中,所述铪源气体选自包含HfCl4、Hf(NO3)4、Hf(NCH3C2H5)4、Hf[N(CH3)2]4与Hf[N(C2H5)2]4的组;所述氧化剂为O3、H2O与O2等离子体中的一种;且所述净化气体为N2与Ar中的一种。
13.如权利要求5所述的方法,其中,所述第二循环为一单位循环,其包含顺序提供铝源气体、净化气体、氧化剂与净化气体的步骤。
14.如权利要求6所述的方法,其中,所述第二循环为一单位循环,其包含顺序提供铝源气体、净化气体、氧化剂与净化气体的步骤。
15.如权利要求13所述的方法,其中,所述铝源气体为三甲基铝(TMA)与改良的TMA(MTMA)中的一种;所述氧化剂为O3、H2O与O2等离子体中的一种;所述净化气体为N2与Ar中的一种。
16.如权利要求14所述的方法,其中,所述铝源气体为三甲基铝(TMA)与改良的TMA(MTMA)中的一种;所述氧化剂为O3、H2O与O2等离子体中的一种;所述净化气体为N2与Ar中的一种。
17.一种制造由氧化铪与氧化铝形成的合成介电层的方法,其包含重复进行一单位循环的步骤,所述单位循环包括顺序提供铪与铝的单分子源气体、净化气体、氧化剂与净化气体。
18.如权利要求17所述的方法,其中,所述氧化铪层与氧化铝的命名分别为HfO2与Al2O3,且所述氧化铪与氧化铝合成介电层所具有的分子结构为(HfO2)1-X(Al2O3)X,其中x表示分子组成比例。
19.如权利要求17所述的方法,其中,所述铪与铝的单分子源气体为HfAl(MMP)2(OiPr)5;所述氧化剂为O3、H2O与O2等离子体中的一种;且所述净化气体为N2与Ar中的一种。
CNA2004100626280A 2003-11-22 2004-06-30 氧化铬及氧化铝合成介电层及其制造方法 Pending CN1619820A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR200383398 2003-11-22
KR1020030083398A KR100550641B1 (ko) 2003-11-22 2003-11-22 산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법

Publications (1)

Publication Number Publication Date
CN1619820A true CN1619820A (zh) 2005-05-25

Family

ID=34587999

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004100626280A Pending CN1619820A (zh) 2003-11-22 2004-06-30 氧化铬及氧化铝合成介电层及其制造方法

Country Status (4)

Country Link
US (1) US20050110069A1 (zh)
KR (1) KR100550641B1 (zh)
CN (1) CN1619820A (zh)
TW (1) TWI278529B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102452797A (zh) * 2010-10-19 2012-05-16 英作纳米科技(北京)有限公司 药用玻璃瓶内壁涂层的制备方法
CN102477541A (zh) * 2010-11-25 2012-05-30 英作纳米科技(北京)有限公司 紧固件表面三氧化二铝薄膜的制备方法及其产品
CN102477542A (zh) * 2010-11-25 2012-05-30 英作纳米科技(北京)有限公司 紧固件表面二氧化铪薄膜的制备方法及其产品

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
FI20096154A0 (fi) 2009-11-06 2009-11-06 Beneq Oy Menetelmä kalvon muodostamiseksi, kalvo ja sen käyttöjä
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114774881A (zh) * 2022-04-22 2022-07-22 兰州大学 一种HfO2/Al2O3多层膜反射镜及其制备方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5733661A (en) * 1994-11-11 1998-03-31 Mitsubishi Chemical Corporation High-permittivity composite oxide film and uses thereof
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US20020036313A1 (en) * 2000-06-06 2002-03-28 Sam Yang Memory cell capacitor structure and method of formation
US6844604B2 (en) * 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
KR100456554B1 (ko) * 2002-01-04 2004-11-09 삼성전자주식회사 반도체 장치의 커패시터 및 그 제조 방법
US6645882B1 (en) * 2002-01-17 2003-11-11 Advanced Micro Devices, Inc. Preparation of composite high-K/standard-K dielectrics for semiconductor devices
TWI256688B (en) * 2002-02-01 2006-06-11 Grand Plastic Technology Corp Method for wet etching of high k thin film at low temperature
EP1485513A2 (en) * 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
KR100471164B1 (ko) * 2002-03-26 2005-03-09 삼성전자주식회사 금속-절연체-금속 캐패시터를 갖는 반도체장치 및 그제조방법
JP3937892B2 (ja) * 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
KR100450681B1 (ko) * 2002-08-16 2004-10-02 삼성전자주식회사 반도체 메모리 소자의 커패시터 및 그 제조 방법
US6686212B1 (en) * 2002-10-31 2004-02-03 Sharp Laboratories Of America, Inc. Method to deposit a stacked high-κ gate dielectric for CMOS applications
US6803275B1 (en) * 2002-12-03 2004-10-12 Fasl, Llc ONO fabrication process for reducing oxygen vacancy content in bottom oxide layer in flash memory devices
JP2004214366A (ja) * 2002-12-27 2004-07-29 Nec Electronics Corp 半導体装置及びその製造方法
US6930059B2 (en) * 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
KR101159070B1 (ko) * 2003-03-11 2012-06-25 삼성전자주식회사 고유전율 산화막 형성방법, 이 방법으로 형성된 유전막이구비된 커패시터 및 그 제조방법
US7154779B2 (en) * 2004-01-21 2006-12-26 Sandisk Corporation Non-volatile memory cell using high-k material inter-gate programming
US20050224797A1 (en) * 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102452797A (zh) * 2010-10-19 2012-05-16 英作纳米科技(北京)有限公司 药用玻璃瓶内壁涂层的制备方法
CN102452797B (zh) * 2010-10-19 2014-08-20 英作纳米科技(北京)有限公司 药用玻璃瓶内壁涂层的制备方法
CN102477541A (zh) * 2010-11-25 2012-05-30 英作纳米科技(北京)有限公司 紧固件表面三氧化二铝薄膜的制备方法及其产品
CN102477542A (zh) * 2010-11-25 2012-05-30 英作纳米科技(北京)有限公司 紧固件表面二氧化铪薄膜的制备方法及其产品

Also Published As

Publication number Publication date
US20050110069A1 (en) 2005-05-26
TW200517521A (en) 2005-06-01
KR20050049700A (ko) 2005-05-27
KR100550641B1 (ko) 2006-02-09
TWI278529B (en) 2007-04-11

Similar Documents

Publication Publication Date Title
CN1619820A (zh) 氧化铬及氧化铝合成介电层及其制造方法
CN1619819A (zh) 具有氧化铪与氧化铝合成介电层的电容器及其制造方法
US7205247B2 (en) Atomic layer deposition of hafnium-based high-k dielectric
KR100722989B1 (ko) 캐패시터 및 그 제조 방법
CN100552886C (zh) 非易失性存储器及其制造方法
JP4722501B2 (ja) 半導体素子の多層誘電体構造物、半導体及びその製造方法
US7396719B2 (en) Method of forming high dielectric film using atomic layer deposition and method of manufacturing capacitor having the high dielectric film
KR100738731B1 (ko) 유전체층을 포함하는 장치 및 그 유전체층을 포함하는 장치를 형성하는 방법
CN1893081A (zh) 具有纳米复合电介质层的电容器及其制造方法
CN101050522A (zh) 形成四方氧化锆层的方法及制造具有该层的电容器的方法
CN1181529C (zh) 半导体装置的电容器的制造方法
CN1855500A (zh) 具有纳米复合电介质结构的电容器及其制造方法
JP2010506408A (ja) 金属シリケート膜のald
CN101064349A (zh) 具有包括氧化锆的叠层介电结构的快闪存储器件及其制造方法
CN1261986C (zh) 含高介电常数绝缘膜的半导体设备和该设备的制造方法
CN1521811A (zh) 半导体器件的制造方法
CN1713384A (zh) 半导体器件及其制造方法
CN1820352A (zh) 电容器结构及其形成方法
JP4007044B2 (ja) 原子層蒸着法を用いた薄膜形成方法
CN1779980A (zh) 微电子器件的电介质多层及其制造方法
CN1839217A (zh) 在基体上沉积材料的方法以及在基体上形成层的方法
US7507644B2 (en) Method of forming dielectric layer of flash memory device
US7259059B2 (en) Method for forming capacitor of semiconductor device
JP2007274002A (ja) 原子層蒸着法を用いた薄膜形成方法
US20090283856A1 (en) Method for fabricating a semiconductor capacitpr device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Open date: 20050525