CN1630840B - 在工具系统中使用嵌入式工艺控制结构的方法和装置以及计算机装置 - Google Patents

在工具系统中使用嵌入式工艺控制结构的方法和装置以及计算机装置 Download PDF

Info

Publication number
CN1630840B
CN1630840B CN018178537A CN01817853A CN1630840B CN 1630840 B CN1630840 B CN 1630840B CN 018178537 A CN018178537 A CN 018178537A CN 01817853 A CN01817853 A CN 01817853A CN 1630840 B CN1630840 B CN 1630840B
Authority
CN
China
Prior art keywords
data
feedback
embedded
technology controlling
module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN018178537A
Other languages
English (en)
Other versions
CN1630840A (zh
Inventor
A·J·托普拉克
E·小科斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of CN1630840A publication Critical patent/CN1630840A/zh
Application granted granted Critical
Publication of CN1630840B publication Critical patent/CN1630840B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

本发明提供一种方法和一种装置以在制造工具系统内执行嵌入式工艺控制。此方法与装置至少处理一种半导体装置。对应该半导体装置工艺进行一种嵌入式工艺控制程序。对应该嵌入式工艺控制程序进行半导体装置其后的工艺。本发明的装置包括:计算机系统、以及以该计算机为接口的至少一个制造工具系统,此制造工具系统包括能从该计算机系统接收命令的嵌入式工艺控制系统以及以该制造工具系统进行制造程序的控制。

Description

在工具系统中使用嵌入式工艺控制结构的方法和装置以及计算机装置
技术领域
本发明主要关于半导体制造,并且尤其涉及一种在制造工具系统中使用嵌入式工艺控制(embeded process control)的方法和装置。
背景技术
制造工业的科技爆炸导致许多新的和创新的制造工艺。今日的制造工艺,特别是半导体制造工艺,需要很多重要的步骤。这些工艺的步骤通常是至关重要的,并且因此,需要许多通常经精确调谐(fine tuned)以维持适当制造控制的输入。
半导体装置的制造需要许多不连续的工艺步骤以从半导体原材料制造封装半导体装置。这些不同的工艺,从初步产生半导体材料、将半导体晶体切割成独立的晶片、制造阶段(蚀刻、掺杂、离子注入、或其类似阶段)、至封装和最后完整装置的测试,彼此是如此的不同和特殊以至于这些工艺可在具有不同控制结构的不同制造地点进行。
影响半导体装置制造的因素为由于制造工具初始阶段的影响、制造室的内存影响、以及首次圆片的影响的制造问题所导致圆片和圆片之间的变异。工艺步骤中这类不良影响因素之一为光学蚀刻覆盖(photolithography overlay)工艺。覆盖为半导体制造的光学蚀刻区中的许多重要步骤之一。覆盖控制涉及在半导体装置表面两个连续模式层之间定位偏移(misalignment)的测量。通常,减少定位偏移的误差对确保半导体装置的多层是否连接和有正常功能是非常重要的。由于科技设备使半导体装置的临界尺寸日益缩小,因此使减少定位偏移的误差更加重要。
通常,目前光学蚀刻工程每个月分析覆盖误差数次。应用覆盖误差分析的结果以人工方式更新暴露工具的设定。目前的方法伴随着许多的问题包括每个月只能更新暴露工具的设定数次。此外,目前暴露工具的更新仍以人工的方式进行。
通常,在许多圆片的半导体制造工具上进行一组工艺步骤,称为暴露工具或步进器(stepper)。该制造工具和制造结构或工艺模式网络相连通。该制造工具通常连接至设备的接口。该设备接口连接至连接步进器的机器接口,因此完成该步进器和该制造结构之间的连通。该机器接口通常可为一个先进工艺控制(APC)系统的一部份。该先进工艺控制激活控制工艺,其可为自动提取需要数据以执行制造工艺的软件程序。以人工方式定期修正控制该制造工艺的输入参数。当需要更精密的制造工艺时,则需要以更自动和省时的改良方法来检查输入控制该制造工艺的参数。此外,圆片和圆片之间的制造差异会造成半导体装置的品质的不一致。
虽然目前的控制系统提供一些较粗糙的工艺控制,但是本工业仍缺乏有效率的较精密的工艺控制方法。目前的控制系统通常负责多个制造部门。但是这些控制系统通常无法使工艺的品质进入更深入的层次。
本发明可直接克服上述一种或以上的问题,或至少可减低其影响。
发明内容
本发明一方面提供一种于制造工具系统中嵌入式工艺控制的方法。其至少可处理一种半导体装置。其反应对半导体装置的工艺进行嵌入式工艺控制的程序。响应该嵌入式工艺控制的程序进行半导体装置其后的工艺。
本发明的另一方面,提供一种将嵌入式工艺控制实施在制造工具系统的装置。本发明的装置包括:计算机系统、以及至少有一个与该计算机相互作用的制造工具系统,该制造工具系统包括能从计算机系统接收命令的嵌入式工艺控制系统以及通过该制造工具系统进行工艺的控制。
附图说明
可配合下列附图的描述了解本发明,其相似的组件有相同的编号,其中:
图l为显示工艺控制系统的一个实施例;
图2为显示根据本发明装置的一个实施例;
图3为图2实施例中的制造工具系统的更详细描述;
图4为图3中嵌入式工艺控制器的一个实施例的更详细描述;
图5为显示根据本发明方法的流程图;
图6为流程图,更详细说明图5中嵌入式工艺控制程序的进行步骤;以及
图7为流程图,说明针对检测出的误差修正其控制参数的方法。
本发明可进行各种形式的修正和改变,通过图中的范例说明特定的实施例在此加以详细说明。然而,必须了解本发明并不仅局限于此揭示的特定形式的实施例。相反的,本发明可涵盖所有的修正、均等物、以及在本发明所附权利要求书的精神和范围内的任何改变。
组件符号说明
105半导体产品          110工艺工具A
112工艺工具B           115第一机器接口
117第二机器接口        120线路
130计算机系统          140制造模块
145计量数据处理装置    150计量工具
155、170线路           160反馈/前馈控制器
210第一制造工具系统    220第二制造工具系统
230第N制造工具系统     310嵌入式工艺控制器
320制造工具            410计量数据取得装置
具体实施方式
本发明的实施例说明如下。必须说明,本专利说明书中并未列举本发明全部的特征。事实上仍有许多其它的实施例,但是,特定的实施例必须符合厂商的特定要求,例如必须符合系统有关和商业有关的限制,故实施例之间有很大的差异。此外,依此发展出的实施例可能非常复杂而且费时,但是必然为熟知本领域的技术人员所胜任。
半导体的制造涉及许多严谨的工艺。半导体装置需要多次经由多种半导体工艺工具的处理。圆片和圆片之间的差异会造成半导体装置的品质的不一致。工艺步骤中这类不良影响因素之一为光学蚀刻覆盖工艺。覆盖工艺为半导体制造中的许多重要步骤之一。特别是,覆盖工艺涉及制造工艺中在两层半导体装置之间的定位偏移测量。覆盖工艺的改良可实质上加强半导体工艺的品质和效率。本发明提供不同圆片和圆片之间的一种自动校正误差的方法。
半导体装置在制造环境中利用许多输入控制参数而加以处理。现在参照图1,说明本发明的一个具体实施例。在一个具体实施例中,利用线路120上的多个控制输入信号在工艺工具110、112上加工该半导体产品105,例如半导体圆片。在此实施例中,此线路120上的控制输入信号从计算机系统130经由机器接口115、117而传送至工艺工具110、112。在此具体实施例中,该第一和第二机器接口115、117放置在工艺工具110、112的外部。在另一个实施例中,该第一和第二机器接口115、117放置在工艺工具110、112的内部。
在一实施例中,计算机系统130在线路120上传送控制输入信号至第一和第二机器接口115、117。该计算机系统130应用制造模块140在线路120上产生控制输入信号。在此实施例中,该制造模块含有一工作程序,决定在线路120上传送的多个控制输入参数。
在一实施例中,制造模块140定义工艺脚本文件和输入控制而执行特定的制造程序。在线路120上提供工艺工具A110的控制输入信号由第一机器接口115接收和处理。在线路120上提供工艺工具B112的控制输入信号由第二机器接口117接收和处理。利用于半导体制造程序的工艺工具110、112的实例为步进器、扫描仪、步进扫描工具、和蚀刻工艺工具。在此实施例中,该工艺工具A110和工艺工具B112为光学蚀刻工艺工具,例如步进器。
由工艺工具110、112加工的一个或以上的半导体圆片通常送至计量工具150以取得计量数据。在一实施例中,该计量工具150为一个散射计量数据取得工具、或一个散射计(scatterometer)。来自计量工具150的数据经由计量数据处理装置145的处理和整理。在此实施例中,该计量数据处理装置145相关该计量数据与特定制造批次的已处理半导体圆片。在一实施例中,该计量数据处理装置145整合入该计算机系统130内。在一实施例中,该计量数据处理装置145为装入该计算机系统130的计算机软件程序,其中该计算机系统130整合入先进工艺控制(APC)的结构内。
来自计量数据处理装置145的工艺计量数据,在一实施例中为散射计量数据,被传送至在线路155上的反馈/前馈控制器160。在此实施例中,该反馈/前馈控制器160处理该散射计量数据并产生本领域的技艺中已知的反馈和前馈调整数据。如下述的反馈和前馈调整数据经由线路170传送至该计算机系统130。此计算机系统130利用该反馈和前馈调整数据修正在制造模块140内的数据,其可导致在线路120上的控制输入参数的适当改变。在此实施例中,该反馈/前馈控制器160整合入计算机系统130内。在此实施例中,该反馈/前馈控制器160为装入该计算机系统130的计算机软件程序。
修正在线路120上的控制输入信号的许多基础之一为在加工的半导体圆片上进行的计量测量,例如散射计测量。使用该计量测量来进行控制输入信号的反馈修正和一前馈修正。通常,线路120上的控制输入信号的反馈修正为在光学蚀刻工艺中进行,例如利用照射剂量来修正线宽度以及利用曝光焦点修正来调整线的轮廓。线路120上的控制输入信号的反馈修正也可在蚀刻工艺中进行,例如利用蚀刻配方修正来调整蚀刻线的形状。
线路120上的控制输入信号的前馈修正可用来进行半导体圆片上其后的工艺的校正。线路120上的控制输入信号的前馈修正可用于间隔层沉积的工艺中,其可利用散射测量技术调整精确形成于半导体圆片上的有效线宽度。在一实施例中,在间隔层沉积程序中,散射测量的测定可用来调整可能影响间隔层宽度的沉积时间,其依次会影响半导体圆片上追踪器的有效线宽度。此外,散射测量的测定可用来测量离子注入的参数以及在其后的离子注入工艺中调整离子注入的剂量。
本文中例如步进器加工的制造工艺,线路120上的控制输入用来操作该工艺工具110,其包括x-翻译信号、y-翻译信号、x-扩充圆片级信号、y-扩充圆片级信号、标线(reticle)放大信号、以及标线旋转信号。通常,曝光工具中会处理圆片表面上一个特定曝光工艺的错误标线放大信号以及标线旋转信号。本发明的主要特征之一为使用一种分析外部变化之后能在线路120上更新其控制输入信号的方法。
当工艺工具110的加工步骤结束时,如图1的方块120中,检查站会检测在工艺工具110中已加工的半导体圆片。此类检查站之一为KLA检查站。来自该检查站的作业的一组数据为之前曝光工艺导致的配准不良(misregistration)数量的定量测量。在一实施例中,该配准不良数量和加工工艺中发生在两层半导体圆片之间的定位偏移有关。在一实施例中,该配准不良数量的发生可能归因于控制输入至特定的曝光工艺。控制输入通常会因半导体圆片上操作曝光工具时而影响加工步骤的准确度。该控制输入信号会影响加工的半导体圆片的标线放大和位置。可利用控制输入的修正来改善该应用于曝光工具中的加工步骤的性能。在一实施例中,根据外部变化对被加工的半导体装置的影响来修正在线路120上的控制输入信号。本发明提供一种方法和一种装置以进行如上述的工艺控制,其中该工艺控制系统为装入制造工具系统中。
现在转而看图2,为根据本发明实施例的装置的说明。计算机系统130为第一制造工具系统210、第二制造工具系统220、和第N个制造工具系统230之间的接口。在一实施例中,独立的工艺控制系统整合或装入个别的第一至第N个制造工具系统210、220、230之中。为了明确的目的,只详细说明第一制造工具系统210。然而,熟知本领域的技术人员必须明了第二至第N个制造工具系统220,230实质上和第一制造工具系统210相似。嵌入的工艺控制系统,可作精密的调谐工艺控制,例如圆片对圆片的错误校正。
现在转而看图3,为第一制造工具系统210的更详细描述。此第一制造工具系统210包括机器接口115、嵌入式工艺控制器310、以及制造工具320。该计算机系统130为机器接口115和嵌入式工艺控制器310之间的接口。在一实施例中,其嵌入式工艺控制器310在操作制造工具320时能执行反馈/前馈修正的工作。此外,该嵌入式工艺控制器310在圆片至圆片间操作其制造工具320时能执行精密调谐的调整。换言之,在每一个半导体圆片工艺之间在制造工具320内能执行控制参数修正,因此能大量制造高品质的半导体圆片。
在一实施例中,嵌入式工艺控制器310能在操作制造工具320中经由机器接口115执行修正。此外,其计算机系统130能经由嵌入式工艺控制器320或直接经由机器接口115影响制造工具320的操作。其第一个制造工具系统210通常和先进工艺控制系统相同能在第一制造工具系统210中独立执行工艺控制。其第二个至第N个制造工具系统220,230和第一制造工具系统210的操作方法相同。
现在转而看图4,为嵌入式工艺控制器的实施例的更详细描述。在一实施例中,嵌入式工艺控制器310包括计量数据取得装置410、计量数据处理装置145、反馈/前馈控制器160、以及制造模块140。在一实施例中,该计量数据取得装置410为计量工具150,其能在圆片至圆片的基础上取得计量数据。计量数据处理装置145处理和整理来自计量数据取得装置410的数据。在一实施例中,该计量数据处理装置145将计量数据应用于处理的特定半导体圆片上。
该计量数据取得装置410为反馈/前馈控制器160的接口。来自计量数据处理装置145的经处理的计量数据被传送至反馈/前馈控制器160。在此实施例中,该反馈/前馈控制器160处理该经处理的计量数据并产生本领域的技艺中已知的反馈/前馈调整数据。嵌入式工艺控制器310利用该反馈/前馈调整数据在制造模块140中进行修正,其会导致控制制造工具320的操作的控制输入参数产生适当的改变。
通常,控制输入信号的反馈修正为在光学蚀刻工艺中进行,例如利用照射剂量来修正线宽度以及利用曝光焦点修正来调整线的轮廓。控制输入信号的反馈修正也可在蚀刻工艺中进行,例如利用蚀刻工作程序修正来调整蚀刻线的形状。线路120上的控制输入信号的反馈修正亦可于蚀刻工艺中进行,例如利用蚀刻配方修正来调整蚀刻线的形状。控制输入信号的前馈修正可用来进行半导体圆片上其后的工艺的校正。线路120上的控制输入信号的前馈修正可用于间隔层沉积的工艺中,其可利用散射测量技术调整精确形成于半导体圆片上的有效线宽度。
在一实施例中,在圆片至圆片的基础上以嵌入式工艺控制器310处理其取得的计量数据、经处理的取得的计量数据、产生的反馈和反馈数据、以及修正的控制输入参数;然而,该工艺也可按批来进行处理。如说明实例,在半导体圆片的薄膜沉积工艺中,其制造模块可指定工作程序而进行10秒的沉积步骤以达到100埃(Angstrom)厚度的薄膜沉积。在处理半导体圆片之后如果取得的数据中发现其10秒的沉积步骤产生105埃厚度的薄膜沉积,则其反馈/前馈控制器160会在处理下一个半导体圆片之前修正在制造模块140内的工作程序。该制造模块140内的工作程序能使其沉积步骤的时间修正至9.8秒而在处理下一个半导体圆片时使其薄膜沉积厚度达到100埃。在此实施例中,其嵌入式工艺控制器310为先进工艺控制结构。
现在转而看图5,为根据本发明方法的一个实施例的流程图的说明。如图5的方块510所述,该制造工具系统210至少处理一个半导体圆片。如图5的方块520所述,在至少一个半导体圆片被处理之后,开始进行嵌入式工艺控制程序。此嵌入式工艺控制程序的更详细的流程图说明于图6。
现在转而看图6,如方块610所述,在一圆片至圆片的基础上取得其计量数据。在一实施例中,在嵌入式工艺控制器310内的计量数据取得装置410取得该计量数据。一旦取得该计量数据之后,如图6的方块620所述,即开始进行该计量数据的处理并将其应用于准备处理的相关半导体圆片。在一实施例中,该取得的计量数据是由嵌入式工艺控制器310内的计量数据处理装置145来进行处理。
如图6的方块630所述,于是利用该处理后的测量数据产生反馈和前馈数据以供其后的半导体圆片的处理。在一实施例中,在嵌入式工艺控制器310内的反馈/前馈控制器160产生该反馈和前馈数据。如图6的方块640所述,该反馈/前馈数据开始进行其后的半导体圆片工艺的控制。在一实施例中,通过适当修正控制该制造工具320的操作的控制输入参数,以制造模块执行该反馈/前馈数据的进行。在一实施例中,通过检查反馈和前馈数据所界定的制造错误来修正该控制输入参数,其说明于图7。
现在转而看图7,如方块710所述,取得并分析被反馈和前馈数据所界定的制造错误数据。从本领域的技艺内已知的多种方法之一取得该错误数据。一旦取得改错误数据,如图7的方块720所述,测定该错误数据是否在死区(deadband)内。方块720中所述的步骤执行判定错误是否已明显足以使控制制造工具320的控制输入参数产生改变。为了界定该死区,从例如检查站的产品分析站(未显示)取得的错误数据和预设的参数临界值做比较。在一实施例中,该死区含一范围的错误值结合控制输入信号集中紧邻于一组对应的预设标的值,其通常可阻断控制器的动作。如果任何一种从产品分析站取得的错误小于其对应的预设临界值,则该特定的错误被视为在该死区内。该死区的主要目的之一为防止控制其制造工具320的控制输入参数改变所导致的过多控制动作而造成半导体制造程序的过度紧绷。
如方块720中所示,当完成一测定而死区内发现有对应于控制输入信号的错误时,如图7的方块730所述,忽略该特定的错误。因此,当预设死区内发现有对应于控制输入信号的错误值时,不使用该特定的错误来更新其对应的控制输入信号。在此实施例中,如图7的方块740所述,当该预设死区内测定出有错误数据时,针对该特定错误数据不会改变其控制参数。如图7的方块740所述,于是再取得并分析新的错误数据。在一实施例中,重复上述的步骤以取得新的错误数据。
如方块720中所示,当完成一测定而死区内未发现有对应于控制输入信号的错误时,如图7的方块750所述,则更进一步的程序例如修正该控制输入参数以补偿该错误。利用对应控制输入信号的错误值以更新控制其后制造工艺步骤的制造工具320的控制输入参数。
完成图6和图7中所述的步骤实质上即完成图5的方块520中所述的嵌入式工艺控制程序的步骤。转而回顾图5,一旦完成嵌入式工艺控制程序,如图5的方块530所述,则开始进行其后的半导体圆片的工艺。本发明的原理可应用于其它类型的制造和工艺控制装置。
本发明的原理可应用于先进工艺控制(APC)结构中。本发明提供一种方法和一种装置,其可如整合入一制造工具系统210、220、230内的嵌入式工艺控制结构来执行该先进工艺控制结构。该先进工艺控制为一较佳的平台,并以其执行本发明的覆盖控制策略。在一些实施例中,该先进工艺控制可为工厂导向的软件系统,因此,本发明的控制策略实质上可应用于工厂层次上任何半导体的制造工具。该先进工艺控制结构也可进行遥控和监控工艺的性能。此外,通过利用该先进工艺控制结构可使数据存储比局部驱动更具方便性、更具弹性以及更低成本。由于可写入所需要的软件程序而使其具有很大的弹性,故该先进工艺控制平台可有更精密的控制类型。
以本发明配置此控制策略在先进工艺控制结构上时需要许多的软件程序。除了在先进工艺控制结构内的软件程序之外,每一具控制系统内的半导体制造工具写有一套计算机程序。当控制系统内的半导体制造工具开始进半导体的制造加工时,通常会唤出该套计算机程序以激活其工艺控制器,例如覆盖控制器,所需的动作。该控制方法通常被界定在该套软件下进行。该套软件的发展在控制系统的发展上占非常重要的部份。本发明的原理可应用于其它类型的制造结构中。
上述揭示的特定实施例仅供说明之用,本发明可利用本领域所熟知的技艺以不同但相同原理的方法加以修饰以供说明。此外,此处所示的详细结构或设计除了下述的权利要求书内所述之外并无任何的限制。因此,上述揭示的特定实施例可加以改变或修正,并且全部的改变均视为包含在本发明的范围和精神内。于是,将其说明于下述的权利要求书中以受专利的保护。

Claims (13)

1.一种在工具系统中使用嵌入式工艺控制结构的方法,包括:
处理至少一种半导体装置(105);
响应所述半导体装置(105)的所述处理而执行嵌入式工艺控制程序,所述嵌入式工艺控制是由被嵌入至制造工具系统(210,220,230)中的工艺控制系统(310)执行,所述嵌入式工艺控制包括根据相关于所述处理的半导体装置(105)的计量数据而执行反馈和前馈修正至少其中之一;以及
响应所述嵌入式工艺控制程序而执行其后的半导体装置(105)的工艺。
2.如权利要求1所述的在工具系统中使用嵌入式工艺控制结构的方法,其中执行嵌入式工艺控制程序进一步包括:
取得计量数据;
处理所述取得的计量数据;
利用所述处理的计量数据产生反馈和前馈数据;以及
对至少一个控制输入参数执行反馈和前馈控制,所述一个控制输入参数是利用所述反馈和前馈数据来控制制造工艺。
3.如权利要求2所述的在工具系统中使用嵌入式工艺控制结构的方法,其中产生反馈和前馈数据进一步包括产生能用来修正照射剂量配置方案的数据。
4.如权利要求2或3所述的在工具系统中使用嵌入式工艺控制结构的方法,其中产生反馈和前馈数据进一步包括在光学蚀刻工艺中产生用来修正焦距的数据。
5.如权利要求4的在工具系统中使用嵌入式工艺控制结构的方法,其中产生反馈和前馈数据进一步包括产生能用来修正间隔层沉积工艺的数据。
6.一种在工具系统中使用嵌入式工艺控制结构的装置,所述装置包含:
计算机系统(130);以及
至少一个以所述计算机系统(130)为接口的制造工具系统(210,220,230),所述制造工具系统(210,220,230)包括嵌入式工艺控制系统(310),所述嵌入式工艺控制系统(310)能够从所述计算机系统(130)接收命令并且控制由所述制造工具系统(210,220,230)所执行的制造工艺,所述嵌入式工艺控制系统用以嵌入所述制造工具系统(210,220,230)并能够执行嵌入式工艺控制程序。
7.如权利要求6所述的在工具系统中使用嵌入式工艺控制结构的装置,其中所述制造工具系统(210,220,230)进一步包括:
机器接口(115,117);
电连接至所述机器接口(115,117)的工艺工具(110,112);以及
连接至所述机器接口(115,117)和所述工艺工具(110,112)的嵌入式工艺控制器(310),所述嵌入式工艺控制器(310)能利用所述机器接口(115,117)对所述工艺工具(110,112)进行工艺控制。
8.如权利要求7所述的在工具系统中使用嵌入式工艺控制结构的装置,其中该嵌入式工艺控制器(310)更进一步包括:
能从所述工艺工具(110,112)取得计量数据的计量数据取得装置(150);
连接至该计量数据取得装置(150)的计量数据处理装置(145),所述计量数据处理装置能整理和处理所述取得的计量数据;
连接至所述计量数据处理装置(145)的反馈/前馈控制器(160),所述反馈/前馈控制器(160)能产生反馈和前馈调整数据;以及
连接至所述反馈/前馈控制器的制造模块(140),所述制造模块(140)能利用所述产生的反馈和前馈调整数据对控制所述工艺工具(110,112)的操作的至少一个控制输入参数进行修正。
9.一种计算机装置,包括:
用于控制至少一种半导体装置(105)的处理的模块;
用于响应所述半导体装置(105)的所述处理而执行嵌入式工艺控制程序的模块,所述嵌入式工艺控制是通过嵌入所述制造工具(210,220,230)的工艺控制系统(310)所执行,所述嵌入式工艺控制程序包括根据相关于该处理的半导体装置(105)的计量数据而执行反馈和前馈修正至少其中之一;以及
用于响应所述嵌入式工艺控制程序而执行其后的半导体装置的工艺的模块。
10.如权利要求9所述的计算机装置,其中执行嵌入式工艺控制程序的模块进一步包括:
用于取得计量数据的模块;
用于处理所述取得的计量数据的模块;
用于利用所述处理的计量数据产生反馈和前馈数据的模块;以及
用于对至少一个控制输入参数执行反馈和前馈控制的模块,所述一个控制输入参数是利用所述反馈和前馈数据来控制制造工艺。
11.如权利要求10所述的计算机装置,其中用于产生反馈和前馈数据的模块进一步包括用于产生能用来修正照射剂量配置方案的数据的模块。
12.如权利要求10或11所述的计算机装置,其中用于产生反馈和前馈数据的模块进一步包括用在光学蚀刻工艺中产生用来修正焦距的数据的模块。
13.如权利要求10或11的计算机装置,其中用于产生反馈和前馈数据的模块进一步包括用于产生能用来修正间隔层沉积工艺的数据的模块。
CN018178537A 2000-10-23 2001-08-16 在工具系统中使用嵌入式工艺控制结构的方法和装置以及计算机装置 Expired - Fee Related CN1630840B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/694,139 2000-10-23
US09/694,139 US6304999B1 (en) 2000-10-23 2000-10-23 Method and apparatus for embedded process control framework in tool systems
PCT/US2001/025655 WO2002035300A2 (en) 2000-10-23 2001-08-16 Method and apparatus for embedded process control framework in tool systems

Publications (2)

Publication Number Publication Date
CN1630840A CN1630840A (zh) 2005-06-22
CN1630840B true CN1630840B (zh) 2010-04-28

Family

ID=24787558

Family Applications (1)

Application Number Title Priority Date Filing Date
CN018178537A Expired - Fee Related CN1630840B (zh) 2000-10-23 2001-08-16 在工具系统中使用嵌入式工艺控制结构的方法和装置以及计算机装置

Country Status (9)

Country Link
US (1) US6304999B1 (zh)
EP (1) EP1330684B1 (zh)
JP (1) JP2004512691A (zh)
KR (1) KR100836946B1 (zh)
CN (1) CN1630840B (zh)
AU (1) AU2001283404A1 (zh)
DE (1) DE60111411T2 (zh)
TW (1) TWI281722B (zh)
WO (1) WO2002035300A2 (zh)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6405144B1 (en) * 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
US6643557B1 (en) * 2000-06-09 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for using scatterometry to perform feedback and feed-forward control
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
WO2002015238A2 (en) * 2000-08-11 2002-02-21 Sensys Instruments Corporation Device and method for optical inspection of semiconductor wafer
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7196782B2 (en) * 2000-09-20 2007-03-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thin film characteristic and an electrical property of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
KR100811964B1 (ko) * 2000-09-28 2008-03-10 동경 엘렉트론 주식회사 레지스트 패턴 형성장치 및 그 방법
US6553390B1 (en) * 2000-11-14 2003-04-22 Advanced Micro Devices, Inc. Method and apparatus for simultaneous online access of volume-managed data storage
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US6571371B1 (en) * 2000-12-27 2003-05-27 Advanced Micro Devices, Inc. Method and apparatus for using latency time as a run-to-run control parameter
US6482660B2 (en) * 2001-03-19 2002-11-19 International Business Machines Corporation Effective channel length control using ion implant feed forward
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6509201B1 (en) * 2001-04-11 2003-01-21 Advanced Micro Devices, Inc. Method and apparatus for monitoring wafer stress
US6458610B1 (en) * 2001-05-31 2002-10-01 Advanced Micro Devices, Inc. Method and apparatus for optical film stack fault detection
US6581193B1 (en) * 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6649426B2 (en) * 2001-06-28 2003-11-18 Advanced Micro Devices, Inc. System and method for active control of spacer deposition
AU2002341677A1 (en) * 2001-09-18 2003-04-01 Applied Materials, Inc. Integrated equipment set for forming an interconnect on a substrate
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US20030220708A1 (en) * 2001-11-28 2003-11-27 Applied Materials, Inc. Integrated equipment set for forming shallow trench isolation regions
US6643596B2 (en) * 2001-12-13 2003-11-04 Yield Dynamics, Inc. System and method for controlling critical dimension in a semiconductor manufacturing process
US6737208B1 (en) 2001-12-17 2004-05-18 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration incorporating feedforward overlay information
US6691052B1 (en) 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
US8180587B2 (en) 2002-03-08 2012-05-15 Globalfoundries Inc. System for brokering fault detection data
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6672716B2 (en) * 2002-04-29 2004-01-06 Xerox Corporation Multiple portion solid ink stick
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
US20040206621A1 (en) * 2002-06-11 2004-10-21 Hongwen Li Integrated equipment set for forming a low K dielectric interconnect on a substrate
US6735492B2 (en) * 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings
US6912435B2 (en) * 2002-08-28 2005-06-28 Inficon Lt Inc. Methods and systems for controlling reticle-induced errors
US6865438B1 (en) 2002-09-30 2005-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of using time interval in IC foundry to control feed back system
US6912436B1 (en) * 2002-09-30 2005-06-28 Advanced Micro Devices, Inc. Prioritizing an application of correction in a multi-input control system
US6912438B2 (en) * 2002-10-21 2005-06-28 Advanced Micro Devices, Inc. Using scatterometry to obtain measurements of in circuit structures
US6660543B1 (en) * 2002-10-31 2003-12-09 Advanced Micro Devices, Inc. Method of measuring implant profiles using scatterometric techniques wherein dispersion coefficients are varied based upon depth
US7272459B2 (en) 2002-11-15 2007-09-18 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US7254453B2 (en) * 2002-11-21 2007-08-07 Advanced Micro Devices, Inc. Secondary process controller for supplementing a primary process controller
US6815232B2 (en) * 2002-11-26 2004-11-09 Advanced Micro Devices, Inc. Method and apparatus for overlay control using multiple targets
US6957120B1 (en) * 2003-01-06 2005-10-18 Advanced Micro Devices, Inc. Multi-level process data representation
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7085676B2 (en) 2003-06-27 2006-08-01 Tokyo Electron Limited Feed forward critical dimension control
JP4880889B2 (ja) * 2003-09-09 2012-02-22 セイコーインスツル株式会社 半導体装置の製造方法
JP4880888B2 (ja) * 2003-09-09 2012-02-22 セイコーインスツル株式会社 半導体装置の製造方法
US7018855B2 (en) 2003-12-24 2006-03-28 Lam Research Corporation Process controls for improved wafer uniformity using integrated or standalone metrology
US20050197721A1 (en) * 2004-02-20 2005-09-08 Yung-Cheng Chen Control of exposure energy on a substrate
US6980873B2 (en) 2004-04-23 2005-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for real-time fault detection, classification, and correction in a semiconductor manufacturing environment
US7437404B2 (en) * 2004-05-20 2008-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for improving equipment communication in semiconductor manufacturing equipment
US7242995B1 (en) 2004-10-25 2007-07-10 Rockwell Automation Technologies, Inc. E-manufacturing in semiconductor and microelectronics processes
DE102005035735B4 (de) * 2005-07-29 2007-08-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Abschätzen eines Zustands einer nicht initialisierten fortschrittlichen Prozesssteuerung durch Anwendung unterteilter Steuerungsdaten
US7672749B1 (en) * 2005-12-16 2010-03-02 GlobalFoundries, Inc. Method and apparatus for hierarchical process control
US20070239305A1 (en) * 2006-03-28 2007-10-11 Haoren Zhuang Process control systems and methods
US7525673B2 (en) * 2006-07-10 2009-04-28 Tokyo Electron Limited Optimizing selected variables of an optical metrology system
US7526354B2 (en) * 2006-07-10 2009-04-28 Tokyo Electron Limited Managing and using metrology data for process and equipment control
US7639351B2 (en) * 2007-03-20 2009-12-29 Tokyo Electron Limited Automated process control using optical metrology with a photonic nanojet
US7567353B2 (en) * 2007-03-28 2009-07-28 Tokyo Electron Limited Automated process control using optical metrology and photoresist parameters
US7372583B1 (en) 2007-04-12 2008-05-13 Tokyo Electron Limited Controlling a fabrication tool using support vector machine
US20090065820A1 (en) * 2007-09-06 2009-03-12 Lu-Yang Kao Method and structure for simultaneously fabricating selective film and spacer
US8069020B2 (en) * 2007-09-19 2011-11-29 Tokyo Electron Limited Generating simulated diffraction signal using a dispersion function relating process parameter to dispersion
US8190543B2 (en) * 2008-03-08 2012-05-29 Tokyo Electron Limited Autonomous biologically based learning tool
US7761178B2 (en) * 2008-06-18 2010-07-20 Tokyo Electron Limited Automated process control using an optical metrology system optimized with design goals
US8867018B2 (en) * 2009-02-10 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for improved overlay correction
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US9740184B2 (en) * 2009-11-16 2017-08-22 Applied Materials, Inc. Controls interface solution for energy savings
CN103199037A (zh) * 2012-01-06 2013-07-10 沈阳新松机器人自动化股份有限公司 一种半导体加工设备的efem控制系统
KR101967589B1 (ko) * 2012-05-24 2019-04-09 가부시키가이샤 니콘 디바이스 제조 방법 및 기판 처리 방법
CN102880153B (zh) * 2012-10-15 2015-06-24 中达光电工业(吴江)有限公司 使用不同运动控制产品的pcb钻铣设备的运行方法及系统
CN106597913A (zh) * 2015-10-20 2017-04-26 沈阳新松机器人自动化股份有限公司 硅片传输平台控制系统
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP6622741B2 (ja) 2017-03-07 2019-12-18 日本碍子株式会社 ハニカム構造体
GB201721309D0 (en) * 2017-12-19 2018-01-31 Renishaw Plc Production and measurement of workpieces
DE102019111715A1 (de) * 2019-05-06 2020-11-12 Kompetenzzentrum - Das Virtuelle Fahrzeug Forschungsgesellschaft Mbh Method of adjusting a manufacturing process for a component
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
JP2022062409A (ja) * 2020-10-08 2022-04-20 株式会社日立製作所 情報処理システム及び加工管理方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5440478A (en) * 1994-02-22 1995-08-08 Mercer Forge Company Process control method for improving manufacturing operations

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6249712B1 (en) * 1995-09-26 2001-06-19 William J. N-O. Boiquaye Adaptive control process and system
KR980005954A (ko) * 1996-06-19 1998-03-30 김광호 반도체제조장치의 에러감시시스템
GB2318665B (en) * 1996-10-28 2000-06-28 Altera Corp Work group computing for electronic design automation
US6096091A (en) * 1998-02-24 2000-08-01 Advanced Micro Devices, Inc. Dynamically reconfigurable logic networks interconnected by fall-through FIFOs for flexible pipeline processing in a system-on-a-chip
JP2003500847A (ja) * 1999-05-20 2003-01-07 マイクロニック レーザー システムズ アクチボラゲット リソグラフィに於ける誤差低減方法
US6643557B1 (en) * 2000-06-09 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for using scatterometry to perform feedback and feed-forward control
US6625512B1 (en) * 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5440478A (en) * 1994-02-22 1995-08-08 Mercer Forge Company Process control method for improving manufacturing operations

Also Published As

Publication number Publication date
TWI281722B (en) 2007-05-21
US6304999B1 (en) 2001-10-16
KR20040005846A (ko) 2004-01-16
DE60111411D1 (de) 2005-07-14
CN1630840A (zh) 2005-06-22
WO2002035300A2 (en) 2002-05-02
DE60111411T2 (de) 2006-05-11
EP1330684A2 (en) 2003-07-30
WO2002035300A3 (en) 2003-01-16
JP2004512691A (ja) 2004-04-22
AU2001283404A1 (en) 2002-05-06
KR100836946B1 (ko) 2008-06-11
EP1330684B1 (en) 2005-06-08

Similar Documents

Publication Publication Date Title
CN1630840B (zh) 在工具系统中使用嵌入式工艺控制结构的方法和装置以及计算机装置
KR100804284B1 (ko) 산란 측정을 이용하여 피드백 및 피드-포워드 제어를 수행하기 위한 방법 및 그 장치와, 그리고 상기 방법을 수행하기 위한 명령어들이 코드화된 컴퓨터 판독가능 프로그램 저장 매체
US6442496B1 (en) Method and apparatus for dynamic sampling of a production line
US6556884B1 (en) Method and apparatus for interfacing a statistical process control system with a manufacturing process control framework
US6622061B1 (en) Method and apparatus for run-to-run controlling of overlay registration
US6465263B1 (en) Method and apparatus for implementing corrected species by monitoring specific state parameters
US6716646B1 (en) Method and apparatus for performing overlay measurements using scatterometry
US6528331B1 (en) Method for identifying and controlling impact of ambient conditions on photolithography processes
US6535774B1 (en) Incorporation of critical dimension measurements as disturbances to lithography overlay run to run controller
US6484064B1 (en) Method and apparatus for running metrology standard wafer routes for cross-fab metrology calibration
JP2005510083A (ja) 統合計測データをフィードフォワードデータとして利用するための方法および装置
KR20020047215A (ko) 통계적인 공정 제어를 이용하여 제어기 성능을 모니터하는방법 및 장치
KR20030030427A (ko) 반도체 웨이퍼 오버레이 보정방법
KR20170091536A (ko) 반도체 제조 공정을 평가하는 방법 및 장치
US6337217B1 (en) Method and apparatus for improved focus in optical processing
US6597447B1 (en) Method and apparatus for periodic correction of metrology data
US6556959B1 (en) Method and apparatus for updating a manufacturing model based upon fault data relating to processing of semiconductor wafers
US7254453B2 (en) Secondary process controller for supplementing a primary process controller
US6618640B1 (en) Method and apparatus for using integrated remote identifier
US6571371B1 (en) Method and apparatus for using latency time as a run-to-run control parameter
US6698009B1 (en) Method and apparatus for modeling of batch dynamics based upon integrated metrology
US6577914B1 (en) Method and apparatus for dynamic model building based on machine disturbances for run-to-run control of semiconductor devices
US6823231B1 (en) Tuning of a process control based upon layer dependencies
US6978189B1 (en) Matching data related to multiple metrology tools
US7120514B1 (en) Method and apparatus for performing field-to-field compensation

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: GLOBALFOUNDRIES

Free format text: FORMER OWNER: ADVANCED MICRO DEVICES INC.

Effective date: 20100702

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: CALIFORNIA, THE UNITED STATES TO: CAYMAN ISLANDS, BRITISH

TR01 Transfer of patent right

Effective date of registration: 20100702

Address after: Grand Cayman, Cayman Islands

Patentee after: Globalfoundries Semiconductor Inc.

Address before: American California

Patentee before: Advanced Micro Devices Inc.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100428

Termination date: 20190816

CF01 Termination of patent right due to non-payment of annual fee