CN1678767A - 向-制程室提供气体的方法及设备 - Google Patents

向-制程室提供气体的方法及设备 Download PDF

Info

Publication number
CN1678767A
CN1678767A CNA038204096A CN03820409A CN1678767A CN 1678767 A CN1678767 A CN 1678767A CN A038204096 A CNA038204096 A CN A038204096A CN 03820409 A CN03820409 A CN 03820409A CN 1678767 A CN1678767 A CN 1678767A
Authority
CN
China
Prior art keywords
tank body
equipment
precursor material
gas
inlet port
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA038204096A
Other languages
English (en)
Inventor
S·甘古里
L·陈
V·W·库
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1678767A publication Critical patent/CN1678767A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D7/00Sublimation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material by bubbling of carrier gas through liquid source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4487Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by using a condenser
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • Y10T117/1004Apparatus with means for measuring, testing, or sensing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • Y10T117/1004Apparatus with means for measuring, testing, or sensing
    • Y10T117/1008Apparatus with means for measuring, testing, or sensing with responsive control means

Abstract

兹提供一种形成用于一制程系统之气体的方法及设备。于一实施例中,一种形成用于一制程系统之气体的设备包括一罐体,其具有至少一设于两埠间之挡板且该罐体含有一先趋物材料。该先趋物材料在一界定压力下加热至一界定温度时系适于形成一气体蒸气。该挡板可驱使载气按一延伸之平均路径(位于该入口埠及出口埠间)移动。于另一实施例中,一种用于形成气体之设备包括一罐体,其具有一管体,该管体可将流入该罐体之载气引离该罐体内之先趋物材料。

Description

向一制程室提供气体的方法及设备
技术领域
本发明之实施例一般系关于一种用于提供气体至一制程室之方法及设备。
背景技术
集成电路已发展成可于单一晶片上设有无数个电晶体、电容器以及电阻之复杂元件。晶片设计的持续发展也需要更快的电路系统及更高的电路密度以求取更精确的制程。基材的精确制程需要准确的控制温度,以及制程期间所用流体传递之比例及压力,一般系利用设有各种阀门、节流器、流量控制器及类似者之气体面板以促进此等流体的控制。
制程期间所用流体系供应至气体面板,而液体或气体则来自中心气体源或设于该面板邻近处之供应导管。某些制程气体可由一固体材料经升华制程而在(或接近)该气体面板处形成。升华制程一般系指气体在一特定压力及温度下,由一固体直接形成气体,而不经过液体状态。某些可经由升华制程产生之气体包括二氟化氙(xenon difluoride)、四羰化镍(nickel carbonyl)、六羰基钨(tungsten hexa-carbonyl),尤其是五(二甲氨)钽(pentakis(dimethylamino)tantalum(PDMAT))。此等材料非常活泼且昂贵,故升华制程时需费心控制以管理升华固体的形成,避免过度浪费。
习知升华制程一般系实施于一加热导管中,其中装有(或填有)欲升华之固体先趋物材料。当需要气体时,可加热支撑该固体先趋物材料之导管壁及/或托架以产生气体。
可替代之气体形成制程包括将一固体或液体先趋物材料与一液体混合。载气(carrier gas)则接着藉混合而沸腾以载送所产生之制程气体。
然而,当载气经由(或撞击)固体先趋物或液体/固体混合物而沸腾时,来自固体先趋物或液体之粒子会由载气搭送至制程室中。液体或固体粒子便成为处理室或基材的污染来源。因此,减少粒子由先趋物气体产生器进入制程室中将有两种效果。第一,减少粒子将可降低基材缺陷。第二,减少粒子也可缩减清理处理室表面污染所需的停机时间。
因此,业界对可改良提供先趋气体至制程室之方法及设备仍有需求。
发明的内容
本发明之一态样大致系提供一种形成用于制程系统之气体的设备。于一实施例中,形成用于制程系统之气体的设备包括一含有一先趋物材料之罐体。该罐体包括一顶部、一底部及一侧壁以界定出一内体积。该内体积具有一上方区域及一下方区域,其中该下方区域至少部分由该先趋物材料所填充。一入口埠及一出口埠系穿设于该罐体并与该上方区域流通。该罐体上方区域内之该入口埠及该出口埠之间并设至少一挡板。
于本发明之另一态样中,系提供一种形成用于制程系统之气体的方法。于一实施例中,该用以形成气体之方法包括提供一先趋物材料于该罐体之下方区域中、由该入口埠流动一载气经该罐体之上方区域沿一延伸平均路径至出口埠、以及加热该先趋物材料以形成制程气体。
附图说明
本发明于上文所概述之更特定说明可藉由参照阐示于附加图式之实施例而得。然应注意的是,该等附加图示说明仅为本发明之一般实施例,因此不应视为本发明之限制,本发明应涵盖其他等效之实施例。
第1图系一具有气体形成系统之一实施例之制程系统的简略概要图。
第2A图系一气体形成罐体之一实施例的截面侧视图。
第2B图系一气体形成罐体之一实施例的截面俯视图。
第3图系一气体形成罐体之另一实施例的截面图;及
第4图系一气体形成罐体之另一实施例的截面侧视图。
为便于了解,全文均使用相同参考标号来表示共同出现于图式中之相同元件。
具体实施方式
第1图大致说明一半导体晶圆制程系统120之简略概要图。该制程系统120一般包含一与一气体输送系统104耦接之制程系统106。该制程系统106可为任何合适之制程室,例如该等由加州圣塔克拉拉市美商应用材料公司(Applied Materials)所上市之制程室。例示性之制程室包括DPS CENTURA蚀刻处理室、PRODUCER化学气相沉积处理室、及ENDURA物理气相沉积处理室以及除此等以外之其他处理室。
该气体输送系统104一般系控制输送至制程室106的各种制程以及惰性气体之速率及压力。制程种类及数量以及其他输送至该制程室106之气体一般系依据欲执行于与之耦接之该制程室106的制程而选定。虽然为简化说明而仅于第1图之该气体输送系统104中显示一单一气体输送线路,然应理解的是额外的气体输送线路也可使用。
该气体输送系统104一般系耦接于一载气源102及该制程室106之间。该载气源102可为一区域或远端导管、或一可供应载气遍及该设备之中央设备源。该载气源102一般系供应如氩气、氮气、氦气或其他惰性或非反应性气体之载气。
该气体输送系统104一般包括一流量控制器110,其耦接于该载气源102及一制程气体源罐体100之间。该流量控制器110可为一平衡阀、调节阀、针阀、调整器、质流控制器或类似者。一种可使用之流量控制器110系由加州蒙特瑞市之西爱尔(Sierra)设备公司所上市。
气体源罐体100一般系耦接且设于一第一及第二阀112、114之间。于一实施例中,该第一及第二阀112、114系耦接至该罐体100,且适接于分离式配件(未示出)以便由该气体输送系统104移除与该罐体100连接之阀112、114。第三阀116系设于该第二阀114及该制程室106之间,以避免在罐体100由该气体输送系统104移除后把污染物引入制程室106。
第2A及2B图系说明该罐体100之一实施例之截面图。该罐体100大致包含一注射瓶或其他具有一外壳220之密闭式容器,其适合盛装一先趋物材料214(经由升华或蒸馏制程即可将先趋物材料形成制程气体)。某些可能会经由升华制程于罐体100中形成制程气体之固体先趋物材料214包括二氟化氙(xenon difluoride)、四羰化镍(nickel carbonyl)、六羰基钨(tungsten hexa-carbonyl),尤其是五(二甲氨)钽(pentakis(dimethylamino)tantalum,PDMAT)。某些可能会经由蒸馏制程于罐体100中形成制程气体之液体先趋物材料包括四(二甲氨)钛(tetrakis(dimethylamino)titanium,TDMAT)、第三丁基亚氨三(二乙氨)钽(tertbutyliminotris(diethylamino)tantalum,TBTDET)以及五(甲乙氨)钽(pentakis(ethylmethylamino)tantalum,PEMAT),及除此等以外之其他材料。该外壳220一般系由大致对先趋物材料214以及由其形成之气体呈惰性之材料制成,因此结构材料可依据形成之气体而改变。于一实施例中,六羰基钨系于该罐体100内形成,且该外壳220是由一大致对六羰基钨呈惰性之材料所制,例如不锈钢、铝、PFA或其他合适之无机材料。
该外壳220可为任何数目之几何形式。于第2A及2B图中所示之实施例中,该外壳220至少包含一柱形侧壁202及一底部232,其并由一盖子204封闭之。该盖子204可藉焊接、结合、黏结剂或其他非渗漏方法耦接至侧壁202。或者,该侧壁202及该盖子204间之接合部可为一封垫、O形环、衬垫或类似者,该结合部系经设置以避免由罐体100泄漏出。该侧壁202或者也可包含其他中空几何形式,例如一中空方形管。
一入口埠206及一出口埠208系穿设于该罐体,以让气体由该罐体100进出。该等埠206、208可穿设于该盖子204及/或该罐体100之侧壁202。该等埠206、208一般可予以封闭,以在将罐体100由气体输送系统104移除时可让罐体100内部与周围环境隔绝。于一实施例中,阀112、114系封闭地耦接至该等埠206、208,避免在将罐体100由气体输送系统104(示于第1图)移除以再填充先趋物材料214、或替换罐体时泄漏气体。相适之分离配件236A、236B也可耦接至阀112、114以便由该气体输送系统104移除或替换该罐体100。阀112、114一般为球形阀或其他确实的密封阀,以让罐体100可由系统移除以进行有效的装载及再利用,同时在填充、输送或耦接至气体输送系统104期间将由罐体100泄漏的可能性降至最低。或者,该罐体100可经由一再填充埠(未示出)再予填充,例如设于该罐体100之盖子204上具有VCR配件之小型管体。
该罐体100具有一内体积238,其包含一上方区域218及一下方区域234。该罐体100之下方区域234至少部份以先趋物材料214填充。或者,液体216也可加至一固体先趋物材料214以形成浆体212。该先趋物材料214、液体216或预混合之浆体212可藉移除该盖子204或经由该等埠206、208之一者而引入罐体100。该液体216系经选择以使其与先趋物材料214不具反应性、使先趋物材料214不溶于液体中、且液体216与该先趋物材料214相比具有一可忽略之蒸气压等特性。例如,一加至固体先趋物材料214(如六羰基钨)之液体216应具有一较六羰基钨高约1×103Torr之蒸气压,以确保升华之蒸气主要包含六羰基钨以及一可忽略之液体量。
与液体216混合之先趋物材料214可个别搅动以保持先趋物材料214悬浮在浆体212之液体216中。于一实施例中,先趋物材料214及液体216系藉磁性搅拌器240搅动。该磁性搅拌器240包括一设于该罐体100底部232下方之磁性马达242,以及一设于该罐体100下方区域234中之磁性片244。该磁性马达242可操作以旋转罐体100内之磁性片244,藉以混合该浆体212。该磁性片244之外材料涂层应与该先趋物材料214、液体216或罐体100不具反应性。合适之磁性混合物均已上市,例示性之磁性混合物为南加州威灵顿市之IKAWork公司所上市之IKAMAREO。或者,浆体212也可以其他方式搅动,例如藉一搅拌器、起泡器或类似者。
液体216之搅动会促使小滴液体216载附于载气中,并载送至制程室106。为避免上述小滴液体216接触制程室106,可选择性的将油气捕集器250耦接于罐体100之出口埠208。油气捕集器250包括一本体252,其中含数个插入式档板254,该等档板254延伸超过油气捕集器本体252之中线256,且呈至少略为朝向下方罐体100之角度。该等档板254可驱使气体绕该档板254之迂回路径流向该制程室106。该档板254之表面区域暴露一大表面积予该表面之流动气体,使油气捕集器可截补黏附之气体。档板254的向下角度可让任何累积于油气捕集器中之油气向下流动,并流回罐体100中。
该罐体100包括至少一档板210,设于该罐体100之上方区域218内。该档板210系设于入口埠206及出口埠208之间,形成一延伸之平均路径以避免载气直接(亦即沿笔直线路)由入口埠206流至出口埠208。此具有增加罐体100中载气之平均停留时间的效果,以及增加由载气载送之升华或汽化先趋物气体之量。此外,该档板210可引导载气覆盖于该罐体100中先趋物材料214的所有暴露表面,以确保可重复气体之形成特性以及该先趋物材料214之有效消耗量。
该档板210之数目、间距以及形状可作选择以将罐体100调整至形成先趋物气体之最佳状态。例如,可选择档板210之数目为最多量以提高先趋物材料214之载气速度、或档板210形状可配置以控制先趋物材料214之消耗量,以更有效使用先趋物材料。
档板210可接附至侧壁202或盖子204,或档板210可为一预制之插入型设计以安装于罐体100内。于一实施例中,设于罐体100内之档板210至少包含五片矩形板片,该等板片之材料与侧壁202相同。参照第2B图,该等档板210可以焊接或其他方式固定于该侧壁202,且彼此呈交叉状。该等档板210系以插入、交替形式固定于固定于该罐体之相对侧,以形成蜿蜒延伸之平均流动路径。此外,档板210系设于该盖子204(当放置于侧壁202上)上该入口埠206及该出口埠208之间,以使该等档板210及盖子204间没有空气可流动的空间。该等档板210可额外延伸至少一部份进入该罐体100之下方区域234,因此界定出一延伸之平均流动路径以供载气流经上方区域218。
或可选择的是,入口管222可设于该罐体100之内埠体积238。该管体222可藉第一端224耦接至该罐体100之入口埠206,并终止于该罐体100上方区域218中之第二端226。该管体222可将载气注入该罐体100上方区域218,即靠近该先趋物材料214或浆体212之位置处。
该先趋物材料214可于一预定温度及压力下形成一先趋物气体。由先趋物材料214升华或汽化之气体会累积在该罐体100之上方区域218中,并藉一通过入口埠206且由出口埠208离开的惰性载送气体(可载送至制程室106)清除之。于一实施例中,该先趋物材料214系藉一电阻加热器230(邻设于侧壁202处)加热至一预定义温度。或者,该先趋物材料214可以其他方式加热,例如可藉由设于该罐体100下方区域234或上方区域218之筒式加热器(未示出)、或以一置于该载气入口埠206上游处之加热器(未示出)预热该载气。为能使浆体212达最大均匀热分布,液体216及档板210应为热的良导体。
于一例示性之操作模式中,该罐体100之下方区域234系至少部份地填充予六羰基钨及扩散泵油(diffusion pump oil)之混合物所形成的浆体212。该浆体212系维持在约5 Torr之压力,并藉一电子式加热器230(邻设于该罐体100)加热至摄氏约40至约50度之温度范围。由氩气组成之载气以约200标准cc/分之速率流经入口埠206进入上方区域218。该氩气系以延伸之平均流动路径流动,而该平均流动路径系以经出口埠208离开罐体100之前通过档板210之曲折路径所定义之,较佳系增加罐体100上方区域218中氩气之平均停留时间。于罐体100中所增加之停留时间可有利的增加载气内升华之六羰基钨的饱和度。此外,通过档板210之曲折路径可有利的将所有先趋物材料214之暴露表面积实质的暴露于载气流,以使先趋物材料214之消耗量均匀,并形成先趋物气体。
第3图系描述用于形成制程气体之罐体300的另一实施例之截面图。该罐体包括一侧壁202、一盖子204及一底部232以围出一内部体积238。至少一盖子204或侧壁202包含入口埠206及一出口埠208用以进出气体。该罐体300之内部体积238系划分为上方区域218及一下方区域234。先趋物材料214至少部份地填充该下方区域234。先趋物材料214可为固体、液体或浆体形式,且系适于以升华及/或汽化形成制程气体。
管体302系设于该罐体300之内体积238中,且适于将该罐体300内之气流导离该先趋物材料214,较佳系避免由管体302流出之气体直接撞击先趋物材料214,而使微粒成为空气浮粒并载送至出口埠208进入制程处理室106。该管体302于第一端304耦接至入口埠206。该管体302由该第一端304延伸至第二端326A(位于先趋物材料214上方之上方区域218中)。该第二端326A可适于将气流导向侧壁202,因而避免气体直接(例如直线或视线可及的直线方式)流经该等埠206、208间的罐体300,形成延伸之平均流动路径。
于一实施例中,该管体302之第二端326A的出口306系相对于该罐体300之中心轴308呈约15至约90度角。于另一实施例中,该管体302具一「J」形第二端326B,以引导气流离开出口306而移向罐体300之盖子204。于另一实施例中,该管体302具有一第二端326C,其有一插塞或罩体310以封闭该管体302之一端。该第二端326C具有至少一开口328,其形成于该管体302邻近该罩体310之侧。由开口328离开之气体一般系以垂直于该中心轴308的方式引离位于罐体300下方区域234的先趋物材料214。亦可选择的是,前述至少一档板210(以虚线表示)可设于该处理室300内,并以并列形式应用于前述该管体302之任一实施例中。
于一例示性操作模式中,该罐体300之下方区域234系至少部份填充以六羰基钨以及扩散泵油之混合物所形成的浆体212。该浆体212系维持在约5Torr之压力,并以一电阻式加热器230(邻设于罐体300处)加热至摄氏约40至约50度。以氩气组成之载气系以约200标准cc/分之速率流经入口埠206及管体302而进入上方区域218。该管体302之第二端326A可将载气流以一延伸之平均流动路径(远离该出口埠208)流动,较佳系增加该罐体300之上方区域218中氩气的平均停留时间,并避免载气直接流动于该先趋物材料214之上,藉以尽量减少粒子形成。在罐体300中所增加的停留时间可有利的增加升华之六羰基钨于载气内的饱和度,同时减少粒子形成以改善制程良率,节省来源固体并减少下游处的污染物。
第4图系说明一用于形成先趋物气体之罐体400之另一实施例的截面图。该罐体400包括一侧壁202、一盖子204及一底部232以围出一内部体积238。至少一盖子204或侧壁202系包含一入口埠206及一出口埠208以供气体进出。入口及出口埠206、208系耦接至阀112、114(适接于相适之分离配件236A、236B)以便于由该气体输送系统104移除或替换该罐体400。亦可选择的是,油气捕集器250可耦接于该出口埠208及阀114之间,以捕集任何流至制程室106之气体中的油性粒子。
该罐体400之内体积238系划分为一上方区域218及一下方区域234。先趋物材料214及液体216至少部份填充该下方区域234。管体402系设于该罐体400之内部体积238中,且其适于将罐体400内之第一气流F1引离先趋物材料及液体混合物,并将一第二气流F2引经该混合物。该气流F1较气流F2为大。气流F2系经配置以扮演一起泡器的角色,其大小应足以搅动该先趋物材料及液体混合物、但不至于使先趋物材料214或液体216之粒子或微滴成为空气浮粒。因此,此实施例可有效的搅动先趋物材料及液体混合物,同时减少因管体402流出气流直接碰撞先趋物材料214形成的粒子成为空气浮粒,载送经出口埠208而进入制程室106。
该管体402系以一第一端404耦接至该入口埠206。该管体402系由该第一端404延伸至位于罐体400下方区域234(即位于先趋物材料及液体混合物内)之第二端406。该管体402具有一开口408,设于该罐体400之上方区域218中以将第一气流F1导向该罐体400之侧壁202。该管体400具有一限制部410,其设于该罐体400上方区域238中该开口408之下方处。该限制部410系用于减少流向管体402之第二端406并进入浆体212的第二气流F2。藉由调整该限制部的流量,该第一及第二气流F1及F2之相对速率便可作调节。此限制部具有至少两种用途,第一,可最小化该第二气流F2,提供恰巧足够的搅动以维持液体216中先趋物材料214之混合或悬浮,同时并减少粒子形成及制程室106之潜在污染。第二,第一气流F1可经调整以维持需提供由先趋物材料214升华及/或汽化至制程室106所需量的总流量体积。
亦可选择的是,前文所述之至少一档板210(以虚线图示)可设于该处理室400内,并以并列形式应用于前述该管体402之任一实施例中。
虽然前文所述系关于本发明之较佳实施例,然其他以及本发明更进一步之实施态样亦可于不悖离本发明基本范围的情况下提出。本发明之范围系由下文申请专利范围界定之。
主要原件代表符号说明
100  制程气体源罐体        102  载气源
104  气体输送系统          106  制程室
110  流量控制器            112  阀
114  阀                    120  制程系统
202  侧壁                  206  入口埠
208  出口埠                210  档板
214  先趋物材料            216  液体
218  上方区域              220  外壳
222  入口管                224  第一端
226  第二端                230  加热器
232  底部                  234  下方区域
236A 分离配件              236B 分离配件
238  内体积                240  搅拌器
242  马达                  244  磁性片
250  油性捕集器            252  油性捕集器本体
254  档板                  256  中线
300  罐体                  302  管体
304  第一端                306  出口
308  中心轴                310  罩体
326  第二端                328  开口
400  罐体                  402  管体
404  第一端                406  第二端
408  开口                  410  限制部

Claims (59)

1.一种形成用于一半导体制程系统之气体的设备,其至少包含:
一罐体,其具有一侧壁、一顶部及一底部以界定一内部体积,该内部体积具有一上方区域及一下方区域;
一入口埠及一出口埠,其穿设于该罐体并与该上方区域连通;
至少一档板,其系设于该罐体之上方区域内,且介于该入口埠及该出口埠之间;以及
一先趋物材料,至少部份填充该罐体之下方区域。
2.如权利要求1所述之设备,其特征在于该入口埠及该出口埠之至少一者系穿设于该罐体之该侧壁。
3.如权利要求1所述之设备,其特征在于该入口埠及该出口埠之至少一者系穿设于该罐体之顶部。
4.如权利要求3所述之设备,它还包含:
一第一阀,耦接于该入口埠;以及
一第二阀,耦接于该出口埠。
5.如权利要求1所述之设备,它还包含一管体,该管体具有一耦接至该入口埠之第一端以及一终止于该罐体之上方区域中的第二端。
6.如权利要求5所述之设备,其特征在于该第二端相对于该罐体之一轴系呈约15度至约90度角。
7.如权利要求5所述之设备,其特征在于该管体之该第二端系朝向该罐体之顶部。
8.如权利要求5所述之设备,其特征在于该管体之该第二端系被罩住且具有至少一出口开口,该出口开口系穿设于该管体之一壁且邻近该第二端。
9.如权利要求1所述之设备,它还包含一与该先趋物材料混合并形成一浆体之液体,其中该液体与该先趋物材料不具反应性,且相对于该先趋物材料具有一可忽略之蒸气压,同时该先趋物材料系不溶于其中。
10.如权利要求9所述之设备,其特征在于该先趋物材料系六羰基钨(tungstenhexacarbonyl)且该液体系一扩散泵油(diffusion pump oil)。
11.如权利要求9所述之设备,它还包含搅动装置,用于搅动该浆体。
12.如权利要求11所述之设备,其特征在于该搅动装置系选自由磁性搅拌器、搅拌器及起泡器组成之群组中。
13.如权利要求1所述之设备,其特征在于该先趋物材料系选自由二氟化氙(xenon difluoride)、四羰化镍(nickel carbonyl)、六羰基钨(tungsten hexa-carbonyl),以及五(二甲氨)钽(pentakis(dimethylamino)tantalum)组成之群组中。
14.如权利要求1所述之设备,它还包含一耦接至该出口埠之油气捕集器。
15.如权利要求1所述之设备,其特征在于该至少一档板系指数片档板。
16.如权利要求15所述之设备,其特征在于该数片档板系至少部份延伸至该罐体之下方区域。
17.如权利要求1所述之设备,它还包含一加热装置,用于加热该先趋物材料。
18.如权利要求17所述之设备,其特征在于该用于加热该先趋物材料之加热装置系一电阻式加热器(邻设于该侧壁)、一设于该罐体内之筒式加热器,或为一预热载气之至少一者。
19.如权利要求1所述之设备,其特征在于该先趋物材料系选自由四(二甲氨)钛(tetrakis(dimethylamino)titanium,TDMAT)、第三丁基亚氨三(二乙氨)钽(tertbutyliminotris(diethylamino)tantalum,TBTDET)以及五(甲乙氨)钽(pentakis(ethylmethylamino)tantalum,PEMAT)所组成之群组中。
20.一种形成用于一半导体制程系统之气体的设备,其至少包含:
一罐体,具有一侧壁、一顶部及一底部以界定一内部体积,该内部体积具有一上方区域及一下方区域;
一入口埠及一出口埠,其穿设于该罐体并与该上方区域流通;
一管体,其具有一耦接至该入口埠之第一端以及一终止于该罐体之上方区域中的第二端;以及
一孔径,形成于该管体之第二端,其系朝向该罐体之侧壁或顶部。
21.如权利要求20所述之设备,其特征在于该入口埠及该出口埠之至少一者系穿设于该罐体之侧壁。
22.如权利要求20所述之设备,其特征在于该入口埠及该出口埠之至少一者系穿设于该罐体之顶部。
23.如权利要求22所述之设备,它还包含:
一第一阀,耦接至该入口埠;以及
一第二阀,耦接至该出口埠,该第一及第二阀系适接于相适之分离配件。
24.如权利要求20所述之设备,其特征在于形成于该第二端之孔径系相对于该罐体之一轴呈约15度至约90度角。
25.如权利要求20所述之设备,其特征在于形成于该管体第二端之孔径系朝向该罐体之顶部。
26.如权利要求20所述之设备,其特征在于该管体之第二端具有一封闭底部,且该孔径系穿设于该管体之一壁中且接近该第二端处。
27.如权利要求20所述之设备,它还包含一先趋物材料至少部份地填充该罐体之下方区域。
28.如权利要求20所述之设备,它还包含一与该先趋物材料混合并形成一浆体之液体,其中该液体与该先趋物材料不具反应性,且相对于该先趋物材料具有一可忽略之蒸气压,同时该先趋物材料系不溶于其中。
29.如权利要求28所述之设备,其特征在于该先趋物材料系选自由二氟化氙、四羰化镍、六羰基钨,以及五(二甲氨)钽组成之群组中。
30.如权利要求28所述之设备,其特征在于该先趋物材料系六羰基钨且该液体系一扩散泵油。
31.如权利要求28所述之设备,它还包含搅动装置,用于搅动该浆体。
32.如权利要求31所述之设备,其特征在于该搅动装置系选自由磁性搅拌器、搅拌器及起泡器组成之群组中。
33.如权利要求20所述之设备,它还包含一耦接至该出口埠之油气捕集器。
34.如权利要求20所述之设备,它还包含至少一档板设于该罐体之上方区域内,且介于该入口埠及该出口埠之间。
35.如权利要求34所述之设备,其特征在于该至少一档板系指数片档板。
36.如权利要求35所述之设备,其特征在于该数片档板系至少部份延伸至该罐体之下方区域。
37.如权利要求20所述之设备,它还包含一加热装置,用于加热该罐体之内部体积。
38.如权利要求37所述之设备,其特征在于该用于加热该罐体之内部体积的加热装置为一电阻式加热器(邻设于该侧壁)、一设于该罐体内之筒式加热器,或为一预热载气之至少一者。
39.如权利要求27所述之设备,其特征在于该先趋物材料系由四(二甲氨)钛(TDMAT)、第三丁基亚氨三(二乙氨)钽(TBTDET)以及五(甲乙氨)钽(PEMAT)所组成之群组中选出。
40.一种形成用于一制程系统之气体的设备,其至少包含:
一罐体,其具有一侧壁、一顶部及一底部以界定一内部体积,该内部体积具有一上方区域及一下方区域;
一入口埠及一出口埠,其穿设于该罐体并与该上方区域流通;
一第一阀,耦接至该入口埠;以及
一第二阀,耦接至该出口埠,该第一及第二阀系适配于相适之分离配件以将该设备由一半导体制程气体输送系统模组式移除;
一管体,其具有一耦接至该入口埠之第一端以及一终止于该罐体之上方区域中的第二端,其中该第二端相对于该罐体之一中心轴系呈约15度至约90度角;以及
数片档板,其设于该罐体之上方区域内,及介于该入口埠及该出口埠之间。
41.如权利要求420所述之设备,它还包含:
固体先趋物材料,其至少部份地填充该罐体之下方区域;
一液体,与该固体先趋物材料混合形成一浆体,其中该液体与该固体先趋物材料不具反应性,且相对于该固体先趋物材料具有一可忽略之蒸气压,同时该固体先趋物材料系不溶于其中;
加热装置,用于加热该罐体之内部体积;以及
搅动装置,用于搅动该浆体。
42.如权利要求41所述之设备,其特征在于用于加热该罐体之内部体积的加热装置为一电阻式加热器(邻设于该侧壁)、一设于该罐体内之筒式加热器,或为一预热载气之至少一者。
43.如权利要求42所述之设备,其特征在于该用于搅动该浆体的搅动装置系选自由磁性搅拌器、搅拌器及一起泡器组成之群组中。
44.一种形成用于一制程系统之气体的方法,其至少包含下列步骤:
提供一先趋物材料于一罐体之一下方区域中;
由一入口埠沿一延伸之平均路径流动一载气,使之经过该罐体之一上方区域而至一出口埠;以及
加热该先趋物材料以形成一制程气体。
45.如权利要求44所述之方法,其特征在于沿该延伸之平均路径流动载气之步骤更包含将一载气流引离该浆体。
46.如权利要求45所述之方法,其特征在于该气流系被导向该罐体之一侧壁。
47.如权利要求45所述之方法,其特征在于该气流系被导向该罐体之一顶部。
48.如权利要求45所述之方法,其特征在于该气流系被导经一或多个穿设于该管体之一侧壁的孔径。
49.如权利要求44所述之方法,其特征在于沿该延伸之平均路径流动该载气的步骤更包含将一载气流绕着设于该罐体之一入口埠及一出口埠间之至少一档板流动。
50.如权利要求49所述之方法,其特征在于该至少一档板为数片形成一蜿蜒路径之插入式档板。
51.如权利要求44所述之方法,其特征在于该加热步骤至少包含由加热该罐体、加热该罐体之一内部体积以及在进入该罐体前先加热该载气等加热步骤所组成之群组中选出至少一种。
52.如权利要求44所述之方法,它还包含搅动该先趋物材料之步骤。
53.如权利要求52所述之方法,其特征在于该搅动该先趋物材料之步骤系以一磁性搅拌器、一搅拌器或一起泡器之至少一者进行。
54.如权利要求52所述之方法,它还包含捕集搭载于制程气体中之粒子物质的步骤。
55.如权利要求44所述之方法,其特征在于该加热步骤更包含升华该先趋物材料。
56.一种形成一用于制程系统之气体的方法,其至少包含下列步骤:
流动一载气于数片档板间,该等档板可界定出一曲折流动路径;以及
由一设于该等档板间之先趋物材料形成一气体。
57.如权利要求56所述之方法,其特征在于形成一气体之步骤更包含升华该先趋物材料。
58.如权利要求57所述之方法,它还包含调整该等档板间之载气流动的步骤。
59.如权利要求58所述之方法,其特征在于该调整步骤更包含调整由档板数目、档板间距以及档板形状所组成之特性群组中之至少一者。
CNA038204096A 2002-07-17 2003-07-16 向-制程室提供气体的方法及设备 Pending CN1678767A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/198,727 US7186385B2 (en) 2002-07-17 2002-07-17 Apparatus for providing gas to a processing chamber
US10/198,727 2002-07-17

Publications (1)

Publication Number Publication Date
CN1678767A true CN1678767A (zh) 2005-10-05

Family

ID=30115166

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA038204096A Pending CN1678767A (zh) 2002-07-17 2003-07-16 向-制程室提供气体的方法及设备

Country Status (6)

Country Link
US (9) US7186385B2 (zh)
EP (1) EP1529125A2 (zh)
JP (1) JP2005533179A (zh)
KR (1) KR20050029221A (zh)
CN (1) CN1678767A (zh)
WO (1) WO2004007793A2 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101839394A (zh) * 2009-03-19 2010-09-22 气体产品与化学公司 用于高流速真空起泡器容器的防溅器
CN101179005B (zh) * 2006-11-10 2010-10-27 Tes股份有限公司 排气系统及使用此系统制造薄膜的半导体制造装置与方法
CN102365388A (zh) * 2009-04-21 2012-02-29 株式会社堀场Stec 液体原料气化装置
CN102512843A (zh) * 2012-01-04 2012-06-27 天津大学 一种真空升华装置
CN101205604B (zh) * 2006-12-15 2012-07-04 气体产品与化学公司 用于高真空、高流量起泡器器皿的防溅物和进口扩散器
CN106470756A (zh) * 2014-07-03 2017-03-01 应用材料公司 用于自行调节流体化学品输送的设备及方法
CN109881156A (zh) * 2019-04-15 2019-06-14 湖畔光电科技(江苏)有限公司 一种防止材料劣化的蒸发源装置
CN112005800A (zh) * 2020-08-05 2020-12-01 安胜宾 一种低温环境下的农作物防冻害自补气预埋件

Families Citing this family (520)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7732327B2 (en) * 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7524374B2 (en) * 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
JP4264084B2 (ja) * 2003-03-03 2009-05-13 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
JP4714422B2 (ja) * 2003-04-05 2011-06-29 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. ゲルマニウムを含有するフィルムを堆積させる方法、及び蒸気送達装置
KR100724181B1 (ko) * 2003-06-16 2007-05-31 동경 엘렉트론 주식회사 성막 방법, 반도체 장치의 제조 방법, 반도체 장치 및 성막장치
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7547363B2 (en) * 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US7261118B2 (en) * 2003-08-19 2007-08-28 Air Products And Chemicals, Inc. Method and vessel for the delivery of precursor materials
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) * 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
GB0406049D0 (en) * 2004-03-18 2004-04-21 Secr Defence Surface coatings
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
GB0413554D0 (en) * 2004-06-17 2004-07-21 Point 35 Microstructures Ltd Improved method and apparartus for the etching of microstructures
EP2161352B1 (en) 2004-06-28 2014-02-26 Cambridge Nanotech Inc. Vapour trap for atomic layer deposition (ALD)
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7273814B2 (en) * 2005-03-16 2007-09-25 Tokyo Electron Limited Method for forming a ruthenium metal layer on a patterned substrate
JP4922286B2 (ja) * 2005-03-16 2012-04-25 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド イオン注入システム及びフッ素化学物質供給源並びに二フッ化キセノン供給方法
US7351285B2 (en) * 2005-03-29 2008-04-01 Tokyo Electron Limited Method and system for forming a variable thickness seed layer
US7288479B2 (en) * 2005-03-31 2007-10-30 Tokyo Electron Limited Method for forming a barrier/seed layer for copper metallization
US7396766B2 (en) * 2005-03-31 2008-07-08 Tokyo Electron Limited Low-temperature chemical vapor deposition of low-resistivity ruthenium layers
US7402517B2 (en) * 2005-03-31 2008-07-22 Battelle Memorial Institute Method and apparatus for selective deposition of materials to surfaces and substrates
US7485338B2 (en) 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US7345184B2 (en) 2005-03-31 2008-03-18 Tokyo Electron Limited Method and system for refurbishing a metal carbonyl precursor
US20060263415A1 (en) * 2005-05-05 2006-11-23 Sensient Flavors Inc. Production of beta-glucans and mannans
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
KR100697691B1 (ko) * 2005-07-27 2007-03-20 삼성전자주식회사 소스 가스 공급 유닛 및 이를 갖는 화학 기상 증착 장치
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7459395B2 (en) 2005-09-28 2008-12-02 Tokyo Electron Limited Method for purifying a metal carbonyl precursor
WO2007036997A1 (ja) * 2005-09-28 2007-04-05 Tadahiro Ohmi 液体材料供給装置、液体材料供給装置のための制御方法
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
KR100799703B1 (ko) * 2005-10-31 2008-02-01 삼성전자주식회사 막 형성 방법 및 반응 부산물의 제거 방법
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
GB2432371B (en) * 2005-11-17 2011-06-15 Epichem Ltd Improved bubbler for the transportation of substances by a carrier gas
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US7297719B2 (en) * 2006-03-29 2007-11-20 Tokyo Electron Limited Method and integrated system for purifying and delivering a metal carbonyl precursor
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US8951478B2 (en) * 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
CN101473073B (zh) * 2006-04-26 2012-08-08 高级技术材料公司 半导体加工系统的清洁
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
DE102006022534A1 (de) * 2006-05-15 2007-11-22 Aixtron Ag Quellenbehälter einse VPE-Reaktors
DE102006023046B4 (de) * 2006-05-17 2009-02-05 Qimonda Ag Verfahren und Ausgangsmaterial zum Bereitstellen eines gasförmigen Precursors
WO2007139159A1 (ja) * 2006-05-30 2007-12-06 Ube Industries, Ltd. 有機金属化合物の供給装置
KR101019875B1 (ko) * 2006-06-30 2011-03-04 어플라이드 머티어리얼스, 인코포레이티드 나노결정 형성
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US7605078B2 (en) * 2006-09-29 2009-10-20 Tokyo Electron Limited Integration of a variable thickness copper seed layer in copper metallization
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
WO2008045972A2 (en) 2006-10-10 2008-04-17 Asm America, Inc. Precursor delivery system
CN100420657C (zh) * 2006-10-12 2008-09-24 新泰市安泰化工有限责任公司 连续自动混药机、生产粉状硝铵炸药的方法及生产线
US9109287B2 (en) * 2006-10-19 2015-08-18 Air Products And Chemicals, Inc. Solid source container with inlet plenum
EP1916253A1 (en) * 2006-10-26 2008-04-30 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude New group V metal containing precursors and their use for metal containing film deposition
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7955649B2 (en) * 2007-01-17 2011-06-07 Visichem Technology, Ltd. Forming thin films using a resealable vial carrier of amphiphilic molecules
US7833353B2 (en) * 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080276860A1 (en) * 2007-05-10 2008-11-13 Burrows Brian H Cross flow apparatus and method for hydride vapor phase deposition
WO2009025893A2 (en) * 2007-05-18 2009-02-26 The Regents Of The University Of Colorado, A Body Corporate Ultracold-matter systems
US20080289575A1 (en) * 2007-05-24 2008-11-27 Burrows Brian H Methods and apparatus for depositing a group iii-v film using a hydride vapor phase epitaxy process
JP4900110B2 (ja) * 2007-07-20 2012-03-21 東京エレクトロン株式会社 薬液気化タンク及び薬液処理システム
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
EP2065390A1 (en) 2007-11-30 2009-06-03 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal organic compounds containing an amidinate ligand and their use for vapour phase deposition of metal containing thin films
TWI440737B (zh) * 2007-12-21 2014-06-11 Air Liquide 鉭前驅物的低分解儲存
US9034105B2 (en) * 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
TWI619153B (zh) 2008-02-11 2018-03-21 恩特葛瑞斯股份有限公司 在半導體處理系統中離子源之清洗
US8137468B2 (en) * 2008-03-17 2012-03-20 Applied Materials, Inc. Heated valve manifold for ampoule
US8741062B2 (en) 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
KR101589777B1 (ko) 2008-08-01 2016-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 기재 상에 탄탈-함유 층의 형성 방법
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100084117A1 (en) * 2008-10-02 2010-04-08 Fish Roger B Platen cooling mechanism for cryogenic ion implanting
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20100119734A1 (en) * 2008-11-07 2010-05-13 Applied Materials, Inc. Laminar flow in a precursor source canister
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US8944420B2 (en) 2009-03-19 2015-02-03 Air Products And Chemicals, Inc. Splashguard for high flow vacuum bubbler vessel
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2010131363A1 (ja) * 2009-05-15 2010-11-18 富士通株式会社 一酸化炭素ガス発生装置および一酸化炭素ガス発生方法
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8642326B1 (en) * 2009-09-02 2014-02-04 Alan W. Schaefer System for the production and harvesting of algae
US8265465B2 (en) * 2009-10-30 2012-09-11 Ming Jen Hsiao Pebble stone-shaped aroma diffuser
CN102597310B (zh) 2009-11-02 2015-02-04 西格玛-奥吉奇有限责任公司 固态前体输送组件以及相关方法
FR2956412B1 (fr) * 2010-02-16 2012-04-06 Astron Fiamm Safety Vanne d'obturation a volume constant d'une source de depot en phase vapeur
KR101287113B1 (ko) * 2010-06-30 2013-07-17 삼성디스플레이 주식회사 증착 장치용 캐니스터 및 이를 이용한 증착 장치
US8758515B2 (en) * 2010-08-09 2014-06-24 Rohm And Haas Electronic Materials Llc Delivery device and method of use thereof
JP2012248803A (ja) * 2011-05-31 2012-12-13 Hitachi Cable Ltd 金属塩化物ガスの発生装置および金属塩化物ガスの発生方法、並びに、ハイドライド気相成長装置、窒化物半導体ウエハ、窒化物半導体デバイス、窒化物半導体発光ダイオード用ウエハ、窒化物半導体自立基板の製造方法および窒化物半導体結晶
US20120304935A1 (en) * 2011-05-31 2012-12-06 Oosterlaken Theodorus G M Bubbler assembly and method for vapor flow control
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US20130014697A1 (en) * 2011-07-12 2013-01-17 Asm Japan K.K. Container Having Multiple Compartments Containing Liquid Material for Multiple Wafer-Processing Chambers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8728239B2 (en) * 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
US20130089934A1 (en) 2011-10-07 2013-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Material Delivery System and Method
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
TWI480418B (zh) * 2012-01-16 2015-04-11 Air Prod & Chem 用於高流量真空氣泡器容器的防濺器
JP5761067B2 (ja) * 2012-02-13 2015-08-12 東京エレクトロン株式会社 ガス供給装置及び熱処理装置
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
KR101389011B1 (ko) 2012-03-28 2014-04-24 주식회사 유니텍스 소스 컨테이너 및 기상 증착용 반응로
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9598766B2 (en) 2012-05-27 2017-03-21 Air Products And Chemicals, Inc. Vessel with filter
CN109972119A (zh) 2012-05-31 2019-07-05 恩特格里斯公司 基于源试剂的用于批量沉积的高物质通量流体的输送
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
WO2014018740A1 (en) * 2012-07-25 2014-01-30 William Kimmerle Chemical precursor bubbler assembly
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
TWI615497B (zh) 2013-02-28 2018-02-21 應用材料股份有限公司 金屬胺化物沉積前驅物及具有惰性安瓿襯裡之該前驅物的穩定化
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
EP2902394A1 (en) 2014-01-29 2015-08-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Vanadium organic precursors and their use for vapor phase deposition of vanadium containing films
EP2902393A1 (en) 2014-01-29 2015-08-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Novel dithiocarbamate vanadium organic precursors and their use for vapor phase deposition of vanadium containing films
EP2902396A1 (en) 2014-01-30 2015-08-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Novel dithiocarbamate tantalum organic precursors and their use for vapor phase deposition of tantalum containing films
EP2902395A1 (en) 2014-01-30 2015-08-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Tantalum organic precursors and their use for vapor phase deposition of tantalum containing films
EP2902398A1 (en) 2014-01-31 2015-08-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Niobium organic precursors and their use for vapor phase deposition of niobium containing films
EP2902397A1 (en) 2014-01-31 2015-08-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Novel dithiocarbamate niobium organic precursors and their use for vapor phase deposition of niobium containing films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9970108B2 (en) * 2014-08-01 2018-05-15 Lam Research Corporation Systems and methods for vapor delivery in a substrate processing system
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN104455722B (zh) * 2014-11-20 2017-02-22 成都市第三建筑工程公司 半导体制程气体输送管道安装施工方法
JP2016102550A (ja) * 2014-11-28 2016-06-02 株式会社トリケミカル研究所 容器および輸送方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10563305B2 (en) * 2015-05-13 2020-02-18 Versum Materials Us, Llc Container for chemical precursors in a deposition process
US10070483B2 (en) * 2015-06-08 2018-09-04 Shimadzu Corporation Heating control device and heating control method
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
TWI726944B (zh) 2015-12-06 2021-05-11 美商應用材料股份有限公司 用於封閉金屬容器的連續液體位準量測偵測器
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US9869018B2 (en) * 2016-04-26 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Solid precursor delivery method using liquid solvent for thin film deposition
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10557203B2 (en) 2016-12-12 2020-02-11 Applied Materials, Inc. Temperature control system and process for gaseous precursor delivery
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018118082A1 (en) * 2016-12-23 2018-06-28 Intel Corporation Graphitic liners for integrated circuit devices
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7289789B2 (ja) 2017-03-03 2023-06-12 アプライド マテリアルズ インコーポレイテッド アンプルからのフラックスを増加させるための装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102344996B1 (ko) * 2017-08-18 2021-12-30 삼성전자주식회사 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11634812B2 (en) 2018-08-16 2023-04-25 Asm Ip Holding B.V. Solid source sublimator
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN113853449B (zh) * 2019-05-31 2023-10-10 应用材料公司 用于在基板上形成膜的方法及系统
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
KR20220057608A (ko) * 2019-09-18 2022-05-09 도쿄엘렉트론가부시키가이샤 원료 가스 공급 시스템 및 원료 가스 공급 방법
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN110743486A (zh) * 2019-12-06 2020-02-04 东华工程科技股份有限公司 用于草酰胺的合成反应器
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2021257773A1 (en) 2020-06-17 2021-12-23 Applied Materials, Inc. High temperature chemical vapor deposition lid
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
KR102361644B1 (ko) 2020-07-20 2022-02-11 삼성전자주식회사 약액 기화 장치 및 이를 포함하는 기판 처리 장치
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11578406B2 (en) 2020-12-08 2023-02-14 Applied Materials, Inc. Ampoule for a semiconductor manufacturing precursor
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20220411924A1 (en) * 2021-06-28 2022-12-29 Applied Materials, Inc. Ampoule for a semiconductor manufacturing precursor
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI118158B (sv) 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
US3844306A (en) 1973-03-07 1974-10-29 R Hill Gas supply system
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4276243A (en) * 1978-12-08 1981-06-30 Western Electric Company, Inc. Vapor delivery control system and method
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
JPS5635426A (en) 1979-08-31 1981-04-08 Fujitsu Ltd Vapor-phase epitaxial growth device
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
US4369031A (en) * 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
US4817557A (en) 1983-05-23 1989-04-04 Anicon, Inc. Process and apparatus for low pressure chemical vapor deposition of refractory metal
DE3339625A1 (de) 1983-11-02 1985-05-09 Philips Patentverwaltung Gmbh, 2000 Hamburg Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US4717596A (en) * 1985-10-30 1988-01-05 International Business Machines Corporation Method for vacuum vapor deposition with improved mass flow control
US4762651A (en) * 1987-05-26 1988-08-09 Uni-Frac, Inc. Vapor/liquid contact device
JPS62273714A (ja) 1986-05-21 1987-11-27 Clarion Co Ltd 有機金属ガス供給方法および装置
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPH0639357B2 (ja) * 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
JPH0192375A (ja) * 1987-10-05 1989-04-11 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜形成装置
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
FR2628985B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
US4911101A (en) * 1988-07-20 1990-03-27 General Electric Company Metal organic molecular beam epitaxy (MOMBE) apparatus
GB2223509B (en) 1988-10-04 1992-08-05 Stc Plc Vapour phase processing
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5225251A (en) 1989-12-22 1993-07-06 Asea Brown Boveri Aktiengesellschaft Method for forming layers by UV radiation of aluminum nitride
FI86600C (fi) * 1990-04-04 1992-09-25 Outokumpu Oy Saett att blanda ihop vaetska, fastaemne och gas samt att ur vaetskan samtidigt avskilja gas eller gas och fastaemne.
US5098741A (en) * 1990-06-08 1992-03-24 Lam Research Corporation Method and system for delivering liquid reagents to processing vessels
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5221449A (en) * 1990-10-26 1993-06-22 International Business Machines Corporation Method of making Alpha-Ta thin films
WO1992007968A1 (en) * 1990-10-26 1992-05-14 International Business Machines Corporation STRUCTURE AND METHOD OF MAKING ALPHA-Ta IN THIN FILMS
US5178681A (en) 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2703694B2 (ja) 1992-05-28 1998-01-26 信越半導体株式会社 ガス供給装置
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5942089A (en) 1996-04-22 1999-08-24 Northwestern University Method for sputtering compounds on a substrate
JPH06295862A (ja) * 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
DE69312436T2 (de) * 1992-12-15 1998-02-05 Applied Materials Inc Verdampfung von flüssigen Reaktionspartnern für CVD
US5381605A (en) 1993-01-08 1995-01-17 Photonics Research Incorporated Method and apparatus for delivering gas
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5377429A (en) * 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5520969A (en) 1994-02-04 1996-05-28 Applied Materials, Inc. Method for in-situ liquid flow rate estimation and verification
US5630878A (en) * 1994-02-20 1997-05-20 Stec Inc. Liquid material-vaporizing and supplying apparatus
US5492724A (en) * 1994-02-22 1996-02-20 Osram Sylvania Inc. Method for the controlled delivery of vaporized chemical precursor to an LPCVD reactor
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5620524A (en) * 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
JP4356847B2 (ja) * 1995-11-10 2009-11-04 万太郎 矢島 フィールド定義情報生成方法、ならびび、フィールド定義情報生成装置
US6066358A (en) * 1995-11-21 2000-05-23 Applied Materials, Inc. Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US5764849A (en) * 1996-03-27 1998-06-09 Micron Technology, Inc. Solid precursor injector apparatus and method
US5906683A (en) 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6162488A (en) 1996-05-14 2000-12-19 Boston University Method for closed loop control of chemical vapor deposition process
US5674574A (en) 1996-05-20 1997-10-07 Micron Technology, Inc. Vapor delivery system for solid precursors and method regarding same
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5951771A (en) * 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
EP0960219B1 (de) 1996-12-24 2002-06-19 Widia GmbH Verbundkörper, bestehend aus einem hartmetall-, cermet- oder keramiksubstratkörper und verfahren zu seiner herstellung
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5968588A (en) 1997-03-17 1999-10-19 Applied Materials, Inc. In-situ liquid flow rate estimation and verification by sonic flow method
US6026762A (en) * 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6077396A (en) * 1997-05-16 2000-06-20 Lariviere; Christopher J. Apparatus for fluffing and contacting high consistancy wood pulp with a gaseous bleaching reagent
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US5820678A (en) 1997-05-30 1998-10-13 The Regents Of The University Of California Solid source MOCVD system
US5882416A (en) * 1997-06-19 1999-03-16 Advanced Technology Materials, Inc. Liquid delivery system, heater apparatus for liquid delivery system, and vaporizer
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
FI972874A0 (fi) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US5966499A (en) 1997-07-28 1999-10-12 Mks Instruments, Inc. System for delivering a substantially constant vapor flow to a chemical process reactor
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) * 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
FI104383B (fi) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
JP3717657B2 (ja) * 1998-02-25 2005-11-16 三洋電機株式会社 空気調和装置
US6107198A (en) 1998-03-26 2000-08-22 Vanguard International Semiconductor Corporation Ammonium chloride vaporizer cold trap
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6136725A (en) 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
KR100282853B1 (ko) * 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
US6015595A (en) 1998-05-28 2000-01-18 Felts; John T. Multiple source deposition plasma apparatus
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
KR100319888B1 (ko) * 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20010003603A1 (en) 1998-07-28 2001-06-14 Kabushiki Kaisha Toshiba Cvd film formation method and apparatus using molded solid body and the molded solid body
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6139640A (en) 1998-08-12 2000-10-31 Advanced Micro Devices, Inc. Chemical vapor deposition system and method employing a mass flow controller
KR20000022003A (ko) 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
JP3684307B2 (ja) 1998-10-19 2005-08-17 シーケーディ株式会社 ガス供給制御装置
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6143080A (en) 1999-02-02 2000-11-07 Silicon Valley Group Thermal Systems Llc Wafer processing reactor having a gas flow control system and method
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6265311B1 (en) * 1999-04-27 2001-07-24 Tokyo Electron Limited PECVD of TaN films from tantalum halide precursors
US6274195B1 (en) 1999-04-30 2001-08-14 Postech Foundation Organometallic complex process for the preparation thereof and metal organic chemical vapor deposition using same
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
JP2001049434A (ja) 1999-08-10 2001-02-20 Asahi Denka Kogyo Kk TiN膜の形成方法及び電子部品の製造方法
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6328871B1 (en) 1999-08-16 2001-12-11 Applied Materials, Inc. Barrier layer for electroplating processes
US6984415B2 (en) 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
CN1160482C (zh) 1999-08-20 2004-08-04 莫顿国际公司 双烧结扩散器
US6444038B1 (en) * 1999-12-27 2002-09-03 Morton International, Inc. Dual fritted bubbler
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
DE10049257B4 (de) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
JP5173098B2 (ja) 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. ダマシン・メタライゼーションのためのコンフォーマルライニング層
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6548112B1 (en) 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
CA2390465A1 (en) * 1999-11-22 2001-05-31 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100330749B1 (ko) 1999-12-17 2002-04-03 서성기 반도체 박막증착장치
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100705926B1 (ko) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
FI118474B (fi) 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
FI115612B (fi) 1999-12-30 2005-06-15 Outokumpu Oy Laite vaahdotuskoneeseen
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6328221B1 (en) * 2000-02-09 2001-12-11 Moore Epitaxial, Inc. Method for controlling a gas injector in a semiconductor processing reactor
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
US7060132B2 (en) 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
FI117980B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä ohutkalvon kasvattamiseksi alustalle
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
FI118805B (fi) * 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100427423B1 (ko) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
EP2293322A1 (en) * 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
FI20001694A0 (fi) 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
KR100436941B1 (ko) 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
AU2002225761A1 (en) 2000-11-30 2002-06-11 Asm America, Inc. Thin films for magnetic devices
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6548198B2 (en) * 2000-12-15 2003-04-15 Utc Fuel Cells, Llc Compact precooler
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
KR100434487B1 (ko) 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6730267B2 (en) * 2001-02-09 2004-05-04 Cardiovention, Inc. Integrated blood handling system having active gas removal system and methods of use
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20020132473A1 (en) * 2001-03-13 2002-09-19 Applied Materials ,Inc. Integrated barrier layer structure for copper contact level metallization
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6812101B2 (en) 2001-04-02 2004-11-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6561498B2 (en) * 2001-04-09 2003-05-13 Lorex Industries, Inc. Bubbler for use in vapor generation systems
JP4672897B2 (ja) 2001-04-13 2011-04-20 田中貴金属工業株式会社 ビス(シクロペンタジエニル)ルテニウム誘導体の製造方法
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
JP4757403B2 (ja) 2001-06-01 2011-08-24 東京エレクトロン株式会社 固体原料気化装置
JP4680429B2 (ja) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US7105444B2 (en) * 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
WO2003025243A2 (en) 2001-09-14 2003-03-27 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
JP2005528776A (ja) 2001-09-26 2005-09-22 アプライド マテリアルズ インコーポレイテッド バリア層とシード層の一体化
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6797108B2 (en) 2001-10-05 2004-09-28 Applied Materials, Inc. Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
TW512504B (en) * 2001-10-12 2002-12-01 Advanced Semiconductor Eng Package substrate having protruded and recessed side edge
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
WO2003038145A2 (en) 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
TW200300701A (en) * 2001-11-30 2003-06-16 Asml Us Inc High flow rate bubbler system and method
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7063981B2 (en) 2002-01-30 2006-06-20 Asm International N.V. Active pulse monitoring in a chemical reactor
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6778762B1 (en) 2002-04-17 2004-08-17 Novellus Systems, Inc. Sloped chamber top for substrate processing
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
KR100505043B1 (ko) 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7524374B2 (en) * 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6716287B1 (en) * 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US7195026B2 (en) * 2002-12-27 2007-03-27 American Air Liquide, Inc. Micro electromechanical systems for delivering high purity fluids in a chemical delivery system
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6818094B2 (en) 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US20050070126A1 (en) 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US6911093B2 (en) 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
US6881437B2 (en) 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) * 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101179005B (zh) * 2006-11-10 2010-10-27 Tes股份有限公司 排气系统及使用此系统制造薄膜的半导体制造装置与方法
CN101205604B (zh) * 2006-12-15 2012-07-04 气体产品与化学公司 用于高真空、高流量起泡器器皿的防溅物和进口扩散器
CN101839394A (zh) * 2009-03-19 2010-09-22 气体产品与化学公司 用于高流速真空起泡器容器的防溅器
CN101839394B (zh) * 2009-03-19 2012-11-14 气体产品与化学公司 用于高流速真空起泡器容器的防溅器
CN102365388A (zh) * 2009-04-21 2012-02-29 株式会社堀场Stec 液体原料气化装置
CN102512843A (zh) * 2012-01-04 2012-06-27 天津大学 一种真空升华装置
CN106470756A (zh) * 2014-07-03 2017-03-01 应用材料公司 用于自行调节流体化学品输送的设备及方法
CN106470756B (zh) * 2014-07-03 2019-11-05 应用材料公司 用于自行调节流体化学品输送的设备及方法
TWI692544B (zh) * 2014-07-03 2020-05-01 美商應用材料股份有限公司 用於自行調節流體化學品輸送的設備及方法
CN109881156A (zh) * 2019-04-15 2019-06-14 湖畔光电科技(江苏)有限公司 一种防止材料劣化的蒸发源装置
CN112005800A (zh) * 2020-08-05 2020-12-01 安胜宾 一种低温环境下的农作物防冻害自补气预埋件

Also Published As

Publication number Publication date
US20080216743A1 (en) 2008-09-11
US20070110898A1 (en) 2007-05-17
US20040013577A1 (en) 2004-01-22
US7429361B2 (en) 2008-09-30
US20050189072A1 (en) 2005-09-01
US20090011129A1 (en) 2009-01-08
KR20050029221A (ko) 2005-03-24
US7588736B2 (en) 2009-09-15
US20090151633A1 (en) 2009-06-18
US6905541B2 (en) 2005-06-14
US7678194B2 (en) 2010-03-16
JP2005533179A (ja) 2005-11-04
US7597758B2 (en) 2009-10-06
WO2004007793A3 (en) 2004-05-27
WO2004007793A2 (en) 2004-01-22
US20070089817A1 (en) 2007-04-26
US7569191B2 (en) 2009-08-04
EP1529125A2 (en) 2005-05-11
US7186385B2 (en) 2007-03-06
US20060257295A1 (en) 2006-11-16
US7270709B2 (en) 2007-09-18
US20040014320A1 (en) 2004-01-22
US8062422B2 (en) 2011-11-22

Similar Documents

Publication Publication Date Title
CN1678767A (zh) 向-制程室提供气体的方法及设备
KR101104058B1 (ko) 반도체 처리 장치를 위한 프리커서를 생성하는 방법 및장치
US7524374B2 (en) Method and apparatus for generating a precursor for a semiconductor processing system
CN103028270B (zh) 蒸汽递送容器和在容器内提供可汽化源材料的方法
CN1219605A (zh) 用于材料的汽化和供给装置
CN101107384B (zh) 带有布置于平面中的前腔室的气体分配器
US20100119734A1 (en) Laminar flow in a precursor source canister
CN101405433A (zh) 用于减少沉积系统中粒子污染的方法和设备
CN101905126B (zh) 有助于增进气体与汽化材料接触的方法和装置
TW201936961A (zh) 已改進之安瓿噴霧器及容器
JP5583078B2 (ja) 半導体処理システムのための前駆物質を生成する方法及び装置
CN107723681B (zh) 用于半导体工艺的气体喷射器及成膜装置
TW202315970A (zh) 用於半導體製造前驅物的安瓿
KR20220030862A (ko) 싸이클론 방식의 베이퍼라이저
KR20070117734A (ko) 반도체 소자 제조장비용 챔버 가스 발생장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication