CN1695235A - 多孔低介电常数材料的等离子固化方法 - Google Patents

多孔低介电常数材料的等离子固化方法 Download PDF

Info

Publication number
CN1695235A
CN1695235A CNA028178564A CN02817856A CN1695235A CN 1695235 A CN1695235 A CN 1695235A CN A028178564 A CNA028178564 A CN A028178564A CN 02817856 A CN02817856 A CN 02817856A CN 1695235 A CN1695235 A CN 1695235A
Authority
CN
China
Prior art keywords
plasma
dielectric material
porous dielectric
cured
modulus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028178564A
Other languages
English (en)
Other versions
CN100361280C (zh
Inventor
R·奥巴诺
C·巴格隆
I·L·贝瑞三世
J·比勒密尔
P·德姆博斯克
O·埃斯克斯雅
Q·翰
N·斯布罗科
C·瓦尔德福理德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Axcelis Technologies Inc
Original Assignee
Axcelis Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Technologies Inc filed Critical Axcelis Technologies Inc
Publication of CN1695235A publication Critical patent/CN1695235A/zh
Application granted granted Critical
Publication of CN100361280C publication Critical patent/CN100361280C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/126Preparation of silica of undetermined type
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Abstract

具有改进弹性模量和膜硬度的低介电常数多孔材料。这种多孔材料的制造方法包括提供多孔介电材料和等离子固化该多孔介电材料,以生产等离子固化的多孔介电材料。该多孔介电材料的等离子固化得到具有改进模量和硬度,但介电常数较高的材料。弹性模量的改进典型地大于或约100%,和更典型地大于或约200%。膜硬度的改进典型地大于或约50%。在小于约350℃的温度下,等离子固化多孔介电材料约15至约120秒。可非必需地后等离子处理该等离子固化的多孔介电材料。与等离子固化的多孔介电材料相比,等离子固化的多孔介电材料的后等离子处理降低材料的介电常数,同时维持改进的弹性模量和膜硬度。后等离子处理的、等离子固化的多孔介电材料的介电常数为约1.1至约3.5且具有改进的弹性模量和膜硬度。

Description

多孔低介电常数材料的等离子固化方法
本发明一般地涉及在制造半导体芯片中使用的方法。更具体地,本发明涉及用作集成电路(IC)电介质的某些多孔材料的结构性质的改进方法。
正在研究低介电常数的新型材料(在本领域中称为“低k电介质”)在半导体芯片设计中用作绝缘体的可能性。低介电常数的材料能辅助进一步降低集成电路的特征尺寸。具有最低介电常数的物质是空气(k=1.0)。因此,多孔电介质是非常有希望的选择,因为它们具有提供很低介电常数的可能性。遗憾的是,这些多孔低k电介质典型地具有机械强度不足的问题。
电子器件上的薄膜电介质涂层是本领域已知的。例如,Haluska等的美国专利4749631和4756977公开了通过将烷氧化硅或氢倍半硅氧烷分别施涂到基质上、然后加热涂布的基质到200-1000℃的温度而生产的二氧化硅基涂层。对于某些电子器件和电路来说,这些涂层的介电常数常太高。
Haluska等的美国专利4847162和4842888教导了在氨存在下、通过分别加热氢倍半硅氧烷树脂和硅酸酯到200-1000℃的温度来形成氮化的二氧化硅涂层。
Glasser等的Journal of Non-Crystalline Solids,64(1984)pp.209-221教导了在氨存在下、通过加热四乙氧基硅烷来形成陶瓷涂层。该参考文献教导了使用无水氨,和氮化所得的二氧化硅涂层。
Jada的美国专利4636440公开了降低溶胶-凝胶涂布的基质的干燥时间的方法,该方法包括将基质暴露于含水氢氧化季铵和/或烷醇胺化合物。Jada要求在加热之前应当干燥涂层。它特别地限制到水解或部分水解的烷氧化硅。
Chandra等的美国专利5262201和Baney等的美国专利5116637教导了使用碱性催化剂,以降低各种预陶瓷材料(所有这些材料包含氢倍半硅氧烷)转化成陶瓷涂层所需的温度。这些参考文献教导了在将涂层暴露于碱性催化剂之前除去溶剂。
Camilletti等的美国专利5547703教导了在基质上形成含低介电常数Si-O涂层的方法,该方法包括依次在湿氨、干燥氨和氧气下加热氢倍半硅氧烷树脂。所得涂层在1MHz下具有低至2.42的介电常数。该参考文献教导了在将涂层转化陶瓷之前除去溶剂。
Ballance等的美国专利5523163教导了在基质上形成含Si-O涂层的方法,该方法包括加热氢倍半硅氧烷树脂,以将它转化成含Si-O的陶瓷涂层,然后将该涂层暴露于含氢气的退火氛围下。所得涂层具有低至2.773的介电常数。该参考文献教导了在将涂层转化陶瓷之前除去溶剂。
Syktich等的美国专利5618878公开了形成厚的陶瓷涂层可用的涂料组合物,所述组合物含有溶解在饱和烷烃内的氢倍半硅氧烷树脂。所公开的烷烃是一直到十二烷的那些。该参考文献没有教导在除去溶剂之前将涂布的基质暴露于碱性催化剂。
Chung等的标题为°METHOD OF FORMING COATINGS”的美国专利6231989公开了制造低介电常数的多孔网状涂层的方法。该方法包括采用含至少2个Si-H基团的树脂和溶剂的溶液,在基质上沉积涂层,其方式使得在沉积之后至少5体积%的溶剂残留在涂层内。然后将该涂层暴露于含碱性催化剂和水的环境下。最后,从涂层中蒸发溶剂,形成多孔网络。视需要,可通过加热来固化涂层,从而形成陶瓷。由该方法制造的膜具有在1.5-2.4范围内的介电常数以及在约2-3GPa的弹性模量。
正如在标题为°PLASAMA CURING PROCESS FOR POROUS SILICA THINFILM”的美国专利申请序列09/681332中所述,代替热固化并等离子处理,可等离子固化由含至少2个Si-H基团的树脂生产的多孔网状涂层,从而省去对预先的炉内固化的需要。该文献在此引入以为参考。
然而,仍需要制造具有改进的结构性能如改进的弹性模量、同时没有牺牲或劣化它的电性能的多孔低k材料的方法。
本发明通过提供生产具有低介电常数和改进弹性模量的材料的方法,满足了该需要。该方法包括提供具有第一介电常数、具有第一弹性模量和具有第一膜硬度的多孔介电材料,其中该多孔介电材料不是多孔的甲基倍半硅氧烷基介电材料。“基倍半硅氧烷基介电材料”,我们指包括100%甲基倍半硅氧烷树脂的介电材料,而不是混合的甲基倍半硅氧烷/氢倍半硅氧烷介电材料或包括小于100%甲基倍半硅氧烷树脂的任何其它混合介电材料。等离子固化多孔介电材料,以产生具有第二介电常数、具有第二弹性模量和具有第二膜硬度的等离子固化的多孔介电材料,其中所述第二介电常数与第一介电常数相当或大于它,所述第二弹性模量大于第一弹性模量,和所述第二膜硬度大于第一膜硬度。“相当”,我们指等于和略微小于,如第二介电常数比第一介电常数小0.05。弹性模量的增加典型地大于或约100%,和更典型地大于或约200%。
可非必需地后等离子(post-plasma)处理等离子固化的多孔介电材料,以提供具有第三介电常数、具有第三弹性模量和具有第三膜硬度的后等离子处理的、等离子固化的多孔介电材料。与后等离子处理之前的弹性模量和膜硬度相比,等离子固化的多孔介电材料的后等离子处理有时降低材料的介电常数,同时维持弹性模量和膜硬度的增加。
因此,本发明的目的是生产具有改进的弹性模量和材料硬度以及低介电常数的多孔介电材料。
本发明基于发现:等离子实际上固化任何多孔介电材料,而不需要热来固化材料,这增加材料的弹性模量(杨氏模量)和材料硬度,同时维持其低介电常数性能。多孔介电材料可包括但不限于氢倍半硅氧烷(HSQ)介电材料、混合的HSQ/甲基倍半硅氧烷(MSQ)介电材料、有机介电材料、无机介电材料及其组合,这些材料可通过旋涂或化学蒸气沉积(CVD)方法来生产。多孔介电材料可具有孔隙原生成的孔、溶剂基孔、或分子工程孔,这些孔可无规或有序地分布,如垂直孔。
对于一些材料,等离子固化可在多孔介电材料内生成显著量的极性物质,这在一些应用中不是所需的。本发明还基于发现:施加热、UV或第二等离子处理可除去这些生成的极性物质,从而导致具有低介电常数和具有相同或进一步改进的弹性模量和膜硬度的材料。
本发明方法尤其适合于在电子器件或电子电路上沉积涂层,它们可在此充当中间介电层、掺杂介电层以生产晶体管类器件,含硅的负载颜料的体系以生产电容器和电容器类器件、多层器件、3-D器件、在绝缘体器件上的硅、超晶格器件等等。然而,要通过本发明涂布的基质和器件的选择仅受限于在本发明所使用的温度和压力下基质的热和化学稳定性的需要。如此,可在基质如塑料,其中包括例如聚酰亚胺、环氧化物、聚四氟乙烯及其共聚物、聚碳酸酯、丙烯酸和聚酯、陶瓷、皮革、纺织品、金属等上使用本发明的多孔介电材料。
本发明所使用的表达“陶瓷”包括陶瓷如无定形二氧化硅,和并不是完全不含碳和/或氢但在特性上是陶瓷的陶瓷类材料如无定形二氧化硅类材料。表达“电子器件”或“电子电路”包括但不限于二氧化硅基器件、砷化镓基器件、碳化硅基器件、焦面阵、光电器件、光电池和光学器件。
需要多孔介电材料作为本发明的起始材料。用于本发明的典型HSQ-基介电材料包括获自Dow Corning Corporation(Midland,MI)的FOx HSQ-基介电材料和XLK多孔HSQ-基介电材料。另外,通过旋涂工艺制造的用于本发明的典型超低k多孔介电MSQ-基材料可获自ChematTechnology,Inc.(Northridge,CA)和JSR Corporation(Tokyo,日本)。
用于本发明的典型多孔介电材料的生产是本领域公知的。制造这种多孔介电材料的一种方法是在美国专利6231989中公开的多孔网状涂层,因它教导了如何生产具有超低介电常数的多孔介电材料,因而在此通过参考将其引入。该专利公开了介电常数介于约1.5至约2.4的超低介电常数涂层的制造,其中孔被引入到HSQ-基膜内。根据美国专利6231989中教导的方法生产的HSQ-基膜(它已在热条件下固化)含有约20一约60%的Si-H键密度。当涂层的介电常数为约2时,涂层的弹性模量为约2至约3GPa。
提供生产多孔网状涂层的下述方法作为生产典型多孔介电材料的实例。本发明者的意图不是将本发明限制仅仅HSQ-基膜。本发明的方法适合于实际上任何多孔介电材料。
生产HSQ-基多孔网状涂层的方法起始于采用包括含至少2个Si-H基团的树脂和溶剂的溶液,在基质上沉积涂层。并不特别限制含至少2个Si-H基团的树脂,只要Si-H键可借助碱性催化剂和水而水解且至少部分缩合以形成交联网络即可,其中所述交联网络充当多孔网络的结构.一般地,这种材料具有式:
{R3SiO1/2}a{R2SiO2/2}b{RSiO3/2}c{SiO4/2}d其中各R独立地选自H、烷基、链烯基或芳基,或用杂原子如卤素、氮、硫、氧或硅取代的烷基、链烯基或芳基,和a,b,c与d是特定单元的摩尔分数,和其总和为1,条件是每个分子中至少2个R是H,和材料在结构上是充分树脂的,以便形成所需网络。烷基的实例是甲基、乙基、丙基和丁基等,其中1-6个碳的烷基是典型的。链烯基的实例包括乙烯基、烯丙基和己烯基。芳基的实例包括苯基。取代基团的实例包括CF3(CF2)nCH2CH2,其中n=0-6。
本发明可用的是被称为氢倍半硅氧烷的各种氢化硅氧烷树脂,它包括式HSi(OH)x(OR’)yOz/2的单元。在该式中,各R’独立地选自烷基、链烯基或芳基,或用杂原子如卤素、氮、硫、氧或硅取代的烷基、链烯基或芳基。烷基的实例是甲基、乙基、丙基和丁基等,其中1-6个碳的烷基是典型的。链烯基的实例包括乙烯基、烯丙基和己烯基。芳基的实例包括苯基。取代基团的实例包括CF3(CF2)nCH2CH2,其中n=0-6。当这些R’基团通过氧原子键合到硅上时,它们形成可水解的取代基。在上式中,x=0-2,y=0-2,z=1-3,和x+y+z=3。这些树脂可以是基本上完全缩合的(HSiO3/2)n,其中n为8或更大,或它们可仅仅部分水解(即含有一些Si-OR’),和/或部分缩合的(即含有一些Si-OH)。
并不限制含有至少2个Si-H基团的树脂的结构。该结构可以是通常称为梯形、笼形或其混合物的那些。HSQ树脂可含有诸如羟基、三有机甲硅烷氧基、二有机氢甲硅烷氧基、三烷氧基、二烷氧基之类的端基。HSQ树脂也可含有少量(例如小于10%)的硅原子,所述硅原子可具有连接到其上的0或2个氢原子,和/或少量的Si-C基团,如CH3SiO3/2或HCH3SiO2/2基团。
含有至少2个Si-H基团的树脂及其制备方法是本领域已知的。例如,Collins等的美国专利3615272教导了通过包括在苯磺酸水合物水解介质中水解三氯硅烷,然后用水或含水硫酸洗涤所得树脂的方法来生产基本上完全缩合的氢倍半硅氧烷树脂(它可含有最多100-300ppm的硅烷醇)。类似地,Bank等的美国专利5010159教导了包括在芳基磺酸水合物水解介质中水解氢化硅烷,形成树脂,然后将该树脂与中和剂接触的方法。
其它氢化硅氧烷树脂,如在Weiss等的美国专利4999397和Saive等的美国专利5210160中所述的那些,通过在酸性、醇水解介质中水解烷氧基或酰氧基硅烷而生产的那些,在日本Kokai专利59-178749、60-86017和63-107122中所述的那些,或任何其它等价的氢化硅氧烷,在此处也有效。
也可使用含Si-H的树脂的特定分子量部分。在Hanneman等的美国专利5063267和Mine等的美国专利5416190中教导了这些部分及其制备方法。典型的部分包括其中至少75%的聚合物物质具有高于约1200的数均分子量的材料,和更典型的部分包括其中至少75%的聚合物物质具有介于约1200至约100000的数均分子量的材料。
含Si-H的树脂可含有其它组分,只要这些组分不干扰涂层的完整性即可。然而,应当注意,一些材料可能增加涂层的介电常数。
陶瓷氧化物前体也可与含Si-H的树脂组合使用。此处可用的陶瓷氧化物前体包括各种金属如铝、钛、锆、钽、铌和/或钒的化合物,以及各种非金属的化合物,如硼或磷的那些化合物,它们可溶于溶液、水解,和随后在相对低温下热解,形成陶瓷氧化物。在Haluska等的美国专利4808653和5008320和Sasaki的5290394中公开了此处可用的陶瓷氧化物前体。
将含Si-H的树脂以溶剂分散液的形式施涂到基质上,在基质上形成涂层(“Si-H树脂涂层”)。可使用的溶剂包括将溶解或分散树脂以形成均匀的液体混合物、同时不影响所得涂层或基质的任何试剂或试剂的混合物。这些溶剂可包括醇,如乙醇或异丙醇;芳烃,如苯或甲苯;支链或直链烷烃,如正庚烷、十二烷或壬烷;支链或直链烯烃,如正庚烯、十二碳烯或十四碳烯;酮,如甲基异丁基酮;酯;醚,如二元醇醚;或直链或环状硅氧烷,如六甲基二硅氧烷、八甲基二硅氧烷,及其混合物,或环状二甲基聚硅氧烷;或任何上述溶剂的混合物。溶剂的存在量通常足以溶解/分散树脂到应用所需的浓度。典型地,溶剂的存在量为约10-约99.9wt%,和更典型地约70-约95wt%,基于树脂和溶剂的重量。
视需要,可在树脂分散液中包括其它材料。例如,分散液包括填料、着色剂、粘合促进剂等等。
将树脂分散液施涂到基质上的具体方法包括但不限于旋涂、浸涂、喷涂、流涂、筛网印刷或其它。典型的方法是旋涂。
至少约5体积%的溶剂应当残留在Si-H树脂涂层内,一直到树脂与碱性催化剂和水接触。由于Si-H键水解和缩合,该溶剂形成多孔网状涂层的孔。在一些实施方案中,可以典型地至少约10体积%的溶剂残留,而在其它实施方案中,可典型地至少约15体积%的溶剂残留,和在进一步的其它实施方案中,可典型地至少约25体积%的溶剂残留。
并不特别限制保留溶剂的方法。在典型的实施方案中,可单独使用高沸点溶剂,或作为共溶剂将高沸点溶剂与以上所述的溶剂之一一起使用。按照这一方式,在通常条件下加工以上所述的树脂分散液提供至少约5%的残留溶剂保留。该实施方案中的典型的高沸点溶剂是沸点高于约175℃的那些,其中包括烃、芳烃、酯、醚等。可在该实施方案中使用的具体溶剂的实例包括饱和烃,如十二烷、十四烷、十六烷等;不饱和烃,如十二碳烯、十四碳烯等;二甲苯、、1-庚醇、二戊烯、d-苧烯、四氢糠醇、溶剂油、2-辛醇、斯陶大溶剂、Isopar HTM、草酸二乙酯、二戊基醚、四氢吡喃-2-甲醇、乳酸丁酯、异辛醇、丙二醇、二丙二醇单甲醚、二乙二醇二乙醚、二甲亚砜、2,5-己二酮、2-丁氧基乙醇乙酸酯、二乙二醇单甲醚、1-辛醇、乙二醇、I sopar LTM、二丙二醇单甲醚乙酸酯、二乙二醇单乙醚、N-甲基吡咯烷酮、乙二醇二丁醚、γ-丁内酯、1,3-丁二醇、二乙二醇单甲醚乙酸酯、亚丙基二醇、三乙二醇二甲醚、二乙二醇单乙醚乙酸酯、α-萜品醇、正己醚、煤油、2-(2-正丁氧基乙氧基)乙醇、草酸二丁酯、碳酸亚丙酯、丙二醇单苯醚、二乙二醇、儿茶酚、二乙二醇单丁醚乙酸酯、乙二醇单苯醚、二乙二醇二丁醚、二苯醚、乙二醇单苄醚、氢醌、环丁砜和三甘醇。特别优选烃溶剂。
在与碱性催化剂和水接触之前,在抑制溶剂蒸发的环境下可进行以上加工(即主要沉积SiH树脂涂层溶液)。例如,可在密闭的环境下进行旋涂,以便在溶剂完全蒸发之前,可进行随后的步骤(即与碱性催化剂和水接触)。
然后,含至少约5体积%溶剂的SiH树脂涂层与碱性催化剂和水接触。碱性催化剂的实例包括氨、氢氧化铵以及胺。此处可用的胺可包括伯胺(RNH2)、仲胺(R2NH)和/或叔胺(R3N),其中R独立地为饱和或不饱和脂族基团,如甲基、乙基、丙基、乙烯基、烯丙基、乙炔基等;脂环族基团,如环己基甲基;芳族基团,如苯基;取代的杂原子,如氧、氮、硫等;或其中氮原子是杂环原子的化合物,如喹啉、吡咯烷或吡啶。另外,可用其它烃和/或含杂原子的基团取代任何上述胺化合物,形成诸如二胺、酰胺等化合物。最后,同样认为,在所采用的反应条件下转化成胺的化合物将以相同的方式起作用。例如,诸如铵盐之类化合物在溶解时会产生胺,它将提供所需的催化效果。
可在此处使用的胺的实例包括甲胺、乙胺、丁胺、烯丙基胺、环己胺、苯胺、二甲胺、二乙基酰胺、二辛基胺、二丁基胺、甲乙胺、糖精、哌啶三甲胺、三乙胺、吡啶、二乙基甲苯胺(toluidene)、乙基甲基丙基胺、咪唑、醋酸胆碱、三苯基磷杂环戊二烯苯胺、三甲基甲硅烷基咪唑、乙二胺、二乙基羟胺、三乙二胺、正甲基吡咯烷酮等。
可通常在足以催化Si-H键水解的任何浓度下使用碱性催化剂。一般地,取决于碱性催化剂,碱性催化剂的浓度可以是约1ppm-约100wt%,基于树脂重量。
所使用的水可以是在周围环境(例如>约25%相对湿度)中存在的水,周围环境可被补充额外的水蒸气(例如相对温度最多约100%),水可以以液体形式使用,或可使用在反应条件下生成水的化合物。
可通过任何实用或所需的手段来实现SiH树脂涂层与碱性催化剂和水的接触。例如,SiH树脂涂层可与碱性催化剂蒸气和水蒸气接触。或者,例如通过将涂层浸渍在氢氧化铵溶液内,使SiH树脂涂层可与处于液体的碱性催化剂和水接触。
将SiH树脂涂层典型地暴露于含蒸气状态下的碱性催化剂和水的环境中,更典型地暴露于氨和水蒸气下。例如,可将SiH树脂涂布的基质放置在容器中和向其中引入的适当环境,或碱性催化剂和水的气流可指向SiH树脂涂层。
在本发明的实施方案中,生成碱性催化剂和水环境所使用的方法通常不重要。诸如将碱性催化剂(例如氨气)鼓泡通过水或氢氧化铵溶液(以便控制所存在的水蒸气量)、加热碱性催化剂和水,或加热水并引入碱性催化剂气体(例如氨气)的方法在此全部有效。同样认为,就地生成碱性催化剂蒸气的方法,如将水加入到胺盐中,或将水加入到硅氮烷如六甲基二硅氮烷中也是有效的。
所使用的碱性催化剂可以在所需的任何浓度下。例如,浓度可以是约1ppm一直到饱和气氛。
暴露可以在从室温一直到约300℃的所需任何温度下。在约20℃-约200℃范围内的温度是典型的,其中约20℃-约100℃的范围更典型。
应当将SiH树脂涂层暴露于碱性催化剂和水环境下,其暴露时间足以水解Si-H基团以形成硅烷醇(Si-OH)和硅烷醇至少部分缩合形成Si-O-Si键。一般地,最多20分钟的暴露是典型的,其中至少约1秒一直到约5分钟的暴露更典型。若涂层将用作介电层,则通常典型地具有较短的暴露时间,因为较长的暴露时间倾向于增加涂层的介电常数。
当涂层暴露于液态的碱性催化剂和水时,通常通过将涂布的基质浸渍在溶液内来进行暴露。可使用其它等价的方法,如用碱性催化剂和水溶液吹扫涂层。另外,也可使用真空渗透,以增加碱性催化剂和水渗透入涂层内。
在该实施方案中使用的碱性催化剂溶液可以在所需的任何浓度下。一般地,当使用氢氧化铵时,约28至约30%的浓水溶液是典型的,因为暴露的持续时间因此而缩短。当使用稀溶液时,稀释剂通常是水。
在该实施方案中,可在所需的任何温度和压力下进行碱性催化剂和水的暴露。约室温(20-30℃)一直到接近碱性催化剂溶液的沸点的温度,和低于到高于大气压的压力在此全部被考虑。从实用的观点看,典型地在约室温和接近大气压下进行暴露。
在该实施方案中,将树脂涂层暴露于碱性催化剂溶液中,其暴露时间足以水解Si-H基团以形成硅烷醇(Si-OH)和硅烷醇至少部分缩合形成Si-O-Si键。一般地,最多约2小时的暴露是典型的,其中至少约1秒一直到约15分钟的暴露更典型。
或者,可将涂层暴露于液态碱性催化剂和水环境(例如氢氧化铵)和气态碱性催化剂和水蒸汽环境(氨气和水蒸气)这二者下。暴露可按序或者同时进行,和通常在与以上所述的相同条件下暴露。
在树脂暴露于上述环境之一之后,接着从涂层中除去溶剂。这可通过任何所需的手段来实现,其中包括但不限于加热涂层和借助真空。当通过加热涂层来除去溶剂时,可促进残留硅烷醇的缩合。
通过该方法生产的涂层可用作本发明的起始材料(“多孔网状涂层”)。在生产多孔网状涂层的典型方法中,用含Si-H的树脂和溶剂涂布基质,其方式确保至少约5体积%的溶剂残留在涂层内。然后将涂层暴露于碱性催化剂和水,并蒸发溶剂。
制造这一多孔网状涂层的另一方法是热固化含大烷基的硅氧烷树脂,和热分解所述烷基,以在涂层内产生孔隙。如Zhong的美国专利6143360和6184260中所述(在此通过参考引入),在含铂族金属的硅氢化催化剂存在下,使含氢化硅(hydridosilicon)的树脂与含约8-约28个碳原子的1-链烯烃接触,从而进行烷基氢化硅氧烷树脂的形成(其中烷基氢化硅氧烷树脂的至少约5%的硅原子被至少一个氢原子取代),和在足以进行树脂固化和由硅原子热分解烷基的温度下加热所得树脂,从而形成纳米多孔有机硅树脂。
Zhong等的美国专利6232424、6359096和6313045和美国专利申请序列号425901(在此通过参考引入)公开了有机硅树脂和由该有机硅树脂制造的多孔涂层。由包括15-70mol%四烷氧基硅烷、12-60mol%式R’SiX3所示的有机硅烷(其中R’是H或含1-6个碳原子的烷基)和15-70mol%式R”Si(OR)3所示的有机三烷氧基硅烷(其中R”是包括约8-24个碳原子的烃基或含约8-24个碳原子的烃链的取代烃基)的混合物制造有机硅树脂。
Zhong的标题为“SILICONE RESINS AND POROUS MATERIALSPRODUCED THEREFROM”、2001年9月12日申请的美国专利申请序列号09/951819公开了由具有通式(R1SiO3/2)x(HSiO3/2)y(其中R1是具有8-24个碳原子的烷基)的有机硅树脂制造的多孔涂层,在此通过参考将其引入。其中所生产的涂层的介电常数为1.5至2.3。以上作为参考的专利申请进一步描述了由式(R1SiO3/2)x(HSiO3/2)y的树脂两步制造的多孔低k介电涂层,其中R是3,7,11,15-四甲基-3-羟基-十六烷基。
Zhong的标题为“SILICONE RESINS AND POROUS MATERIALSPRODUCED THEREFROM”、2001年9月12日申请的美国专利申请序列号09/951899公开了由具有通式(R1SiO3/2)u(HSiO3/2)v(SiO4/2)w(HOSiO3/2)z(其中R1是具有8-24个碳原子且在烷基链的侧位上含有至少一个吸电子基团的支链烷基;u的值为0.1-0.7;v的值为0.12-0.6;z≥0.5;w+z的值为0.15-0.7;和u+v+w+z=1)的有机硅树脂制造的多孔涂层,在此通过参考将其引入。
步骤1.根据以上作为参考的美国专利申请的表1中所述的用量,通过结合以下所述的组分(A),(B),(C),(D),(E)和(F),来制备树脂样品:
(A)0.45摩尔份三乙氧基硅烷,
(B)0.25摩尔份有机三乙氧基硅烷,RSi(OR’)3,其中R是3,7,11,15-四甲基-3-羟基十六烷基,
(C)0.30摩尔份四乙氧基硅烷,和
(D)甲基异丁基酮(MIBK)和异丁酸异丁酯的混合物(6∶4重量比),足以制备9%的所得树脂浓度。
向该混合物中加入如以上作为参考的美国专利申请的表1中所述用量的(E)水和(F)氯化氢的混合物。在60℃下,减压汽提所得反应产物中的挥发物,直到含固量变为14-21%。加入异丁酸异丁酯,使含固量为14%。然后将溶液加热回流2小时,并连续除去生成的水。然后通过汽提掉异丁酸异丁酯并加入环己酮,将溶剂变化为环己酮。
步骤2.在适合于介电常数测量的硅片上旋涂所得树脂溶液,并在440℃下,在氮气流中固化1小时。测量到介电常数为1.9。或者,可采用等离子和/或UV辅助的工艺促进旋涂膜的固化。
Albaugh等的美国专利申请序列号09/915899(在此通过参考将其引入)公开了由含(RSiO3/2)(R’SiO3/2)(R”SiO3/2)树脂的树脂制造的多孔涂层,其中R是具有1-5个碳原子的烷基或氢原子,R’是支链烷氧基和R”是具有6-30个碳原子的取代或未取代的直链、支链或环状单价有机基团。
Albaugh等的美国专利申请序列号09/915902和Boisvert等的09/915903(在此通过参考将其引入)公开了由式TRTR’的树脂制造的多孔涂层,其中R是甲基或氢基团,和R’是支链烷氧基。
尽管具有低介电常数的多孔介电材料是所需的,但具有较高弹性模量和膜硬度的多孔介电材料是有利的。
为了提高多孔介电材料的弹性模量,将它暴露于等离子固化。可通过射频(RF)、电感耦合的、RF电容耦合的、螺旋形谐振器、微波下游(microwave downstream)、和微波电子回旋共振(ECR)等离子进行等离子固化。等离子固化方法改进多孔低k介电材料的机械性能,从而增加材料硬度,同时维持介电孔、结构、密度和电性能。
在典型的等离子固化方法中,在快速温度骤升步骤中快速加热晶片到所需温度,并等离子固化晶片。
等离子固化的确切条件取决于所使用的等离子固化为何种类型。典型地,在约1Torr至约10Torr的压力下等离子固化多孔介电材料。以下示出了200mm和300mm晶片的典型微波等离子固化条件的实例。
  条件   200mm体系   300mm体系
  微波等离子功率   500W-3000W   500W-3000W
  晶片温度   80-350℃   80-350℃
  处理压力   1.0Torr-4.0Torr   1.0Torr-5.0Torr
  等离子固化时间   <120秒   <120秒
  等离子气体   H2/N2/CF4/O2   H2/N2/CF4/O2
  N2H2流速   >0-4000sccm   >0-10000sccm
  O2流速   >0-4000sccm   >0-10000sccm
  CF4流速   >0-400sccm   >0-1000sccm
与炉内(热)固化的多孔介电材料相比,等离子固化的多孔介电材料的弹性模量和膜硬度增加。炉内固化的弹性模量为约0.5GPa至约3.5GPa,当介电常数为1.6至2.4时。弹性模量的增加典型地大于或约100%,和更典型地大于或约200%。典型地,等离子固化的多孔介电材料的弹性模量大于或约2.5GPa,和更典型地介于约2.5GPa至约10GPa。炉内固化的多孔膜的膜硬度为约0.1GPa,和膜硬度的增加典型地大于或约50%。典型地,等离子固化的多孔介电材料的膜硬度大于或约0.25GPa,和更典型地介于约0.25GPa至0.8GPa。
本发明等离子固化的多孔介电材料具有改进的化学稳定性和改进的尺寸稳定性。改进的化学稳定性是指在光刻胶灰化和干燥蚀刻工艺过程中,多孔介电材料更耐化学品,如清洗溶液和化学抛光溶液,和等离子损坏。
另外,等离子固化显著降低或消除低聚聚二氧化硅和其它物质从多孔膜中的气体释放。然而,对于一些低k材料,等离子固化可在膜内生成显著量的极性物质。
视需要,可非必需地使用任何类型的热和/或UV和/或等离子暴露,来后等离子处理等离子固化的多孔介电材料,以降低介电常数和/或进一步增加弹性模量和膜硬度。例如,可通过例如在约400℃至约450℃的温度下将等离子固化的多孔介电材料放置在常规的烘箱内约30至约60分钟,直到极性物质被除去,而使该材料退火。使该材料退火的替代方法包括在快速退火加工(RAP)室中使等离子固化的多孔介电材料退火,以便降低介电常数。在典型的温度下使等离子固化的多孔介电材料退火足够的时间,并冷却到约100℃。然而,在一些应用中,RAP可能不是必须的。
以下示出了RAP工艺的典型操作条件。
骤变速度:15-150℃/秒
晶片温度:150-450℃
退火时间:<120秒
处理压力:大气压
可使用的第三种类型的后等离子处理包括在高温下将等离子固化的多孔介电材料暴露于UV辐射下。在典型的后等离子UV工艺中,采用UV辐射器,所述UV辐射器首先用氮气或氩气吹扫,使得UV辐射以最小的光谱吸收进入处理室。独立地吹扫处理室,和对于不同的应用,可使用诸如O2,N2,H2,Ar,He,CxHy、空气之类的处理气体及其混合物。可根据应用选择具有不同光谱分布的UV发生灯泡。可控制晶片温度范围从室温到450℃,和处理压力可小于、大于或等于大气压。
以下示出了200mm晶片的典型后等离子UV处理条件的实例。
UV功率:0mW-320mW
晶片温度:100-450℃
处理压力:<,>或=大气压
UV固化时间:<300秒
吹扫气体:H2/N2/CxHy/O2
可使用的第四种类型的后等离子处理包括在高温下将等离子固化的多孔介电材料暴露于第二等离子条件。在典型的等离子辅助的后等离子处理中,对于不同的应用,可使用诸如O2,N2,H2,Ar,He,CxHy、含氟气体之类的处理气体及其混合物。可控制晶片温度范围从室温到450℃。典型地,在约1Torr至约10Torr的处理压力下,等离子处理该等离子固化的多孔介电材料。以下示出了200mm和300mm晶片的典型等离子辅助的后等离子处理条件的实例。
  条件   200mm体系   300mm体系
  微波等离子功率   500W-3000W   500W-3000W
  晶片温度   80-350℃   80-350℃
  处理压力   1.0Torr-3.0Torr   1.0Torr-4.0Torr
  等离子处理时间   <90秒   <90秒
  等离子气体   H2/N2/CF4/O2/Ar/He/CxHy   H2/N2/CF4/O2/Ar/He/CxHy
  N2H2流速   >0-4000sccm   >0-10000sccm
  O2流速   >0-4000sccm   >0-10000sccm
  CF4流速   >0-400sccm   >0-1000sccm
  Ar流速   >0-4000sccm   >0-10000sccm
  He流速   >0-4000sccm   >0-10000sccm
与等离子固化的多孔介电材料相比,后等离子处理的、等离子固化的多孔介电材料的介电常数降低。后等离子处理的、等离子固化的多孔介电材料的介电常数典型地为约1.1至约3.5,和更典型地为约1.8至约2.4。
对于一些应用,希望利用等离子部分转化多孔低k膜。部分转化工艺使得可控制多孔低k膜的材料性能,如杨氏模量、膜硬度、疏水性和介电常数以及多孔低k膜的Si-H、Si-OH和/或Si-CH3含量。通过利用不同的等离子固化条件,如时间、压力、温度和等离子气体组成,来实现不同的部分转化条件。对于HSQ-基多孔低k膜来说,典型的部分等离子转化工艺导致Si-H含量介于0%至小于或约70%,和更典型地介于约1%至约30%的膜。
为了更容易地理解本发明,参考下述实施例,这些实施例用来阐述本发明,而不是限制本发明。
实施例1
等离子固化获自Dow Corning Corporat ion(Mildland,MI)的、组成为约5%MSQ树脂和95%HSQ树脂的多孔低k介电材料。利用AxceilsFusion(ES)下游等离子灰化器,但它并不限制到本发明的等离子固化的应用。在200mm的晶片形工具(wafer platform tool)中,等离子固化的典型工艺条件是形成2000-3000sccm的气流,0-100sccm的CF4流,1.0-4.0Torr的压力,500-3000W的微波功率,和150-300℃的温度。
在400-450℃的温度范围下,在氮气环境中,进行最多120秒的快速退火处理(RAP)步骤。由(i)厚度范围为4000-15000埃的表面多孔低k薄膜,和(ii)构图的多孔低k结构获得了成功的等离子固化结果。
下表1示出了已经等离子固化的多孔低k介电常数膜的典型材料性能。
表1:5%MSQ/95%HSQ多孔低k材料的等离子处理条件和材料特性
  时间   温度   压力   功率   FG   CF4
  分钟   285   p   X   2000   CF4
  90   285   p   1800   2000   CF4
       厚度数据           反射率
  处理条件   p   CF4  RAP前  RAP后   Δ   RAP前   RAP后   Δ   E(GPa) 接触角(°)
  1   3.5   100  4312  3909   -403   1.193   1.195   0.002   8.2 97
  2   4   100  4237  3936   -301   1.193   1.198   0.005   7.1 109
  3   3   10  4311  3649   -662   1.190   1.241   0.051   12.5 69
实施例2
等离子固化获自Dow Corning Corporation(Mildland,MI)的用孔隙原方法合成的含无机-有机杂化树脂的多孔低k介电材料。利用Axcelis Fusion(ES)下游等离子灰化器,但它并不限制到本发明的等离子固化的应用。在200mm的晶片形工具中,等离子固化的典型工艺条件范围是形成2000-3000sccm的气流,0-100sccm的CF4流,1.0-4.0Torr的压力,500-3000W的微波功率,和150-300℃的温度。
由厚度约12000埃的表面多孔低k薄膜获得成功的等离子固化结果。下表2示出了已经等离子固化的多孔低介电常数膜的典型材料性能。
表2:无机-有机杂化孔隙原生成的多孔低k材料的等离子处理条件和材料特性
  时间   温度   压力   功率   FG   CF4
  分钟   T   p   X   3000   10
  60   T   p   1800   3000   10
      厚度数据         反射率
  处理条件   p   T   等离子前   等离子后   等离子前   等离子后   k   E(GPa)   接触角(°)
  1   1   210   11953   10424   1.223   1.218   2.04   2.0   72
  2   3   210   11905   9783   1.223   1.209   2.35   2.5   61
  3   1   180   11878   10760   1.224   1.193   1.95   2.0   59
  4   3   180   11902   9991   1.225   1.207   2.35   2.3   54
  对照   1.95   0.9   89
实施例3
膜的随后退火可进一步增加弹性模量和膜硬度。测试不同的退火条件,包括(i)在450℃下快速退火处理(RAP)2分钟,(ii)在200℃下加热炉退火30分钟,和(iii)在400℃下炉内退火30分钟。下表3示出了已经等离子固化和退火的多孔低介电常数膜的典型材料性能。
表3
            RAP之前   RAP之后
  样片   处理   厚度   R.I.   模量   硬度   厚度   R.I.   模量   硬度   k
  1   200℃下30分钟   9331   1.248   2.4   0.26   10176   1.2012   2.6   0.32   2.436
  2   400℃下30分钟   9445   1.240   2.5   0.30   8365   1.2771   3.1   0.34   1.951
  3   450℃下2分钟   9516   1.239   2.4   0.29   8853   1.2418   3.2   0.33   1.990
实施例4
采用不同的部分转化条件,等离子固化获自Dow CorningCorporation(Midland,MI)的多孔HSQ-树脂基低k电介质。利用Axcelis Fusion(ES)下游等离子灰化器,但并不限制到本发明的等离子固化的应用。在300mm的晶片形工具中,等离子固化的典型工艺条件范围是形成4000-8000sccm的气流,0-500sccm的CF4流,1.0-5.0Torr的压力,500-3000W的微波功率,和150-300℃的温度。
在400-450℃的温度范围下,在氮气环境中,进行最多120秒的快速退火处理(RAP)步骤。由(i)厚度范围为4000-15000埃的表面多孔低k薄膜,和(ii)构图的多孔低k结构获得成功的部分转化的等离子固化结果。
下表4示出了已经等离子固化的多孔低介电常数膜的典型材料性能。
表4:HSQ多孔低k材料的等离子部分转化处理条件和材料特性
  时间   温度   压力   功率   3%H2/N2   CF4   后等离子处理
  分钟   T   4.5   X   5000   250   RTP:450℃,2分钟,N2吹扫DCC体系
  时间   T   4.5   1500   5000   250
  时间   温度  模量   等离子之后的k   退火之后的k   SiH
  试验   (s)   (℃)  (GPa)   (%)
  A   40   190  3.4   2.6   2.52   13
  B   50   190  4.2   2.36   2.25   5.5
  C   60   190  4.6   2.47   2.29   0.93
  D   40   210  4.1   2.47   2.47   12.5
  E   50   210  4.7   2.36   2.46   6.4
  F   60   210  5.4   2.36   2.32   1.25
  G   40   230  4.9   2.48   2.52   11.4
  H   50   230  6   2.53   2.54   6.39
  I   60   230  6.5   2.44   2.41   1.81
尽管为了阐述本发明的目的示出了一些代表性实施方案和细节,但对本领域的技术人员来说,显而易见的是可在没有脱离本发明范围的情况下,可对此处公开的组合物和方法作出各种变化,本发明的范围由所附的权利要求定义。

Claims (30)

1.制造等离子固化材料的方法,包括:
提供具有第一介电常数、具有第一弹性模量和具有第一膜硬度的多孔介电材料,其中该多孔介电材料不是多孔的甲基倍半硅氧烷基介电材料;和
等离子固化该多孔介电材料,以生产具有第二介电常数、具有第二弹性模量和具有第二膜硬度的等离子固化的多孔介电材料,其中所述第二介电常数与第一介电常数相当或大于它,所述第二弹性模量大于第一弹性模量,和所述第二膜硬度大于第一膜硬度。
2.权利要求1的方法,其中所述多孔介电材料选自氢倍半硅氧烷介电材料、混合的氢倍半硅氧烷/甲基倍半硅氧烷介电材料、有机介电材料、无机介电材料或其组合。
3.权利要求1的方法,其中通过旋涂方法或化学气相沉积方法来生产所述多孔介电材料。
4.权利要求1的方法,其中所述多孔介电材料选自孔隙原生成的多孔介电材料、溶剂基多孔介电材料或分子工程的多孔介电材料或其组合。
5.权利要求1的方法,其中在小于或约350℃的温度下,等离子固化所述多孔介电材料。
6.权利要求1的方法,其中在约1Torr至约10Torr的处理压力下,等离子固化所述多孔介电材料。
7.权利要求1的方法,其中等离子固化所述多孔介电材料约15至约120秒。
8.权利要求1的方法,其中用等离子气体等离子固化所述多孔介电材料。
9.权利要求8的方法,其中等离子气体选自H2等离子气体、N2等离子气体、含氟等离子气体、O2等离子气体或其组合。
10.权利要求1的方法,其中多孔介电材料的第一弹性模量和等离子固化的多孔介电材料的第二弹性模量间的弹性模量的增加为大于或约100%。
11.权利要求1的方法,其中等离子固化的多孔介电材料的第二弹性模量为大于或约2.5GPa。
12.权利要求1的方法,其中多孔介电材料的第一膜硬度和等离子固化的多孔介电材料的第二膜硬度间的膜硬度增加为大于或约50%。
13.权利要求1的方法,其中等离子固化的多孔介电材料的第二膜硬度为大于或约0.25GPa。
14.权利要求1的方法,进一步包括后等离子处理等离子固化的多孔介电材料,以提供具有第三介电常数、具有第三弹性模量和具有第三膜硬度的后等离子处理的、等离子固化的多孔介电材料,其中所述第三介电常数小于或等于第二介电常数,所述第三弹性模量与第二弹性模量相当或大于它,和所述第三膜硬度与第二膜硬度相当或大于它。
15.权利要求14的方法,其中后等离子处理的、等离子固化的多孔介电材料的第三介电常数为约1.1至约3.5。
16.权利要求14的方法,其中后等离子处理是退火。
17.权利要求16的方法,其中在小于或约450℃的温度下使等离子固化的多孔介电材料退火。
18.权利要求16的方法,其中使等离子固化的多孔介电材料退火不超过或约60分钟。
19.权利要求14的方法,其中后等离子处理是通过在高温下将等离子固化的多孔介电材料暴露于UV辐射的UV处理。
20.权利要求19的方法,其中在约0至约1000mW/cm2的UV功率下UV处理等离子固化的多孔介电材料。
21.权利要求19的方法,其中在约100℃至约300℃的温度下UV处理等离子固化的多孔介电材料。
22.权利要求19的方法,其中UV处理等离子固化的多孔介电材料不超过或约300秒。
23.权利要求19的方法,其中采用气体吹扫来UV处理等离子固化的多孔介电材料,其中所述气体选自H2、N2、O2、Ar、He、CxHy、空气或其组合。
24.权利要求14的方法,其中后等离子处理是通过在高温下将等离子固化的多孔介电材料暴露于第二等离子条件下的等离子处理。
25.权利要求24的方法,其中在约500W至约3000W的等离子功率下,等离子处理等离子固化的多孔介电材料。
26.权利要求24的方法,其中在约100℃至约450℃下等离子处理等离子固化的多孔介电材料。
27.权利要求24的方法,其中等离子处理等离子固化的多孔介电材料不超过或约90秒。
28.权利要求24的方法,其中在约1Torr至约10Torr的处理压力下等离子处理等离子固化的多孔介电材料。
29.权利要求24的方法,其中采用等离子气体等离子处理等离子固化的多孔介电材料,其中所述等离子气体选自O2、N2、H2、Ar、He、CxHy、含氟气体或其组合。
30.等离子固化的多孔介电材料,其介电常数为约1.1至约3.5,和其弹性模量比非等离子固化的多孔介电材料大约100至约300%。
CNB028178564A 2001-09-14 2002-08-16 多孔低介电常数材料的等离子固化方法 Expired - Fee Related CN100361280C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/952,649 US6913796B2 (en) 2000-03-20 2001-09-14 Plasma curing process for porous low-k materials
US09/952,649 2001-09-14

Publications (2)

Publication Number Publication Date
CN1695235A true CN1695235A (zh) 2005-11-09
CN100361280C CN100361280C (zh) 2008-01-09

Family

ID=25493106

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028178564A Expired - Fee Related CN100361280C (zh) 2001-09-14 2002-08-16 多孔低介电常数材料的等离子固化方法

Country Status (6)

Country Link
US (1) US6913796B2 (zh)
EP (1) EP1428253A1 (zh)
JP (1) JP2005503672A (zh)
KR (1) KR20040064691A (zh)
CN (1) CN100361280C (zh)
WO (1) WO2003025993A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102347206A (zh) * 2010-07-29 2012-02-08 中芯国际集成电路制造(上海)有限公司 用于制作半导体器件的方法
CN105990226A (zh) * 2015-02-16 2016-10-05 中芯国际集成电路制造(上海)有限公司 一种互连结构的制作方法、半导体器件及电子装置

Families Citing this family (390)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7011868B2 (en) 2000-03-20 2006-03-14 Axcelis Technologies, Inc. Fluorine-free plasma curing process for porous low-k materials
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6936309B2 (en) * 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US6734118B2 (en) * 2002-09-23 2004-05-11 Intel Corporation Dielectric material treatment
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6838300B2 (en) 2003-02-04 2005-01-04 Texas Instruments Incorporated Chemical treatment of low-k dielectric films
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
KR100645682B1 (ko) * 2003-04-17 2006-11-13 주식회사 엘지화학 유기실록산 수지 및 이를 이용한 절연막
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
DE10343411B4 (de) * 2003-09-19 2009-07-23 Gallus Druckmaschinen Gmbh Rotationsdruckmaschine und Verfahren zum freien Zugänglichmachen eines Druckzylinders oder eines Linearführungs-Zylinders
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US20050196974A1 (en) * 2004-03-02 2005-09-08 Weigel Scott J. Compositions for preparing low dielectric materials containing solvents
US7094713B1 (en) * 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US7622400B1 (en) * 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7994069B2 (en) * 2005-03-31 2011-08-09 Freescale Semiconductor, Inc. Semiconductor wafer with low-K dielectric layer and process for fabrication thereof
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
WO2006135369A1 (en) * 2005-06-10 2006-12-21 Axcelis Technologies, Inc. Ultraviolet assisted propgen removal and/or curing processes for forming porous low k dielectrics
WO2007020878A1 (ja) * 2005-08-12 2007-02-22 Mitsui Chemicals, Inc. 多孔質シリカの製造方法および製造装置
US20090263648A1 (en) * 2005-10-19 2009-10-22 Mitsuo Saitoh Method of forming metal oxide film, metal oxide film and optical electronic device
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
CL2009000560A1 (es) 2008-03-11 2010-02-19 Univ Duke Un metodo para endurecer un medio endurecible por radiacion que comprende colocar una composicion dentro de un objeto para ser endurecido, la aplicacion de al menos uno elegido entre rayos x, rayos gama o haz de electrones a traves del objeto y dentro de la composicion.
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100151206A1 (en) * 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110006406A1 (en) * 2009-07-08 2011-01-13 Imec Fabrication of porogen residues free and mechanically robust low-k materials
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
GB201110117D0 (en) * 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8551892B2 (en) * 2011-07-27 2013-10-08 Asm Japan K.K. Method for reducing dielectric constant of film using direct plasma of hydrogen
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
CN104314841B (zh) * 2014-10-16 2016-05-11 泸州北方化学工业有限公司 一种用于有机硅单体生产的液环真空泵工艺
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102328108B1 (ko) 2015-05-08 2021-11-17 삼성전자주식회사 배선 구조물, 배선 구조물의 형성 방법 및 반도체 장치의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3615272A (en) * 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
JPS59178749A (ja) 1983-03-30 1984-10-11 Fujitsu Ltd 配線構造体
JPS6086017A (ja) * 1983-10-17 1985-05-15 Fujitsu Ltd ポリハイドロジエンシルセスキオキサンの製法
US4636440A (en) * 1985-10-28 1987-01-13 Manville Corporation Novel process for coating substrates with glass-like films and coated substrates
JPS63107122A (ja) 1986-10-24 1988-05-12 Fujitsu Ltd 凹凸基板の平坦化方法
US4822697A (en) * 1986-12-03 1989-04-18 Dow Corning Corporation Platinum and rhodium catalysis of low temperature formation multilayer ceramics
US4756977A (en) * 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4749631B1 (en) * 1986-12-04 1993-03-23 Multilayer ceramics from silicate esters
US4808653A (en) * 1986-12-04 1989-02-28 Dow Corning Corporation Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors
US5008320A (en) * 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4847162A (en) * 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
US4842888A (en) * 1988-04-07 1989-06-27 Dow Corning Corporation Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors
DE3834088A1 (de) * 1988-10-07 1990-04-12 Basf Ag Verfahren zum herstellen von homopolymerisaten des ethens sowie copolymerisaten des ethens mit hoeheren (alpha)-monoolefinen mittels eines ziegler-katalysatorsystems
US4999397A (en) * 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
JPH0832304B2 (ja) * 1989-08-18 1996-03-29 株式会社日立製作所 無機ポリマ薄膜の形成方法
US5010159A (en) * 1989-09-01 1991-04-23 Dow Corning Corporation Process for the synthesis of soluble, condensed hydridosilicon resins containing low levels of silanol
US5262201A (en) * 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
US5116637A (en) * 1990-06-04 1992-05-26 Dow Corning Corporation Amine catalysts for the low temperature conversion of silica precursors to silica
US5063267A (en) * 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
JP2795002B2 (ja) * 1991-09-19 1998-09-10 日本電気株式会社 HgCdTe薄膜の製造方法
JP3153367B2 (ja) * 1992-11-24 2001-04-09 ダウ・コ−ニング・コ−ポレ−ション ポリハイドロジェンシルセスキオキサンの分子量分別方法
US5441765A (en) * 1993-09-22 1995-08-15 Dow Corning Corporation Method of forming Si-O containing coatings
US5855953A (en) * 1994-03-31 1999-01-05 The Regents, University Of California Aerogel composites and method of manufacture
US5547703A (en) * 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5618878A (en) * 1995-04-07 1997-04-08 Dow Corning Corporation Hydrogen silsesquioxane resin coating composition
AU6973296A (en) * 1995-09-12 1997-04-01 Gelest, Inc. Beta-substituted organosilsesquioxanes and use thereof
US5961851A (en) * 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
US5935646A (en) * 1996-08-23 1999-08-10 Gas Research Institute Molecular sieving silica membrane fabrication process
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
JP3226021B2 (ja) * 1997-09-02 2001-11-05 日本電気株式会社 半導体装置の製造方法
US5866945A (en) * 1997-10-16 1999-02-02 Advanced Micro Devices Borderless vias with HSQ gap filled patterned metal layers
US6149987A (en) * 1998-04-07 2000-11-21 Applied Materials, Inc. Method for depositing low dielectric constant oxide films
US6284050B1 (en) * 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6231989B1 (en) * 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
JP3204316B2 (ja) * 1998-12-28 2001-09-04 日本電気株式会社 半導体装置の製造方法
US6159842A (en) * 1999-01-11 2000-12-12 Taiwan Semiconductor Manufacturing Company Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections
JP3084367B1 (ja) 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
US6156743A (en) * 1999-10-18 2000-12-05 Whitcomb; John E. Method of decreasing fatigue
US6232424B1 (en) * 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6313045B1 (en) * 1999-12-13 2001-11-06 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants and method for preparation
US6359096B1 (en) * 1999-10-25 2002-03-19 Dow Corning Corporation Silicone resin compositions having good solution solubility and stability
US6143360A (en) * 1999-12-13 2000-11-07 Dow Corning Corporation Method for making nanoporous silicone resins from alkylydridosiloxane resins
JP2001223269A (ja) * 2000-02-10 2001-08-17 Nec Corp 半導体装置およびその製造方法
US6759098B2 (en) * 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
US6576300B1 (en) 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
EP1189267B1 (en) 2000-04-03 2012-05-23 Ulvac, Inc. Method for preparing porous sio2 film
JP2001291427A (ja) * 2000-04-06 2001-10-19 Dow Corning Toray Silicone Co Ltd 電気絶縁性薄膜形成性樹脂組成物、および電気絶縁性薄膜の形成方法
US6287979B1 (en) * 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
CN1100162C (zh) * 2000-09-26 2003-01-29 复旦大学 低介电常数绝缘介质α-SiCOF薄膜及其制备方法
US6979654B2 (en) * 2001-07-03 2005-12-27 United Microelectronics Corp. Method of avoiding dielectric layer deterioation with a low dielectric constant during a stripping process
JP2004296476A (ja) * 2003-03-25 2004-10-21 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102347206A (zh) * 2010-07-29 2012-02-08 中芯国际集成电路制造(上海)有限公司 用于制作半导体器件的方法
CN102347206B (zh) * 2010-07-29 2014-01-15 中芯国际集成电路制造(上海)有限公司 用于制作半导体器件的方法
CN105990226A (zh) * 2015-02-16 2016-10-05 中芯国际集成电路制造(上海)有限公司 一种互连结构的制作方法、半导体器件及电子装置
CN105990226B (zh) * 2015-02-16 2019-04-09 中芯国际集成电路制造(上海)有限公司 一种互连结构的制作方法、半导体器件及电子装置

Also Published As

Publication number Publication date
JP2005503672A (ja) 2005-02-03
US6913796B2 (en) 2005-07-05
US20020106500A1 (en) 2002-08-08
KR20040064691A (ko) 2004-07-19
WO2003025993A1 (en) 2003-03-27
CN100361280C (zh) 2008-01-09
EP1428253A1 (en) 2004-06-16

Similar Documents

Publication Publication Date Title
CN100361280C (zh) 多孔低介电常数材料的等离子固化方法
JP4374567B2 (ja) 多孔性低誘電率材料のための紫外線硬化処理
US6451436B1 (en) Coating liquid for forming a silica-containing film with a low-dielectric constant and substrate coated with such a film
EP1026213B1 (en) Coating fluid for forming low-permittivity silica-based coating film and substrate with low-permittivity coating film
US6759133B2 (en) High modulus, low dielectric constant coatings
US7381441B2 (en) Low metal porous silica dielectric for integral circuit applications
US20040058090A1 (en) Low temperature UV pretreating of porous low-k materials
CN1852772A (zh) 制备高密度低介电常数材料的紫外线固化方法
JP5030478B2 (ja) 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
US20060220253A1 (en) Porous film, composition and manufacturing method, interlayer dielectric film, and semiconductor device
US20050173803A1 (en) Interlayer adhesion promoter for low k materials
US20070178319A1 (en) Composition for forming porous film, porous film and method for forming the same, interlevel insulator film, and semiconductor device
KR20030034054A (ko) 다공성 실리카 박막을 제조하기 위한 플라즈마 가공
JP2008010877A (ja) 還元性雰囲気下における絶縁膜の硬化
CN1839468A (zh) 使用甲硅烷基化剂修复低k介电材料的损伤
US20030157267A1 (en) Fluorine-free plasma curing process for porous low-k materials
US6930393B2 (en) Composition for forming porous film, porous film and method for forming the same, interlayer insulator film, and semiconductor device
US7332446B2 (en) Composition for forming porous film, porous film and method for forming the same, interlevel insulator film and semiconductor device
JP4422643B2 (ja) 多孔質フィルムの製造方法ならびに層間絶縁膜、半導体材料および半導体装置
JP4261297B2 (ja) 多孔質フィルムの改質方法、改質された多孔質フィルム及びその用途
JP2003342411A (ja) 多孔質ナノコンポジット薄膜及びその形成方法
CN1739190A (zh) 多孔Low-K材料的无氟等离子体固化方法
KR101064336B1 (ko) 실릴화제를 이용한 저-k 유전물질로의 손상 보수

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee