CN1716576A - 形成半导体结构的方法以及半导体结构 - Google Patents

形成半导体结构的方法以及半导体结构 Download PDF

Info

Publication number
CN1716576A
CN1716576A CNA2005100789761A CN200510078976A CN1716576A CN 1716576 A CN1716576 A CN 1716576A CN A2005100789761 A CNA2005100789761 A CN A2005100789761A CN 200510078976 A CN200510078976 A CN 200510078976A CN 1716576 A CN1716576 A CN 1716576A
Authority
CN
China
Prior art keywords
layer
semiconductor
strain
stress
island
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005100789761A
Other languages
English (en)
Other versions
CN100380633C (zh
Inventor
斯蒂芬·W.·贝德尔
安东尼·G.·多梅尼库奇
基思·E.·弗格尔
埃芬迪·莱奥班顿
德温德拉·K.·萨达纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1716576A publication Critical patent/CN1716576A/zh
Application granted granted Critical
Publication of CN100380633C publication Critical patent/CN100380633C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02502Layer structure consisting of two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02694Controlling the interface between substrate and epitaxial layer, e.g. by ion implantation followed by annealing

Abstract

一种形成半导体结构的方法,包括在一个绝缘层上提供一个第一应变半导体层,其中第一应变半导体层相对较薄(小于约500埃)且具有低缺陷密度(堆垛层错和螺缺陷)。本发明的方法开始于形成一个应力提供层,例如在一个结构上的一个SiGe合金层,该结构包括位于一个绝缘层顶上的第一半导体层。然后图形化应力提供层和第一半导体层成为至少一个岛,以及由此含有至少一个岛的结构加热到能导致应变从应力提供层转移到第一半导体层的温度。应变转移后,从该结构中去除应力提供层,以直接在所述绝缘层顶上形成第一应变半导体岛状层。

Description

形成半导体结构的方法以及半导体结构
相关申请
本申请涉及共同未决以及共同转让美国专利申请系列No.10/300189,提交于2002年11月20日,题目“用于应变Si CMOS应用的弛豫的低缺陷SGOI”。前述的美国专利申请的全部内容在此引用作为参考。
技术领域
本发明涉及制造半导体结构的方法,该结构可以用作高性能互补金属氧化物半导体(CMOS)器件的衬底,以及更具体而言涉及在绝缘层上产生第一应变半导体层的方法。更具体而言,本发明提供形成绝缘体上应变半导体(strained semiconductor-on-insulator:SSOI)衬底材料的方法。
背景技术
在半导体工业中,使用应变Si基异质结来得到高载流子迁移率结构,以用于互补金属氧化物半导体(CMOS)应用,近来已经有高水平的行动了。传统地,为促进NFET和PFET器件的性能,实现它的现有技术已经在一个厚(在约1-约5微米量级)弛豫的SiGe缓冲层上生长应变Si层。
尽管对现有技术的异质结报道有很高的沟道电子迁移率,使用厚SiGe缓冲层具有几个显著的缺点。首先,厚SiGe缓冲层典型地与现有的Si基CMOS技术不易集成。其次,包括螺位错(TD)和错配位错(MD)的缺陷密度约106-约108缺陷/cm2,这对实际的VLSI(超大规模集成)应用来说仍然是太高。第三,现有技术的结构特性阻碍了选择生长SiGe缓冲层,使得使用应变Si,不应变Si和SiGe材料的器件制作的电路,很难以及在一些情况下几乎不可能集成。
考虑到上面提到方法的缺点,即现有技术制造应变Si基异质结的方法,其中一层弛豫的SiGe合金层保持在结构中,存在开发一个新的和改进的方法的需要,该方法能允许我们制造一个应变Si基异质结,而保持用于标准(例如无应变)Si技术的标准CMOS处理过程。具体地,需要一个新方法,允许制造一个绝缘衬底上的应变半导体(SSOI),其中应变半导体层直接位于一个绝缘层顶上。
发明内容
本发明提供了一种方法,用于制造一个薄的(小于500埃)第一半导体层,它以张或压的方式在一个绝缘层上机械地应变,而该绝缘层在半导体衬底上。具体地,本发明的方法允许形成一个绝缘体上应变半导体(SSOI)异质结,而在最终结构中不存在SiGe。
本发明的方法利用了半导体衬底的掩埋绝缘层的粘弹性质,该层包括至少一个位于掩埋绝缘层顶上的第一半导体层,当它被加热到高温时。最初,一个应力提供层,例如一个应变的SiGe合金层,应变的SiN层,应变的SiC层或任何其它可以在压或张应变下形成的层,形成在衬底的第一半导体层的一个表面上。应力提供层在本发明的工艺中可以处于张或压应力状态下。然后使用标准的光刻和刻蚀技术将应力提供层和第一半导体层图形化为小岛。然后进行高温退火步骤通过当前粘性的掩埋绝缘层上的下层第一半导体层的膨胀,以允许应力提供层弹性弛豫。在平衡或大约平衡时,应力提供层中应力(压或张)引起的平面内力通过应力(压或张)所平衡,当第一半导体层在掩埋绝缘层上膨胀时,该应力已经被转移到下层第一半导体层。
本发明的退火步骤以这样的方式进行,使得Ge扩散进第一半导体层的量降到最低。退火步骤之后,在低于掩埋绝缘层的回流温度的温度下选择地去除应力提供层。在本发明中可以通过掺杂不同的元素,在一定程度上进行控制掩埋绝缘层的回流温度。例如,硼可以用作一种掺杂剂以降低掩埋绝缘层的回流温度。去除应力提供层后,剩下的是一个在衬底的掩埋绝缘层顶部的薄应变(张或压)第一半导体层(小于500埃)。
就较宽方面来说,本发明的方法还可以称作粘弹应变转移(Visco-Elastic Strain Transfer:VEST),包括步骤:
在包括第一半导体层的一个结构上形成一个应力提供层,所述第一半导体层位于一个绝缘层顶部;
图形化所述应力提供层和所述第一半导体层形成至少一个岛;
加热包括所述至少一个岛的结构,温度到能导致应变从应力提供层转移到第一半导体层;以及
去除应力提供层以直接在所述绝缘层顶上形成第一应变半导体岛状层。
在本发明的一些实施例中,上述的VEST方法可以修改使得形成一个绝缘体上应变半导体(SSOI),通过提供含有不同应力提供层例如SiGe/Si或SiN/Si的岛,使得具有选择的应变值(正和负)。
除了上述的VEST方法,本发明还提供了一个绝缘体上应变半导体(SSOI)异质结,其中至少一个薄的(小于500埃)应变第一半导体岛状层位于一个掩埋绝缘层顶部,应变的第一半导体岛状层具有低的堆垛层错(SF)缺陷密度(小于约1000个SF缺陷/cm2)和低的螺位错缺陷密度(小于约106TD/cm2量级)。
附图说明
图1A-1D是示出基本工艺步骤的图片表示(通过截面图),用于本发明制造在一个绝缘层顶上的第一应变半导体层。
图2是示出一个绝缘体上应变半导体(SSOI)的图片表示(通过截面图),含有使用本发明一个可选实施例的方法形成的选择应变值(正或负)。
具体实施方式
本发明提供了一个制造一个绝缘体上应变半导体(SSOI)异质结和低缺陷密度(SF和TD)SSOI异质结的方法,现在将参考伴随本申请的附图更详细地描述。附图没有按比例画出,它们的提供仅用于示例的目的,图中的相同和/或相应单元根据相同的参考数字描述。
VEST工艺开始于首先提供一个例如如图1A所示的层状结构10。层状结构10包括一个半导体衬底12,在含有一个应力提供层20位于它的一个上表面上。半导体衬底12包括一个底半导体层14,一个掩埋绝缘层16和一个顶半导体层18(在下文称为第一半导体层)。
在图1描绘的实施例中,掩埋绝缘层16目前持续通过整个结构。在此未示出的另一个实施例中,掩埋绝缘层16作为分立和隔离区或岛而存在,它们由半导体材料即层14和18包围。
第一半导体层18包括任何在其上可以被赋予应变的半导体材料。用于第一半导体层18的半导体材料的实例包括,但并不局限于:Si,SiC,SiGe,SiGeC,Ge,GaAs,InAs,InP或其它III/V或II/V族化合物半导体。优选地,第一半导体层18是一个含Si半导体材料,例如Si,SiC,SiGe,或SiGeC。甚至更优选地,半导体衬底12的第一半导体层18包括Si或SiGe。第一半导体层18是一个单晶材料,典型含有错配和TD密度小于约1×105缺陷/cm2
如图1A所示的层状结构10的掩埋绝缘层16包括能高度抵抗Ge扩散的任何材料。这种隔绝和Ge扩散阻挡材料的实例包括,但并不局限于:晶态或非晶态氧化物或氮化物。在一个优选实施例中,掩埋绝缘层16是一种氧化物例如SiO2
衬底12的底半导体层14包括与第一半导体层18的半导体材料相同或不同的任何半导体材料。
半导体衬底12可以是一个传统的绝缘体上硅(SOI)衬底材料,其中区16是一个掩埋氧化物(BOX),把第一半导体层18和底半导体12电学隔离。SOI衬底可以使用传统SIMOX(注氧隔离)工艺形成,这对本领域的技术人员是熟知的,以及共同转让美国专利申请系列号09/861593,提交于2001年5月21日;09/861594,提交于2001年5月21日,现今美国专利No.6486037;09/861590,提交于2001年5月21日,现今美国专利No.6602757;09/861596,提交于2001年5月21日,现今美国专利No.6541356;以及09/884670,提交于2001年6月19日,以及美国专利No.5930634,授予Sadana等,提到的各种SIMOX工艺,每个的整个内容在此引用作为参考。注意公开于‘590申请中的工艺可以在这里使用以制作一个图形化的衬底。
作为选择,半导体衬底12可以使用其它传统工艺制作,包括例如使用热键合和切割的一个层转移工艺。除了形成SOI衬底的这些方法以外,半导体衬底12可以是一个非SOI衬底,使用传统的淀积工艺和光刻及刻蚀(当制作一个图形化衬底时使用)制作。具体地,当使用非SOI衬底时,初始结构的形成是通过在一个半导体衬底顶上淀积一个绝缘层,使用传统淀积或热生长工艺,使用传统光刻和刻蚀选择地图形化该绝缘层,以及由此在绝缘层顶上形成第一半导体层,通过传统淀积工艺包括:例如化学气相沉积(CVD),等离子体辅助CVD,溅射,蒸发,化学溶液沉积或外延生长。
半导体衬底12的各层厚度可以依赖于使用制作它们的工艺而改变。然而典型地,第一半导体层18具有小于约500埃的厚度,更典型为约50-约450埃的厚度。关于掩埋绝缘层16,该层可以具有约200-约20000埃的厚度,更典型为约500-约5000埃的厚度。底半导体层14的厚度对本发明不重要。注意上面提供的厚度是示例性的决不限制本发明的范围。
然后一个应力提供层20形成在上表面的顶上,即半导体衬底12的第一半导体层18的顶上。应力提供层20包括任何材料,该材料适合于将一个应力引入到下层第一半导体层18。应变可以为压应变或张应变,这依赖于淀积的材料类型以及第一半导体层18中存在的材料的类型。可以用作层20的应力提供材料的实例包括,但并不局限于:含Ge材料,例如纯Ge或含高达99.99原子百分比Ge的SiGe合金层,SiN,SiC和硅酸盐玻璃例如掺硼磷的硅酸盐(BPSG)。在一些优选实施例中,应力提供层20包括一种含Ge材料,尤其是一个SiGe合金,而在其它实施例中应力提供层20包括SiN。
应力提供层20可以使用外延生长工艺形成,例如包括低压化学气相沉积(LPCVD),超高真空化学气相沉积(UHVCVD),常压化学气相沉积(APCVD),分子束外延(MBE)和等离子体增强化学气相沉积(PECVD)。
应力提供层20的厚度依赖于形成它的材料类型和方法而改变。典型地,应力提供层20具有大于下层第一半导体层18的厚度。应力提供层20的一个示例厚度的范围是约200-约20000埃,更典型厚度的范围是约300-约5000埃。
提供了图1A所示的层状结构10后,然后图1A所示的结构可以进行一个可选的离子注入步骤,其中注入适合于控制掩埋绝缘层16回流温度的掺杂剂。注入可以使用或不使用一个注入掩模进行。
在本发明该处可以注入的掺杂剂类型包括B,Al,P,Sb,As,Cs,Na和/或F。使用峰值掺杂剂浓度基本上位于掩埋绝缘层16中的条件,进行掺杂剂的注入。
本发明的注入步骤在大约室温的温度下进行,即温度约283K-约303K,使用的束流密度约0.01-约1微安/cm2。掺杂剂的浓度可以依赖于使用的掺杂剂改变。然而典型地,在本发明该处注入的掺杂剂浓度低于1017cm-2,更优选的离子浓度为约1014-约1016cm-2。该注入的能量也依赖于注入的掺杂剂类型改变,附带条件是注入能量必须适合于定位离子基本上在掩埋绝缘层16中。例如,当使用硼作为注入种类时,用于保证硼基本上注入进掩埋绝缘层16中的能量约10-约200keV,更优选的能量约20-约150keV。
在另一个实施例中,高能离子选择地注入图1A所示的层状结构10中,使得高能离子注入或接近第一半导体层18和掩埋绝缘层16之间的界面。高能离子的注入可以单独进行或结合掺杂剂注入。它可以在注入掺杂剂之前或之后出现。高能离子的注入用作通过降低将应变从层20转移到层18所需的热聚积,降低Ge从含Ge层的应力提供层20的扩散。氢,氘,氧,氖和它们的混合物可以用作降低将应变从层20转移到层18所需的热聚积。相信在掩埋绝缘层16/第一半导体层18界面上的损伤易于岛的横向膨胀,由此降低用于应力转移的温度和/或时间。预计在此也可以使用前述高能离子的同位素。用于本发明注入的优选离子是氢离子(H+)。注意其它氢的核素例如H2 +在此也可以预计使用。
本发明在或接近第一半导体层18和掩埋绝缘层16之间的界面处的可选注入步骤在大约室温下进行,即温度约283K-约303K,使用的束流密度约0.01-约1微安/cm2。掺杂剂的浓度可以依赖于使用的掺杂剂改变。然而典型地,在本发明该处注入的掺杂剂浓度低于3E16cm-2,更优选的离子浓度为约1E16-约2.99E16cm-2。该注入的能量也依赖于注入的掺杂剂类型改变,附带条件是注入能量必须适合于定位离子基本上在或接近第一半导体/掩埋绝缘层界面。例如,当使用氢作为注入离子时,使用的能量约1-约100keV,更优选的能量约3-约20keV。
注意可以使用上述的一个注入步骤,可以使用两个注入步骤(以任何次序)或不使用任何注入步骤。
然后,层状结构10,尤其是应力提供层20和第一半导体层18进行图形化,使得形成至少一个岛22,包括在掩埋绝缘层16表面上的一个应力提供/第一半导体双层。结果结构包括至少一个岛22,例如图1B所示。
图形化使用传统光刻和刻蚀进行。光刻步骤包括在应力提供层20表面上形成一层光刻胶(未示出),将光刻胶暴露于一个辐射图形下,并使用传统光刻胶显影剂对光刻胶显影。刻蚀步骤包括任何传统刻蚀工艺,例如包括干法刻蚀工艺,例如反应离子刻蚀,离子束刻蚀,等离子体刻蚀或激光烧蚀;使用一种化学刻蚀剂的湿法刻蚀工艺;或它们的任意组合。可以使用单步刻蚀或多步刻蚀。图形化的光刻胶可以在将图形转移到至少应力提供层20中之前去除,或者图形化的光刻胶在完成刻蚀后去除。去除图形化的光刻胶由传统的光刻胶剥离工艺得到。
注意尽管图中描绘了一个单岛结构22,本发明还预计在掩埋绝缘层16表面上形成多个这样的岛结构22。每个岛22一般尺寸较小,具有约500μm的横向宽度。更优地,岛22具有约0.01-约100μm的横向宽度。应该注意由本发明形成的岛22的宽度必须足够允许应力提供层20的弛豫,通过保证岛22中弛豫的力要超过反弛豫的力。
然后,进行高温退火工艺,这将允许应变从应力提供层20转移到第一半导体层18。高温退火步骤完成后的结果结构示于图1C。该图中,参考数字24用于标注应变的第一半导体层。注意应力提供层20的某种弛豫可以在本发明的高温退火步骤过程中发生。
本发明的加热步骤是一个退火步骤,在一个温度下完成,导致第一半导体层18横向地沿掩埋绝缘层16膨胀或收缩。即,进行本发明的加热步骤,通过掩埋绝缘层16上的下层第一半导体层18的膨胀,以允许应力提供层20弹性弛豫,在该加热步骤变成了粘性的。
本发明加热步骤的温度选为在第一半导体/掩埋绝缘层的掩埋绝缘层16回流温度之上。具体地,用于本发明获得上述特征的加热温度典型为900℃或更高,更典型约为约950-约1335℃。在上述的温度范围之内,在图形化的应力提供层20和第一半导体层18之间存在一个平衡,其中由应力提供层20和下层第一半导体层18引起的平面内力,允许由掩埋绝缘层16上的膨胀和收缩来消除。此外,当应力提供层20是含Ge层的情况时,本发明的加热步骤在一个温度下完成,在该温度下Ge的扩散要降低到最小。
加热步骤典型在惰性气氛中进行,例如He,Ar,N2,Xe,Kr,Ne或它们的混合物。惰性气体气氛还可以由一种含氧气体稀释。
加热步骤可以以各种时间周期进行,典型范围约从约1秒到约1800分钟,更优的时间周期约从约5秒到约600分钟。加热步骤可以在单目标温度下进行,或者使用各种缓变率和均热时间的各种倾斜和均热循环。
导致应变转移的加热步骤完成后,然后选择地去除应力提供层20,使得暴露当前应变的第一半导体岛状层24。在本发明的该处去除应力提供层20,是使用适合于选择去除应力提供层20的多个刻蚀技术进行。例如,可以使用一个同步刻蚀工艺或一个选择刻蚀工艺来从衬底上去除应力提供层20。去除应力提供层20后作为结果的结构示于图1D。
去除应力提供层20后,可以进行一个可选的热处理工艺,以进一步改善材料的特性。热处理可以为一个熔炉步骤,以退火去除由可选的注入步骤导致的剩余损伤。作为选择,可以在含H的气氛中进行高温退火,以从应变的第一半导体层24中去除多余的硼。
根据本发明,应变的第一半导体岛状层24位于绝缘层16顶上,含有相对较薄(小于500埃)的厚度。应变可以为压或张应变,依赖于前面应力提供层20中的应力类型。应变的第一半导体岛状层24含有包括错配和TD的缺陷密度约5×1016缺陷/cm2或更低。应变的第一半导体岛状层24的堆垛层错(SF)密度约1000缺陷/cm2或更低。
堆垛层错密度使用描述于美国系列号10/654231,提交于2003年9月3日的刻蚀技术测量,它的整个内容在此引用作为参考。
描绘于图1A-1D的实施例描述了这种情况,其中应变的第一半导体岛状层形成在掩埋绝缘层的表面上,每个都具有相同的应变类型(正或负)。在本发明的另一个实施例中,如图2所示,一个含有不同应变值(正和/或负)的应变层的结构形成在掩埋绝缘层顶上。尤其,图2示出了一个应变半导体结构,包括在一个掩埋绝缘层16表面上第一应变值的第一应变半导体层24,以及位于相同掩埋绝缘层16顶上第二应变值的第二应变半导体层50,该值与第一应变值不相同。第二应变半导体层50包括上面示例用于第一半导体层18的半导体材料中的一种。
在图2所示的实施例中,两种不同的应力提供层和光刻用于形成该结构。具体地,第一阻挡掩模(未示出)通过光刻形成在半导体衬底12预定的部分上。伴随着第一阻挡掩模在适当位置,第一应力提供层20形成在第一半导体层18的暴露部分上。形成第一应力提供层后,去除第一阻挡掩模,并在包括第一应力提供层20的层状结构部分上形成第二阻挡掩模。当通过光刻形成第二阻挡掩模后,含有不同应力值的第二应力提供层形成在第一半导体层18的暴露部分上,并去除第二阻挡掩模。可以使用传统光刻胶剥离工艺从结构上去除每个阻挡掩模。然后工艺流程与上面图1B-1D描述的相同。
作为选择,图2所示的结构可以通过首先完成图1A-1D描述的工艺步骤,然后在这样形成的应变第一半导体岛状层24上形成一个阻挡掩模。包括上述用于第一半导体层18的材料的一个半导体材料然后淀积在掩埋绝缘层16的暴露部分上。淀积半导体材料(与层18相同或不同)后,含有与第一应力提供层不相同值的第二应力提供层形成在半导体材料顶上,然后完成图1B-1D描述的工艺步骤。
作为一个实例,一个600埃厚,17原子百分比的SiGe层淀积在一个350埃的SOI层上。在6.7keV下完成H注入到2.5×1016H/cm2的剂量,将离子峰值放置在靠近掩埋氧化物/SOI层位置。然后图形化SiGe/SOI层形成约略10×10μm的岛,并进行1100℃的快速热退火(几秒)。测量SiGe为40%弛豫,由此转移0.24%的张应变到下层薄SOI层中。对于该热聚积,Ge扩散到SOI层中的程度低于15埃。
尽管已经具体示出并参考优选实施例描述了本发明,本领域的技术人员将会理解,可以在形式和细节上进行前述和其它变化而不背离本发明的精神和范围。因此本发明并不局限于描述和示例的确切形式和细节,而是在附属的权利要求的范围之内。

Claims (28)

1.在绝缘层上方形成第一应变半导体层的方法,包括:
在包括第一半导体层的一个结构上形成一个应力提供层,所述第一半导体层位于一个绝缘层顶部;
图形化所述应力提供层和所述第一半导体层形成至少一个岛;
加热包括所述至少一个岛的结构,温度到能导致应变从应力提供层转移到第一半导体层;以及
去除应力提供层以直接在所述绝缘层顶上形成第一应变半导体岛状层。
2.根据权利要求1的方法,其中所述第一半导体层和所述绝缘层是一个预先形成的绝缘体上硅衬底的组成部分。
3.根据权利要求2的方法,其中所述预先形成的绝缘体上硅衬底,是通过氧离子注入隔离或层转移工艺制作的。
4.根据权利要求1的方法,其中至少一个注入步骤在所述形成和所述图形化步骤之间完成。
5.根据权利要求4的方法,其中所述至少一个注入步骤包括将一种掺杂剂物质注入进至少所述绝缘层中。
6.根据权利要求5的方法,其中所述掺杂剂物质是硼。
7.根据权利要求4的方法,其中所述至少一个注入步骤包括将一种高能离子注入到或接近在第一半导体层和绝缘层之间形成的界面。
8.根据权利要求7的方法,其中所述高能离子包括氢,氘,氦,氧,氖和它们的混合物和同位素。
9.根据权利要求7的方法,其中所述高能离子是一种氢离子。
10.根据权利要求1的方法,其中所述图形化包括光刻和刻蚀。
11.根据权利要求1的方法,其中所述第一半导体层包括Si,SiC,SiGe,SiGeC,Ge,GaAs,InAs,InP或其它III/V和II/VI族化合物半导体。
12.根据权利要求1的方法,其中所述应力提供层包括含Ge层,氮化硅层,碳化硅层,硅酸盐玻璃或它们的混合物。
13.根据权利要求1的方法,其中所述加热步骤在导致绝缘层具有粘弹性质的温度下完成。
14.根据权利要求13的方法,其中所述加热在约900℃或更高的温度下进行。
15.根据权利要求1的方法,其中所述第一应变半导体层具有张应变。
16.根据权利要求1的方法,其中所述第一应变半导体层具有压应变。
17.根据权利要求1的方法,进一步包括形成含有第二应力提供层的第二岛,该层具有与所述应力提供层的应力不同的应力。
18.一种半导体结构,包括:
至少一个第一应变半导体岛状层,位于一个绝缘层顶部,所述第一应变半导体岛状层含有螺位错(TD)缺陷密度约105缺陷/cm2或更低,以及堆垛层错密度约1000缺陷/cm2或更低,所述第一应变半导体岛状层具有小于约500埃的厚度。
19.根据权利要求18的半导体结构,其中所述第一应变半导体岛状层包括Si,SiC,SiGe,SiGeC,Ge,GaAs,InAs,InP或其它III/V和II/VI族化合物半导体。
20.根据权利要求18的半导体结构,其中所述绝缘层是一个预先形成的绝缘体上硅衬底的一个掩埋绝缘层。
21.根据权利要求18的半导体结构,其中所述第一应变半导体岛状层含有张应变。
22.根据权利要求18的半导体结构,其中所述第一应变半导体岛状层具有压应变。
23.根据权利要求18的半导体结构,进一步包括在所述绝缘层上的至少一个其它的应变半导体岛状层,所述至少一个其它的应变半导体岛状层与所述第一应变半导体岛状层具有不同的应变值。
24.根据权利要求18的半导体结构,其中所述第一应变半导体岛状层包括富集Si的其中一种同位素的Si。
25.根据权利要求23的半导体结构,其中所述第一应变半导体岛状层具有张应力,而所述至少一个其它应变半导体岛状层具有压应力。
26.根据权利要求23的半导体结构,其中所述第一应变半导体岛状层具有压应力,而所述至少一个其它应变半导体岛状层具有张应力。
27.根据权利要求18的半导体结构,其中所述绝缘层掺杂有一种掺杂剂物质。
28.根据权利要求26的半导体结构,其中所述掺杂剂物质包括B。
CNB2005100789761A 2004-07-02 2005-06-21 形成半导体结构的方法以及半导体结构 Active CN100380633C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/883,883 US6991998B2 (en) 2004-07-02 2004-07-02 Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US10/883,883 2004-07-02

Publications (2)

Publication Number Publication Date
CN1716576A true CN1716576A (zh) 2006-01-04
CN100380633C CN100380633C (zh) 2008-04-09

Family

ID=35512996

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100789761A Active CN100380633C (zh) 2004-07-02 2005-06-21 形成半导体结构的方法以及半导体结构

Country Status (3)

Country Link
US (2) US6991998B2 (zh)
CN (1) CN100380633C (zh)
TW (1) TWI347657B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101989617A (zh) * 2009-07-31 2011-03-23 台湾积体电路制造股份有限公司 用于半导体晶体管的垂直鳍状结构及其制造方法
CN102549729A (zh) * 2009-12-16 2012-07-04 国家半导体公司 用于半导体衬底上的大面积的基于氮化镓或其它氮化物的结构的应力补偿
CN101409215B (zh) * 2007-10-10 2015-05-06 株式会社半导体能源研究所 用于制造soi基板及半导体器件的方法

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4654710B2 (ja) * 2005-02-24 2011-03-23 信越半導体株式会社 半導体ウェーハの製造方法
US20070267722A1 (en) * 2006-05-17 2007-11-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) * 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
FR2887367B1 (fr) * 2005-06-15 2008-06-27 Soitec Silicon On Insulator Procede de maintien de la contrainte dans un ilot grave dans une couche mince contrainte et structure obtenue par la mise en oeuvre du procede
US20070010070A1 (en) * 2005-07-05 2007-01-11 International Business Machines Corporation Fabrication of strained semiconductor-on-insulator (ssoi) structures by using strained insulating layers
US7754008B2 (en) * 2005-07-19 2010-07-13 The Regents Of The University Of California Method of forming dislocation-free strained thin films
JP5481067B2 (ja) * 2005-07-26 2014-04-23 台湾積體電路製造股▲ふん▼有限公司 代替活性エリア材料の集積回路への組み込みのための解決策
US20070054467A1 (en) * 2005-09-07 2007-03-08 Amberwave Systems Corporation Methods for integrating lattice-mismatched semiconductor structure on insulators
US7638842B2 (en) * 2005-09-07 2009-12-29 Amberwave Systems Corporation Lattice-mismatched semiconductor structures on insulators
US7550356B2 (en) * 2005-11-14 2009-06-23 United Microelectronics Corp. Method of fabricating strained-silicon transistors
US7338834B2 (en) * 2006-03-17 2008-03-04 Acorn Technologies, Inc. Strained silicon with elastic edge relaxation
US7777250B2 (en) * 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
JP5374805B2 (ja) * 2006-03-27 2013-12-25 株式会社Sumco Simoxウェーハの製造方法
US7613369B2 (en) * 2006-04-13 2009-11-03 Luxtera, Inc. Design of CMOS integrated germanium photodiodes
EP2062290B1 (en) 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
WO2008036256A1 (en) * 2006-09-18 2008-03-27 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
US7799592B2 (en) * 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US7875958B2 (en) * 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8502263B2 (en) 2006-10-19 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
US7888197B2 (en) * 2007-01-11 2011-02-15 International Business Machines Corporation Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer
US7632724B2 (en) * 2007-02-12 2009-12-15 International Business Machines Corporation Stressed SOI FET having tensile and compressive device regions
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US9508890B2 (en) * 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8329541B2 (en) * 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
DE112008002387B4 (de) 2007-09-07 2022-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur einer Mehrfachübergangs-Solarzelle, Verfahren zur Bildung einer photonischenVorrichtung, Photovoltaische Mehrfachübergangs-Zelle und Photovoltaische Mehrfachübergangs-Zellenvorrichtung,
US7833884B2 (en) * 2007-11-02 2010-11-16 International Business Machines Corporation Strained semiconductor-on-insulator by Si:C combined with porous process
US8454653B2 (en) * 2008-02-20 2013-06-04 Covidien Lp Compound barb medical device and method
US7700416B1 (en) * 2008-04-25 2010-04-20 Acorn Technologies, Inc. Tensile strained semiconductor on insulator using elastic edge relaxation and a sacrificial stressor layer
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
JP5416212B2 (ja) 2008-09-19 2014-02-12 台湾積體電路製造股▲ふん▼有限公司 エピタキシャル層の成長によるデバイス形成
US20100072515A1 (en) * 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
CN102379046B (zh) * 2009-04-02 2015-06-17 台湾积体电路制造股份有限公司 从晶体材料的非极性平面形成的器件及其制作方法
US8361867B2 (en) 2010-03-19 2013-01-29 Acorn Technologies, Inc. Biaxial strained field effect transistor devices
US8361868B2 (en) 2010-04-28 2013-01-29 Acorn Technologies, Inc. Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
US9059201B2 (en) 2010-04-28 2015-06-16 Acorn Technologies, Inc. Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
US8395213B2 (en) 2010-08-27 2013-03-12 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer
US9406798B2 (en) 2010-08-27 2016-08-02 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer
US10833194B2 (en) 2010-08-27 2020-11-10 Acorn Semi, Llc SOI wafers and devices with buried stressor
US8486776B2 (en) 2010-09-21 2013-07-16 International Business Machines Corporation Strained devices, methods of manufacture and design structures
US8642430B2 (en) * 2012-04-09 2014-02-04 GlobalFoundries, Inc. Processes for preparing stressed semiconductor wafers and for preparing devices including the stressed semiconductor wafers
US8518807B1 (en) 2012-06-22 2013-08-27 International Business Machines Corporation Radiation hardened SOI structure and method of making same
FR3009646A1 (zh) 2013-08-06 2015-02-13 St Microelectronics Sa
FR3009647A1 (zh) * 2013-08-06 2015-02-13 St Microelectronics Sa
US9099565B2 (en) * 2013-10-08 2015-08-04 Stmicroelectronics, Inc. Method of making a semiconductor device using trench isolation regions to maintain channel stress
US9360627B2 (en) * 2014-04-16 2016-06-07 Micron Technology, Inc. Method and apparatus providing compensation for wavelength drift in photonic structures
US9627536B2 (en) 2015-06-25 2017-04-18 International Busines Machines Corporation Field effect transistors with strained channel features
US9570298B1 (en) 2015-12-09 2017-02-14 International Business Machines Corporation Localized elastic strain relaxed buffer
US9859426B1 (en) 2016-06-29 2018-01-02 International Business Machines Corporation Semiconductor device including optimized elastic strain buffer

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
DE3419392C1 (de) 1984-05-24 1985-12-05 Deutsche Texaco Ag, 2000 Hamburg Verfahren zur kontinuierlichen Herstellung von Isopropylalkohol oder sek. Butylalkohol
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
DE3676781D1 (de) * 1985-09-13 1991-02-14 Siemens Ag Integrierte bipolar- und komplementaere mos-transistoren auf einem gemeinsamen substrat enthaltende schaltung und verfahren zu ihrer herstellung.
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
WO1994027317A1 (de) 1993-05-06 1994-11-24 Siemens Aktiengesellschaft Herstellungsverfahren für bauelemente auf soi-substrat
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
EP0838858B1 (de) * 1996-09-27 2002-05-15 Infineon Technologies AG Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) * 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) * 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) * 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6074903A (en) 1998-06-16 2000-06-13 Siemens Aktiengesellschaft Method for forming electrical isolation for semiconductor devices
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) * 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
JP4521542B2 (ja) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 半導体装置および半導体基板
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6656822B2 (en) * 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
KR100332108B1 (ko) * 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) * 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6855649B2 (en) * 2001-06-12 2005-02-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) * 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) * 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6746902B2 (en) * 2002-01-31 2004-06-08 Sharp Laboratories Of America, Inc. Method to form relaxed sige layer with high ge content
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US6699764B1 (en) * 2002-09-09 2004-03-02 Sharp Laboratories Of America, Inc. Method for amorphization re-crystallization of Si1-xGex films on silicon substrates
US6946373B2 (en) * 2002-11-20 2005-09-20 International Business Machines Corporation Relaxed, low-defect SGOI for strained Si CMOS applications
US6774015B1 (en) * 2002-12-19 2004-08-10 International Business Machines Corporation Strained silicon-on-insulator (SSOI) and method to form the same
US7157774B2 (en) * 2003-01-31 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Strained silicon-on-insulator transistors with mesa isolation
US7049660B2 (en) * 2003-05-30 2006-05-23 International Business Machines Corporation High-quality SGOI by oxidation near the alloy melting temperature
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101409215B (zh) * 2007-10-10 2015-05-06 株式会社半导体能源研究所 用于制造soi基板及半导体器件的方法
CN101989617A (zh) * 2009-07-31 2011-03-23 台湾积体电路制造股份有限公司 用于半导体晶体管的垂直鳍状结构及其制造方法
CN101989617B (zh) * 2009-07-31 2013-03-27 台湾积体电路制造股份有限公司 用于半导体晶体管的垂直鳍状结构及其制造方法
US8629478B2 (en) 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
CN102549729A (zh) * 2009-12-16 2012-07-04 国家半导体公司 用于半导体衬底上的大面积的基于氮化镓或其它氮化物的结构的应力补偿
CN102549729B (zh) * 2009-12-16 2015-01-07 国家半导体公司 用于半导体衬底上的大面积的基于氮化镓或其它氮化物的结构的应力补偿

Also Published As

Publication number Publication date
CN100380633C (zh) 2008-04-09
US20060001089A1 (en) 2006-01-05
TWI347657B (en) 2011-08-21
TW200616165A (en) 2006-05-16
US7442993B2 (en) 2008-10-28
US20060081837A1 (en) 2006-04-20
US6991998B2 (en) 2006-01-31

Similar Documents

Publication Publication Date Title
CN100380633C (zh) 形成半导体结构的方法以及半导体结构
US7915148B2 (en) Method of producing a tensioned layer on a substrate
JP4446656B2 (ja) Soi型基板の形成方法
US6717213B2 (en) Creation of high mobility channels in thin-body SOI devices
CN100405534C (zh) 半导体结构的制造方法
CN2751438Y (zh) 半导体装置
CN1332425C (zh) 形成绝缘体上硅锗衬底材料的方法、衬底材料及异质结构
US10217812B2 (en) Silicon-on-insulator chip having multiple crystal orientations
US7897480B2 (en) Preparation of high quality strained-semiconductor directly-on-insulator substrates
KR910009318B1 (ko) 반도체 장치의 제조 및 고내압 파묻음 절연막 형성방법
US6852604B2 (en) Manufacturing method of semiconductor substrate
CN100505163C (zh) 制造应变绝缘体上硅半导体衬底的方法
JP2010219566A (ja) 所望の基板への単結晶材料からなる薄層の移動方法
CN1828908A (zh) 半导体结构及制造半导体结构的方法
TWI711118B (zh) 用於製作應變式絕緣體上半導體底材之方法
US20120181617A1 (en) Semiconductor device and method of manufacturing the same
US20090130826A1 (en) Method of Forming a Semiconductor Device Having a Strained Silicon Layer on a Silicon-Germanium Layer
JP2006524426A5 (zh)
TWI746555B (zh) 用於製作應變式絕緣體上半導體底材之方法
JPH10500254A (ja) 半導体基板中に埋め込まれた酸化物層を備えた低転位密度構造の製造プロセス
US20050217566A1 (en) Method for producing one or more monocrystalline layers, each with a different lattice structure, on one plane of a series of layers
US20120184075A1 (en) Reducing dislocation formation in semiconductor devices through targeted carbon implantation
JP4888385B2 (ja) 半導体装置及びその製造方法
JP4581348B2 (ja) 貼り合わせウエーハの製造方法およびsoiウエーハ
JPH04264724A (ja) 半導体基板の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171120

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171120

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right