CN1726429A - 调整基底形状的卡盘系统和方法 - Google Patents

调整基底形状的卡盘系统和方法 Download PDF

Info

Publication number
CN1726429A
CN1726429A CN200380106274.9A CN200380106274A CN1726429A CN 1726429 A CN1726429 A CN 1726429A CN 200380106274 A CN200380106274 A CN 200380106274A CN 1726429 A CN1726429 A CN 1726429A
Authority
CN
China
Prior art keywords
recess
substrate
room
mould
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200380106274.9A
Other languages
English (en)
Other versions
CN1726429B (zh
Inventor
B-J·乔伊
R·D·弗伊欣
S·V·斯里尼瓦桑
M·P·C·瓦茨
D·A·巴布斯
M·J·美斯尔
H·L·贝利
N·E·苏马克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/316,963 external-priority patent/US6980282B2/en
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Priority claimed from PCT/US2003/036012 external-priority patent/WO2004044651A1/en
Publication of CN1726429A publication Critical patent/CN1726429A/zh
Application granted granted Critical
Publication of CN1726429B publication Critical patent/CN1726429B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/003Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/32Component parts, details or accessories; Auxiliary operations
    • B29C43/36Moulds for making articles of definite length, i.e. discrete articles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29DPRODUCING PARTICULAR ARTICLES FROM PLASTICS OR FROM SUBSTANCES IN A PLASTIC STATE
    • B29D11/00Producing optical elements, e.g. lenses or prisms
    • B29D11/00009Production of simple or compound lenses
    • B29D11/00365Production of microlenses
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03BAPPARATUS OR ARRANGEMENTS FOR TAKING PHOTOGRAPHS OR FOR PROJECTING OR VIEWING THEM; APPARATUS OR ARRANGEMENTS EMPLOYING ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ACCESSORIES THEREFOR
    • G03B27/00Photographic printing apparatus
    • G03B27/32Projection printing apparatus, e.g. enlarger, copying camera
    • G03B27/52Details
    • G03B27/62Holders for the original
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • B29C2043/023Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
    • B29C2043/025Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/32Component parts, details or accessories; Auxiliary operations
    • B29C2043/3205Particular pressure exerting means for making definite articles
    • B29C2043/3222Particular pressure exerting means for making definite articles pressurized gas, e.g. air
    • B29C2043/3233Particular pressure exerting means for making definite articles pressurized gas, e.g. air exerting pressure on mould parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/32Component parts, details or accessories; Auxiliary operations
    • B29C43/34Feeding the material to the mould or the compression means
    • B29C2043/3488Feeding the material to the mould or the compression means uniformly distributed into the mould
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/32Component parts, details or accessories; Auxiliary operations
    • B29C43/58Measuring, controlling or regulating
    • B29C2043/5833Measuring, controlling or regulating movement of moulds or mould parts, e.g. opening or closing, actuating
    • B29C2043/5841Measuring, controlling or regulating movement of moulds or mould parts, e.g. opening or closing, actuating for accommodating variation in mould spacing or cavity volume during moulding
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C33/00Moulds or cores; Details thereof or accessories therefor
    • B29C33/20Opening, closing or clamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/32Component parts, details or accessories; Auxiliary operations
    • B29C43/58Measuring, controlling or regulating

Abstract

本发明涉及一种卡盘系统(40)和调整基底(26)形状的方法,基底具有对置的第一表面(26b)和第二表面(26a)。这是通过在第一对置表面的不同区域之间建立压力差以减轻第二对置表面的结构扭曲而获得,该扭曲是由于基底上的外力负载而发生。为此目的,卡盘系统包括具有对置的第一面和第二面的卡盘体,两面之间延伸侧面。第一面包括隔开距离的第一(58)和第二(60)支承区域。第一支承区域环绕第一(52)和第二(54)凹进处。第二支承区域环绕第二凹进处,其中与第二凹进处重叠的卡盘体的部分对于具有预定波长的辐射是透明的。第二面和侧面限定外部表面。

Description

调整基底形状的卡盘系统和方法
技术领域
本发明领域一般涉及压印平板印刷。更具体地说,本发明涉及在压印平板印刷过程中减少不良的图案变化。
背景技术
微型制造牵涉到制造非常小的结构,例如具有数量级为微米或更小的特征。微型制造受到可观冲击的区域是在集成电路的过程中。当半导体工业继续努力争取更大的产出而在基底上增加单位面积线路时,微型制造变得越加重要。微型制造可提供更大的工艺控制而容许减少其形成结构的最小特征尺寸。其它曾经采用微型制造的发展领域包括生物技术、光学技术、机械系统等等。
在属于Wilson等人的美国专利No.6,334,960中说明一种示范性微型制造技术。Wilson等披露一种在结构中形成浮雕图像的方法。该方法包括提供具有转移层的基底。转移层覆盖可聚合流体成分。模具与可聚合流体机械接触。模具包括浮雕结构,而可聚合流体成分填满浮雕结构。可聚合流体成分然后经受固化和聚合的条件,在包含与模具互补的浮雕结构的转移层上形成固化的聚合材料。模具然后从固化的聚合材料上分离,而在模具的浮雕结构中形成固化聚合材料的复制件。转移层和固化聚合材料处于有选择地相对与固化聚合材料的转移层蚀刻转移层的环境之中,使转移层上形成浮雕图像。需要的时间和这样技术所提供的最小特征尺寸尤其依赖于可聚合材料的化学成分。
属于Chou的美国专利No.5,772,905披露一种用于在涂于基底上薄膜中建立超细(小于36纳米)图像的平板印刷方法和设备,其中具有至少一个突起特征的模具压在基底所携带的薄膜中。模具中的突起特征在薄膜中建立凹陷。模具从薄膜上移去。薄膜然后如此加工,使凹陷处的薄膜移去而暴露出在下面的基底。如此,模具中图像被薄膜所取代而完成平板印刷。薄膜中图像将在随后的工艺中复制在基底或者附加在基底上的另外材料上。
另外一种压印平板印刷技术由Chou等在“自然”杂志,2002年6月,417期,835-837页,“在硅片上超快速和直接压印纳米结构”中披露,该文涉及激光辅助直接压印工艺(LADI)。在该工艺中基底中一个区域制成可流动的,就是通过激光加热这一区域使其液态化。在该区域达到所要求粘度以后,具有图案的模具被放置与该区域接触。可流动的区域符合图案的轮廓并且然后冷却,将图案固化在基底上。当用这样的方式形成图案时,一个重要问题是如何保持对于模具的控制。在这样方式下,模具的不希望的变形和其它因素所导致的图案的不良变化可以避免。例如,平面内变形可导致线条宽度的变化,以及图案位置的错误。平面外的扭曲可造成光学平板印刷轨迹的损失而导致下面其余各层厚度的变化。这使宽度控制和蚀刻转移均变得困难。
因此希望提供一种改进的技术使模具成型和保持对于形成图案的基底正确地定位。
发明概要
本发明涉及一种调整基底的卡盘系统,能够正确地使模具成型和使其对于利用该模具形成图案的晶片定位。卡盘系统包括具有互相对置的第一和第二面的卡盘体,其中延伸侧面表面。第一面包括隔开的第一和第二凹进处,它们限定隔开距离的第一和第二支承区域。第一支承区域环绕第二支承区域和第一及第二凹进处。第二支承区域环绕第二凹进处,其中与第二凹进处重叠的卡盘体的一部分对于预定波长的辐射透明。该部分从第二面延伸并在接近第二凹近处终结。第二面和和侧面限定外表面。卡盘体包括延伸通过放置第一及第二凹进处的卡盘体的通路,各自与外表面流体连通。在另一实施例中,包括控制系统。第一通路使第一凹进处与压力控制系统流体连通,而第二通路使压力控制系统与第二凹进处流体连通。当装在卡盘体上时,基底依靠在第一和第二支承区域上,覆盖第一及第二凹进处。第一凹进处和与其重叠的基底的部分限定第一室,而第二凹进处和与其重叠的基底的部分限定第二室。压力控制系统的操作控制第一及第二室中的压力。具体地说,在第一室中建立的压力保持基底对于卡盘体的位置。第二室中压力可不同于第一室中压力,尤其减少在压印时在基底上发生的扭曲。
例如,第一室可以抽成真空以保持基底靠在卡盘体上,从而防止基底从卡盘体在重力下脱离。第二室可加压以减少重叠的第二边部分扭曲。在这样方式下,采用流体静压加压而保持基底依靠在卡盘上并补偿施加在基底上的外力,从而防止基底中结构扭曲。本发明的这些和其它实施例将在以下更完整地讨论。为此目的,调整具有相反的第一和第二表面的基底形状的方法包括在第一相对表面的不同区域中建立压力差,以便减轻第二相反表面中的结构扭曲。本发明的这些和其它实施例将在以下更完整地讨论。
附图简要说明
图1为按照本发明的平板印刷系统的立体图;
图2为图1中显示的平板印刷系统简化正面图;
图3为图2中显示压印层在聚合和交叉链接以前所构成的材料的简单表示图;
图4为图3中材料在经受辐射以后转变成的交叉链接材料的简化表示图;
图5为显示在图1中与压印层隔开距离的模具,在压印层图案完成后的简化正面图;
图6为位于图5显示的基底顶面上的附加压印层,在第一压印层中图案转移在其上以后的简化正面图;
图7为图1显示的印刷头的详细立体图;
图8为按照本发明的卡盘系统的剖面图;
图9为图7中显示的压印头的分解图;
图10为图8显示的卡盘体底视平面图;
图11为图2、5及6中显示的在其上面设置压印层的晶片顶视图;
图12为图11细节图,显示模具在一个压印区域中的位置;
图13为按照另一实施例的图8中显示的卡盘体的底视平面图;
图14为按照另一第二实施例的图8中显示的卡盘体的剖面图;
图15为按照本发明说明在利用压印平板印刷技术形成的图案中减少扭曲的方法的流程图;
图16为按照本发明另一实施例说明在利用压印平板印刷技术形成的图案中减少扭曲的方法的流程图。
具体的实施方式
图1描述按照本发明一个实施例的平板印刷系统10,它包括一对隔开距离的桥接支承件12,它具有桥接件14和延伸在其中的平台支承件16。桥接件14和平台支承件16之间隔开距离。联结在桥接件14上的是压印头18。它从桥接件14向平台支承件16延伸。运动平台20设置在平台支承件16上并面向压印头18。运动平台20配置成为可相对于平台支承件16沿X和Y轴线方向运动。辐射源22联接在系统10上以便对运动平台20撞击光化辐射。如图所示,辐射源22联接于桥接件14并且包括连接于辐射源22的发电机23。
参照图1和2,连接于压印头18的是具有模具28在其上的基底26。模具28包括由多个隔开距离的凹进处28a和突出部分28b限定的多个特征,具有纳米数量级的高度h,例如100纳米。多个特征限定将转移到位于运动平台20中的晶片30上的原始图案。为此目的,压印头18适合于沿Z轴线移动并且在模具28和晶片30之间变动距离“d”。在此方式下,模具28上的特征可压印在晶片30的可流动区域上,这将在以下更完全地讨论。辐射源22如此定位,使模具28位于辐射源22和晶片30之间。结果,模具28采用容许使其基本上对于辐射源22所产生的辐射为透明的材料制成。
参照图2和3,诸如压印层34的可流动区域设置在基本上呈现平坦轮廓的表面部分32上。可流动区域可采用任何已知技术形成,诸如在美国专利No.5,772,905中披露的热压纹工艺,该文整体综合在此作为参考,或者由Chou等在“自然”杂志,2002年6月,417期,835-837页,“在硅片上超快速和直接压印纳米结构”中披露的激光辅助直接压印工艺(LADI)。不过,在本实施例中,可流动区域由沉积在晶片30上多个隔开距离的、离散的材料36a的珠子36构成。压印层34从一种可以有选择地聚合和交叉链接而记录原始图案的材料36a形成。在图4中显示的材料36a在标号36b处交叉链接,形成交叉链接聚合材料36c。
参照图2、3和5,记录在压印层34中的图案部分是由于与模具28机械接触而产生。为此目的,压印头18减小距离“d”以便容许压印层34与模具28机械接触,分布珠子36而形成压印层34,其带有在表面32上的材料36a的邻近结构。在一个实施例中,减小距离“d”以便容许压印层34的部分34a进入并且充满凹进处28a。
为促进凹进处28a的填充,材料36a具有要求的性质以便完全填充凹进处28a而用材料36a的邻近结构覆盖表面32。在本实施例中,在所要求的、通常为最小距离“d”已经达到以后,压印层34的部分34b仍旧保留,留下部分34a厚度为t1,而部分34b厚度为t2。厚度“t1”和“t2”可为任何希望的厚度,视其应用而定。典型地厚度t1选择为不大于部分34a宽度u的两倍,即t1≤2u,这在图5清楚地显示。参照图2、3和4,在希望的距离“d”已经达到以后,辐射源22产生可聚合和交叉链接材料36a的光化辐射,形成交叉链接材料36c。结果,压印层34从材料36a转变为固体的材料36c。具体地说,材料36c固化而形成压印层34的边缘,其形状符合模具28的表面28c,如更详细地在图5中所示。在压印层34转变为如图4所示的材料36c以后,图2中所示的压印头18移动以增加距离“d”,使模具28和压印层34隔开距离。
参照图5,可以采用附加的工艺以便完成晶片30上的图案。例如,晶片30和压印层34可以用蚀刻转移压印层34上的图案到晶片30上,提供如图6所示图案表面32a。为促进蚀刻,形成压印层34的材料可以按照需要变动以便限定对于晶片30的相对蚀刻速率。压印层34对于晶片30的相对蚀刻速率可在约1.5∶1到约100∶1之间。可替代地,或除此而外,压印层34可以具有选择性地设置对于光致耐蚀材料(未示)的蚀刻差别。光致耐蚀材料(未示)可以采用已知技术再在压印层34上制作图案。任何蚀刻工艺均可采用,视所希望的蚀刻速率和构成晶片30和压印层34基础成分而定。一种示范性蚀刻工艺可包括等离子蚀刻、反应离子蚀刻、化学湿性蚀刻等等。
参照图1和2,一种示范性辐射源22可产生紫外线辐射。其它诸如热、电磁之类的辐射源也可采用。选择辐射可用来发起在压印层34中材料的聚合化,这对于本行业熟练人士是众所周知并且典型地依赖于要求的特定应用场合。此外,在模具28上多个特征显示为沿平行于突出部分28b方向延伸的凹进处28a,使模具28的剖面呈现为城垛形状。不过,凹进处28a和突出部分28b可对应于实际上任何需要建立集成电路的特征并且可以小到几十分之一纳米。结果,可能要求用热稳定材料制造系统10的部件,例如具有在室温下(即25度摄氏)小于约10ppm(百万分之一)/摄氏度的热膨胀系数。在某些实施例中,构造材料可能具有小于约10ppm/摄氏度的热膨胀系数,或者小于约1ppm/摄氏度。为此,桥接支承件16可用下列材料中的一种或几种制成:碳化硅、在商业名称为“INVAR”或名称为“SUPER INVARTM”下制成的铁合金、陶瓷、包括但不限于“ZERODUR”的陶瓷。此外可以建造工作台24,以便在周围环境中隔离对于系统10中其余部件的振动。加利福尼亚州的Newport Corporationof Irvine可以供应示范性工作台24。
参照图7和8,其上存在模具28的基底26通过包括卡盘体42的卡盘系统40联结到压印头壳体18a。具体地说,基底26包括对置表面26a、26b和延伸在它们之间的周边表面26c。表面26b面向卡盘系统40,而模具28从表面26a延伸。为确保流体不致从图2所示珠子36分布到模具28区域以外,图8中所示的模具28表面28c从基底26的表面26a隔开其数量级为微米(例如15微米)的距离。在压印头壳体18a上联结校准系统18b,而卡盘体42面对面地通过挠曲系统18c联结基底26到校准系统18b。如图5所示,校准系统18b促进基底26和晶片30之间的正确对准方位,从而基本上在其间获得均匀的空隙距离“d”。
参照图7和9,校准系统18b包括多个执行器19a、19b及19c和底板19d。具体地说,执行器19a、19b及19c在壳体18a和底板19d之间联结。挠曲系统18c包括挠曲弹簧21a和挠曲环21b。挠曲环21b联结在底板19d和挠曲弹簧21a之间。执行器19a、19b及19c的运动确定挠曲环21b的方位,如此可容许挠曲弹簧21a的粗校准,因此也包括卡盘体42和基底26的校准。执行器19a、19b及19c也促进挠曲环21b移动到Z-轴线。挠曲弹簧21a包括多个线性弹簧,它们在X-Y平面中促进万向节状态的运动,如图2所示,使其在晶片30和基底26之间获得正确的对准方位。
参照图8和10,卡盘体42适合于利用真空技术保持在其上面附着模具28的基底26。为此,卡盘体42包括对置的第一和第二面46及48。侧面或边缘表面50延伸在第一面46和第二面48之间。第一面46包括第一凹进处52和与第一凹进处52隔开距离的第二凹进处54,限定隔开距离的第一及第二支承区域58及60。第一支承区域58环绕第二支承区域60和第一凹进处及第二凹进处52、54。第二支承区域60环绕第二凹进处54。与第二凹进处54重叠的卡盘体42的部分62对于具有预定波长的辐射、诸如以上述及的光化学辐射波长是透明的。为此,部分62用诸如玻璃的透明材料薄层制成。不过,部分62采用的材料可视图2中所示辐射源22产生的辐射波长而定。部分62从第二面48延伸而终止于接近第二凹进处54并且应该限定至少与模具28同样大小面积,使模具可以在此重叠。在卡盘体42中形成一条或多条显示为标号64和66的通路。通路之一,诸如通路64,使第一凹进处52与侧面50流体连通。其余的通路,诸如通路66,使第二凹进处54与侧面50流体连通。
应该理解,通路64同样可以在第二面48和第一凹进处53之间延伸。相似地,通路66可以在第二面48和第二凹进处54之间延伸。所要求的是通路64及66可促使凹进处52及54各自与诸如泵系统70的压力控制系统流体流通。
泵系统70可包括一台或多台泵,以便控制互相独立的凹进处52及54附近的压力。具体地说,当装在卡盘体42上时,基底26依靠在第一和第二支承区域58、60上,覆盖第一和第二凹进处52、54。第一凹进处52和在此重叠的基底26的部分44a限定第一室52a。第二凹进处54和在此重叠的基底26的部分44b限定第二室54a。泵系统70的运行控制第一室52a和第二室54a中压力。
例如,可以在第一室52a中建立压力以维持基底26对于卡盘体42的位置并且减少(如果不是避免)基底26在重力g下从卡盘体42上的分离。在第二室54a中压力可不同于第一室52a中的压力,以减少由模具28上的特征在压印时发生的图案中的表面扭曲。例如表面扭曲可能由于顶压模具28的向上压力R,如图2所示,这是由于压印层34接触模具28而发生。如图8所示,通过调整基底26的形状,图案中表面扭曲可以减轻(如果不是避免)。例如,泵系统70可在室54a中施加正压力而抵消力R。这在面46的不同区域中产生压力差,使基底26弓曲因此在力R下的模具28受到控制或减轻,给基底26(因此模具28)提供所希望的预定形状。基底26和模具28可采取的示范性形状包括椭圆形、弧形、平面形、抛物线形、马鞍形等等。
参照图2和8,压印头18可包括探测模具28在压印工艺中所承受力R的幅度的压力传感器18d。由传感器产生的信息被传递到在数据通讯中的处理器71。响应从传感器18d获得的信息,处理器71可控制泵系统70而在室52a及54a中建立压力抵消力R,使基底(因此模具28)具有所希望的预定形状。
在室52a及54a中的压力可根据事先从过去压印工艺中用压力传感器18d探测到的力R而设定。结果,在室52a及54a中的压力可在模具28和压印层34之间接触以前或以后建立,以便保证基底26(因此模具28)具有所希望的预定形状。在有些情况中,在压印工艺中,可能希望在即时或动态地对室54a加压。例如,可能在模具26接触压印层34以后,在室54a中建立压力以正确地按要求使基底成型比较有利。在室54a中建立的、以便基底26(因此模具28)获得所希望的预定形状的正压力可能大于在室52a中建立的真空压力。这将造成基底从卡盘体42上脱离。
为在压印中保持卡盘体42和基底26之间的相对位置,可以在模具28接触压印层34以后,动态地在室54a中建立压力。在如此方式下,力R和室52a中真空压力二者一起保证面对室54a中的正压力维持卡盘体42和基底26之间的相对位置。在模具28在压印层34中压印图案后,在室54a中的压力可调整到在其中建立真空。在如此方式下,所有室52a及54a具有真空以促进模具从压印层34分离,而同时维持卡盘体42和基底26之间的相对位置。
联结在基底26上的压缩装置在X和Y方向上压缩基底,其中Y为进入图8所在平面的方向。在本例子中,压缩装置包括具有一个或多个气囊并且包围周边表面26c的流体密封气囊系统,其中两个气囊72a和72b显示为沿Y轴线延伸,而为清晰起见沿周边表面X轴线延伸的气囊没有显示,但是包括在本发明之中。可以附加采用其它能够压缩基底26的装置,或者代替气囊系统,诸如老虎钳或其功能相似老虎钳的压电执行器。气囊72a和72b与泵系统70流体连通以控制气囊72a和72b中流体压力。在如此方式下,气囊72a和72b可用来对基底26施加力量以变化基底的尺寸并减少如图2所示记录在压印层34上的图案的表面扭曲。
在记录在压印层34的图案中表面扭曲可能(尤其)由于压印层34和晶片30的尺寸变化引起。这些尺寸变化,也可能部分地由于热波动,以及以前处理步骤中产生的误差,这些一般称作扩大/出界误差。此外,当记录原始图案晶片30的区域面积小于图案面积时,就发生扩大/出界误差。当形成多层压印图案时,扩大/出界误差的有害效果就加剧,显示为压印层124与图案表面32a重叠,如图6所示。无论是在单步骤的全晶片压印还是分步重复的压印工艺中,面对扩大/出界误差在两个重叠图案之间正确对准是困难的。
参照图11和12,分步重复过程包括限定多个区域,在将记录模具28中原始图案的晶片30上显示为a-1。模具28中原始图案可与模具28整个表面共同延伸,或简单地定位在其一部分上。本发明将讨论对于与面向晶片30的模具28表面共同延伸的原始图案,但应该理解,基底26具有大于各区域a-1的面积。正确执行分步重复过程可包括模具28与各区域a-1正确对准。为此目的,模具28包括对准标记114a,显示为“+”符号。通过确定对准标记114a正确地与基准标记110a对准,可保证模具28与区域a-1之一的重叠正确对准。为此目的,可以采用机器视觉装置(未示)以感受对准标记114a和基准标记110a之间的相对对准。在本例子中,在对准标记114a与基准标记110a重叠以后指示出正确对准。随着扩大/出界误差的进入,正确对准变得非常困难。
不过,按照本发明一个实施例,通过在模具28和晶片之间建立相对尺寸变化可减少(如果不是消除)扩大/出界误差。具体地说,晶片30的温度如此变化,使区域a-1中之一限定一个少许小于模具28上原始图案的面积。此后,扩大/出界误差的最后补偿通过使基底26(如图8显示)承受利用气囊72a和72b的机械压缩压力而获得,该压缩力如图12中箭头F1和F2所示又传递到模具28(F1和F2为互相横向设置)。在如此方式下,原始图案成为与其重叠的区域a-1面积共同延伸。
参照图5和8,不过,使基底26承受压缩力是通过弯曲作用调整其形状。基底26的弯曲可在压印入压印层34中的图案引入扭曲。由于基底26弯曲而造成的图案扭曲可以通过气囊72a和72b的设置,控制基底26的弯曲使其发生在要求的方向上而减轻(如果不是防止)。在本例子中,气囊72a和72b定位成为压缩基底26,使其沿平行于而相反于力R的方向弓曲。通过以如此方式控制基底26的弯曲,卡盘系统40可用来补偿弯曲力B,使模具28获得所要求的预定形状,例如弧形、平坦等等。泵系统70可用来为此目的在室54a中适当地加压。例如,假定弯曲力B大于力R,泵系统70将用来使室54a抽成足够的真空以抵消弯曲力B。如果弯曲力B弱于力R,泵系统70将用来使室54a适当地加压使模具保持平坦,或任何其它要求的形状。正确的压力水平可以用事先对于力R及B的认识决定,而力R及B然后由包括在泵系统中的处理器71分析,以便对室52a及54a加压到适当水平。还有,力R及B可以利用已知技术动态地感受,诸如以上讨论的压力传感器18d和处理器71,使室52a及54a内的压力可以动态地在运行中建立保持基底26处于所要求的形状。弯曲力的幅度依赖于许多因素,诸如周边表面26c的形状,例如周边表面26c是否正交地对于第一及第二表面26a和26b延伸或者与其形成直角,以及在周边表面26c上气囊72a和72b施加力的位置,和(如图2所示)珠子36在表面32上的图案。施加单独压缩力的装置显示在周边表面的对面区域上,诸如气囊72a和72b。应该理解,可以在周边表面26c的对面区域上施加多个压缩力,显示为力F3、F4、F5和F6。力F3、F4、F5和F6可以是完全相同或者按照需要为不同幅度,以便对基底26提供所要求的预定形状。附加的好处是室52a和54a之一或二者中压力可以建立为正压,从而有利于从卡盘体42上脱卸基底26。这也可以在处理器控制下完成,或者用手动。
再回到图8,当用气囊72a和72b压缩基底26时,基底26和支承区域58及60之间沿X和Y轴线发生相对移动。结果,要求支承区域58和60各自具有从适合于符合所述基底26轮廓和抵抗沿X和Y轴线变形材料制成的表面区域58a和60a。在如此方式下,表面区域58a和60a在X和Y轴线方向上相对于卡盘体42抵抗基底26的相对移动。
参照图8和13,在另一实施例中,卡盘体142可包括显示为标号142a、142b、142c及142d的壁部或隔板,在第一和第二支承区域158和160之间延伸。在如此模式下,壁部/隔板142a、142b、142c及142d段凹入在多个小区域152a、152b、152c及152d中,一旦基底26重叠放置在其上面这些小区域的功能成为小室。小室152a、152b、152c及152d为流体密封,导致各小室具有与泵系统70流体连通的通路(未示)。交替地,或者协同地,小室152a、152b、152c及152d也可当基底26重叠放置在其上面时不形成流体密封室。宁可使壁部142a、142b、142c及142d与基底26隔开距离以提供对于流体跨越隔板转移的功能。结果,在泵系统70对凹进处152提供适当压力水平时,在小室152a、152b、152c及152d之间可按要求提供压力差。在相似模式中,如果需要,显示为标号142e的一个或多个隔板可以设置在支承区域160的对面范围之间延伸以便形成小室154a和154b。
参照图2和13,如果设置壁部/隔板142a、142b、142c及142d,可以同时设置具有不同压力差的小区域152a、152b、152c及152d。结果,当从压印层34拉开分离时施加在基底26上的力量大小可沿基底26表面变化。这使基底26悬臂弯曲,或者基底26从压印层34剥离,如此在基底26在此分离时减少在压印层34中形成的扭曲或缺陷。例如,小室152b可具有在其中建立的压力大于其余小室152a、152c及152d中相关的压力。结果,当增加距离“d”时基底26与小室152a、152c及152d重叠部分承受的拉力大于基底26与小室152b重叠部分承受的拉力。如此,基底26与小室152a、152c及152d重叠部分中“d”距离增加的速率比较基底26与小室152b重叠部分中“d”距离增加的速率相比较是加速,提供上述悬臂效应。
在显示在图14中还有另一实施例中,卡盘体242包括多个从凹进处252最低表面252a突出的销子242a。销子242a为通过真空保持在卡盘体242上的晶片(未示)提供机械支承。这使支承区域258和260各自具有表面区域258a和260a,它们由与依靠在支承区域258和260的晶片(未示)表面(未示)完全顺应的材料制成。在如此方式下,表面区域258a和260a在存在极端表面变化下提供对于晶片(未示)的流体密封,例如当晶片(未示)表面(未示)和表面区域258a及260a之间存在颗粒状物质时。晶片(未示)在Z方向的机械支承不需要由表面区域258a和260a提供。销子242a提供这一支承。为此目的,销子242a为典型的具有圆形截面的刚性立柱。
参照图11、12和15,在运行中,在步骤200时对于晶片30在X-Y平面中正确地进行测量。这可以通过利用机器视觉装置(未示)和已知的信号处理技术感受在晶片30上存在基准110b的粗略对准而获得。在步骤202,晶片30的温度可能变化,即提高或降低,使区域a-1之一面积少许小于模具28上原始图案的面积。温度变化可以利用晶片30依靠的温度控制卡盘或底座(未示)获得。各区域a-1面积可以通过测量两个同一直线上粗略对准基准110b之间的距离的变动而决定。
具体地说,在沿X或Y轴线之一的同直线上两粗略对准基准110b之间距离的变化得到确定。此后,该距离变化除以邻近区域a-1在晶片30上沿X-轴线的数量。这提供由于在晶片30上沿X-轴线尺寸变化而引起区域a-1面积的尺寸变化。如果必要,也可进行同样的测量决定由于晶片30上沿Y-轴线尺寸变化而引起的区域a-1面积的变化。不过,也可以假定晶片30上尺寸变化在两正交轴线X及Y上是均匀的。
在步骤204,对于模具28施加压缩力F1和F2,以便使原始图案的面积与区域a-1之一与图案重叠的面积共同延伸。这可以在实时中应用机器视觉装置(未示)和已知信号处理技术获得,以便确定何时两个或更多对准标记对准基准标记110a。在步骤206,在获得正确对准并且扩大/出界误差减少以后(如果不是损害),原始图案记录在与模具28重叠的区域a-1中,形成记录下的图案。压缩力F1和F2不一定具有同样的幅度,由于无论在晶片30还是模具28中的尺寸变化不一定在所有方向均匀。还有,扩大/出界误差不一定在X-Y方向均完全相同。结果,压缩力F1和F2可以不同以便补偿这些异常情况。还有,为保证更多地减少扩大/出界误差,如图6所示,可以在模具28接触压印层124后在模具28中进行尺寸变化。不过,这不是必要的。
重新回到图6、11和12,模具28与区域a-1的对准并与其重叠可以在模具28与压印层124隔开距离时发生。如果发现扩大/出界误差在整个晶片区域上均为常数,则F1和F2力的幅度可以在各记录原始图案的区域a-1中保持不变。不过,如果确定扩大/出界误差在一个或多个区域a-1中不同,如图15所示,将对于记录原始图案的各区域a-1采取步骤202和204。应该注意,在晶片30和模具28之间发生的尺寸相对变化是有限的。例如,区域a-1的面积应该有适当的尺寸,以便当模具承受压缩压力F1和F2时使模具28上图案限定在此共同延伸的面积,而不顾及模具28的结构整体性。
参照图5和16,按照本发明另一实施例,晶片30在X-Y平面中精确的测量在步骤300中采取。在步骤302,与模具28重叠的区域a-1之一的尺寸可以确定。在步骤304中确定与摸具28重叠的区域a-1之一的面是否大于模具28上图案的面积。如果情况如此,过程进行到步骤306,否则过程进行到步骤308。在步骤308,模具28放置成为与在此重叠的区域a-1接触,并确定压缩力F1和F2的要求幅度并施加在模具28上以便保证图案的面积与该区域a-1面积共同延伸。此后,模具从与模具28重叠的区域a-1上隔开距离而过程进行到步骤312,在该步骤中确定在记录原始图案的晶片30上是否保留任何区域a-1。如果有这样的区域,过程进行到步骤314,其中模具被放置在下一区域上重叠而过程进行到步骤304。否则,过程终止在步骤316。
如果在步骤304确定与模具28重叠的区域a-1具有大于图案的面积,则过程进行到步骤306,其中模具28的温度被变动以促使其膨胀。在本实施例中,模具28在步骤306被加热,使图案少许大于在此重叠的区域a-1面积。然后过程在步骤310继续进行。
以上描述的本发明实施例是示范性的。可以对以上披露作出许多变型,而仍保持在本发明范围内。例如,通过使所有由卡盘体-基底组合形成腔室用正流体压力加压,基底可以迅速地从卡盘体释放。还有,以上讨论的许多实施例可在当前存在的、不采用沉积可聚合材料的珠子形成压印层的压印平板印刷工艺中实施。可以采用本发明中不同实施例的示范性例子包括在美国专利No.5,772,905中披露的热压纹工艺,其全部内容综合在此作为参考。此外,本发明许多实施例可以利用激光辅助直接压印(LADI)工艺,其形式由Chou等在“自然”杂志,2002年6月,417期,835-837页,“在硅片上超快速和直接压印纳米结构”中描述。因此,本发明范围不应该参照上述描述决定,而应该参照所附权利要求以及其相等条款的全部范围决定。
权利要求书
(按照条约第19条的修改)
                   在PCT条款19(1)下的声明
                        PCT/US03/3610
所提交的修改如以上所示地修改权利要求12,而权利要求1-11和13-19未改。
权利要求12经过修改突出通过在第二对置面减轻结构扭曲而调整基底形状方法的特征,基底具有与压印层隔开距离的第一和第二对置表面,其中结构扭曲随压印层而变化。这是通过提供设置在限定第一和第二室的基底邻近的卡盘而获得,其中第一和第二室具有各自限定在其中的第一和第二压力。第一和第二压力可以如此限定,使任何施加在基底上的表面扭曲可以减少。这些特征是传统工艺所缺少的。
9.如权利要求1中所述卡盘系统,其特征在于,还包括提供附加通路,所述通路和所述附加通路使各所述第一和第二凹进处与所述外部表面之一流体连通,并包括与所述通路和所述附加通路均流体连通的压力控制系统,其中所述基底依靠在所述第一和第二支承区域上,并覆盖所述第一和第二凹进处,而所述第一凹进处和与其重叠的所述基底的部分限定第一室,并且所述第二凹进处和与其重叠的所述基底的部分限定第二室,其中所述压力控制系统运行而在所述第一和第二室之间建立压力差。
10.如权利要求1中所述卡盘系统,其特征在于,还包括提供附加通路,所述通路和所述附加通路使各所述第一和第二凹进处与所述外部表面之一流体连通,并包括与所述通路和所述附加通路均流体连通的压力控制系统,和联结而弯曲所述基底以便弯曲其对置的两面的装置,其中所述基底依靠在所述第一和第二支承区域上,并覆盖所述第一和第二凹进处,而所述第一凹进处和与其重叠的所述基底的部分限定第一室,并且所述第二凹进处和与其重叠的所述基底的部分限定第二室,其中所述压力控制系统运行以控制所述第二室中压力,以便调整所述第二部分曲率。
11.如权利要求1中所述卡盘系统,其特征在于,还包括设置在所述第二凹进处的壁部,它们延伸在所述第一和第二支承区域之间,以便分隔所述第一凹进处成为多个小室,和与所述通路流体连通的压力控制系统,其中所述基底依靠在所述第一和第二支承区域上,并覆盖所述第一和第二凹进处,而所述第一凹进处和与其重叠的所述基底的部分限定第一室,并且所述第二凹进处和与其重叠的所述基底的部分限定第二室,其中所述压力控制系统运行以控制所述多个小室中的压力而在其间建立压力差。
12.一种调整基底形状的方法,基底具有对置的第一和第二表面,与压印层隔开距离,所述方法包括:
在所述第一对置表面的不同区域之间建立压力差,以减轻在所述第二对置表面中的结构扭曲,其中所述结构扭曲随所述压印层而变化。
13.如权利要求12中所述方法,其特征在于,包括使所述区域中第一小区承受拉力,而使所述区域中第二小区承受推力,以使所述第二对置表面的小部分具有所要求的预定形状。
14.如权利要求12中所述方法,其特征在于,还包括使所述区域的第一小区承受基本上大于与所述不同区域的剩余区域相关的拉力的拉力,其中所述第一小区

Claims (19)

1.一种保持基底的卡盘系统,所述卡盘系统包括:
卡盘体,具有对置的第一和第二面,其间延伸边缘表面,所述第一面包括隔开距离的第一和第二凹进处,它们限定隔开距离的第一和第二支承区域,其中所述第一支承区域环绕所述第二支承区域和所述第一及第二凹进处,而所述第二支承区域环绕第二凹进处,其中与所述第二凹进处重叠的所述卡盘体的一部分对于具有预定波长的辐射透明,所述部分从所述第二面延伸而在所述第二凹进处附近终止,所述第二面和所述边缘表面限定外部表面,其中所述卡盘体包括延伸通过所述卡盘体的通路,使所述第一和第二凹进处之一与所外部表面之一流体连通。
2.如权利要求1中所述卡盘系统,其特征在于,各所述第一和第二支承区域具有相关支承表面,背离所述第二面,其中所述支承表面由适合于符合所述基底轮廓的材料形成。
3.如权利要求1中所述卡盘系统,其特征在于,所述第一凹进处包括多个从此延伸的、隔开距离的销子。
4.如权利要求1中所述卡盘系统,其特征在于,各所述第一和第二支承区域具有相关的支承表面,背离所述第二面,其中所述支承表面由顺应的材料沿在对置的所述第一面和第二面之间延伸的第一方向制成,以便符合所述基底轮廓而对抗沿横向所述第一方向的方向的运动。
5.如权利要求1中所述卡盘系统,其特征在于,还包括设置在所述第二凹进处的壁部,它们延伸在所述第一和第二支承区域之间,以便分隔所述第一凹进处成为多个小室。
6.如权利要求1中所述卡盘系统,其特征在于,所述第一支承区域与所述第二支承区域同心,并且具有从一组包含环形、多角形和圆形的形状中选出的形状。
7.如权利要求1中所述卡盘系统,其特征在于,还包括联结而弯曲所述基底的装置,以便弯曲所述对置的第一面和第二面的形状。
8.如权利要求1中所述卡盘系统,其特征在于,还包括与所述通路流体连通的压力控制装置,其中所述基底依靠在所述第一和第二支承区域,覆盖所述第一和第二凹进处,而所述第一凹进处和与其重叠的所述基底的部分限定第一室,并且所述第二凹进处和与其重叠的所述基底的部分限定第二室,其中所述压力控制系统运行以控制所述第一和第二室之一中的压力。
9.如权利要求1中所述卡盘系统,其特征在于,还包括提供附加通路,所述通路和所述附加通路使各所述第一和第二凹进处与所述外部表面之一流体连通,并包括与所述通路和所述附加通路均流体连通的压力控制系统,其中所述基底依靠在所述第一和第二支承区域上,并覆盖所述第一和第二凹进处,而所述第一凹进处和与其重叠的所述基底的部分限定第一室,并且所述第二凹进处和与其重叠的所述基底的部分限定第二室,其中所述压力控制系统运行而在所述第一和第二室之间建立压力差。
10.如权利要求1中所述卡盘系统,其特征在于,还包括提供附加通路,所述通路和所述附加通路使各所述第一和第二凹进处与所述外部表面之一流体连通,并包括与所述通路和所述附加通路均流体连通的压力控制系统,和联结而弯曲所述基底以便弯曲其对置的两面的装置,其中所述基底依靠在所述第一和第二支承区域上,并覆盖所述第一和第二凹进处,而所述第一凹进处和与其重叠的所述基底的部分限定第一室,并且所述第二凹进处和与其重叠的所述基底的部分限定第二室,其中所述压力控制系统运行以控制所述第二室中压力,以便调整所述第二部分曲率。
11.如权利要求1中所述卡盘系统,其特征在于,还包括设置在所述第二凹进处的壁部,它们延伸在所述第一和第二支承区域之间,以便分隔所述第一凹进处成为多个小室,和与所述通路流体连通的压力控制系统,其中所述基底依靠在所述第一和第二支承区域上,并覆盖所述第一和第二凹进处,而所述第一凹进处和与其重叠的所述基底的部分限定第一室,并且所述第二凹进处和与其重叠的所述基底的部分限定第二室,其中所述压力控制系统运行以控制所述多个小室中的压力而在其间建立压力差。
12.一种调整基底形状的方法,基底具有对置的第一和第二表面,所述方法包括:
在所述第一对置表面的不同区域之间建立压力差,以减轻在所述第二对置表面中的结构扭曲。
13.如权利要求12中所述方法,其特征在于,包括使所述区域中第一小区承受拉力,而使所述区域中第二小区承受推力,以使所述第二对置表面的小部分具有所要求的预定形状。
14.如权利要求12中所述方法,其特征在于,还包括使所述区域的第一小区承受基本上大于与所述不同区域的剩余区域相关的拉力的拉力,其中所述第一小区邻近并且位于所述基底周边附近。
15.如权利要求12中所述方法,其特征在于,还包括对所述基底施加改变其尺寸以诱发弯曲作用的压缩力,其中还包括建立拉力以减轻所述弯曲作用并且维持所述第二面的所述部分要求的预定形状。
16.如权利要求12中所述方法,其特征在于,还包括第一所述不同区域环绕第二所述不同区域,其中所述第一区域具有施加在其上的拉力和所述第二区域具有存在其中的推力。
17.如权利要求12中所述方法,其特征在于,建立压力差还包括通过变化所述压力差以补偿在所述第二表面上的外部压力而减轻在所述第二对置表面上的结构扭曲。
18.如权利要求12中所述方法,其特征在于,还包括对所述第二表面提供具有图案的模具,和在其上面设置压印层的晶片,该压印层面向所述图案并且所述压印层接触所述模具,其中还包括提供具有第一和第二对置面的卡盘体,在两对置面之间延伸的边缘表面,所述第一面包括限定隔开距离的第一和第二支承区域的隔开距离的第一和第二凹进处,所述基底依靠在所述第一和第二支承区域,覆盖所述第一和第二凹进处,其中所述第一凹进处和所述基底与其重叠的部分限定第一室,而第二凹进处和所述基底与其重叠的部分限定第二室,其中所述压力差通过在所述第一和第二室内建立不同压力水平而形成,并且还包括在所述压印层接触所述模具以后在所述第二室内建立正压力。
19.如权利要求18中所述方法,其特征在于,还包括从所述压印层分离所述模具,和在从所述压印层分离模具以前对所述第二室抽真空。
CN200380106274.9A 2002-11-13 2003-11-12 调整基底形状的卡盘系统和方法 Expired - Lifetime CN1726429B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10/293,224 2002-11-13
US10/293,224 US7019819B2 (en) 2002-11-13 2002-11-13 Chucking system for modulating shapes of substrates
US10/316,963 US6980282B2 (en) 2002-12-11 2002-12-11 Method for modulating shapes of substrates
US10/316,963 2002-12-11
PCT/US2003/036012 WO2004044651A1 (en) 2002-11-13 2003-11-12 A chucking system and method for modulating shapes of substrates

Publications (2)

Publication Number Publication Date
CN1726429A true CN1726429A (zh) 2006-01-25
CN1726429B CN1726429B (zh) 2010-10-13

Family

ID=32229628

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200380106274.9A Expired - Lifetime CN1726429B (zh) 2002-11-13 2003-11-12 调整基底形状的卡盘系统和方法

Country Status (2)

Country Link
US (5) US7019819B2 (zh)
CN (1) CN1726429B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102099174A (zh) * 2008-07-18 2011-06-15 S.I.P.A.工业设计自动化合伙股份有限公司 卡盘
CN101884019B (zh) * 2007-12-04 2012-12-26 分子制模股份有限公司 基于接触线移动跟踪控制的高吞吐量刻印
CN109935524A (zh) * 2017-12-18 2019-06-25 三星电子株式会社 基底结合设备和利用其结合基底的方法
TWI763728B (zh) * 2016-11-14 2022-05-11 日商佳能股份有限公司 模板複製

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1303792B1 (en) * 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
US8016277B2 (en) * 2000-08-21 2011-09-13 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
US20050274219A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
JP2004523906A (ja) * 2000-10-12 2004-08-05 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム 室温かつ低圧マイクロおよびナノ転写リソグラフィのためのテンプレート
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
TW200500811A (en) * 2002-12-13 2005-01-01 Molecular Imprints Inc Magnification correction employing out-of-plane distortion of a substrate
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
JP4340086B2 (ja) * 2003-03-20 2009-10-07 株式会社日立製作所 ナノプリント用スタンパ、及び微細構造転写方法
US6829988B2 (en) * 2003-05-16 2004-12-14 Suss Microtec, Inc. Nanoimprinting apparatus and method
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
WO2005119802A2 (en) * 2004-05-28 2005-12-15 Board Of Regents, The University Of Texas System Adaptive shape substrate support system and method
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
KR101193918B1 (ko) * 2004-06-03 2012-10-29 몰레큘러 임프린츠 인코퍼레이티드 나노-스케일 제조공정을 위한 유체 배분방법과 필요에 따른액적 배분방법
US7785526B2 (en) 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US20060145398A1 (en) * 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US7798801B2 (en) * 2005-01-31 2010-09-21 Molecular Imprints, Inc. Chucking system for nano-manufacturing
US7636999B2 (en) * 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
US7635263B2 (en) * 2005-01-31 2009-12-22 Molecular Imprints, Inc. Chucking system comprising an array of fluid chambers
CN100559271C (zh) * 2005-05-03 2009-11-11 皇家飞利浦电子股份有限公司 将图案从印模转印到基体的方法和装置
US7670534B2 (en) 2005-09-21 2010-03-02 Molecular Imprints, Inc. Method to control an atmosphere between a body and a substrate
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
ATE510241T1 (de) * 2005-12-08 2011-06-15 Molecular Imprints Inc Verfahren zum ausstossen von zwischen einem substrat und einer form befindlichen gas
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
MY144847A (en) * 2005-12-08 2011-11-30 Molecular Imprints Inc Method and system for double-sided patterning of substrates
US20070200276A1 (en) * 2006-02-24 2007-08-30 Micron Technology, Inc. Method for rapid printing of near-field and imprint lithographic features
US20070231422A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. System to vary dimensions of a thin template
ATE513625T1 (de) * 2006-04-03 2011-07-15 Molecular Imprints Inc Lithographiedrucksystem
US7936447B2 (en) * 2006-05-08 2011-05-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
DE102006024524A1 (de) * 2006-05-23 2007-12-06 Von Ardenne Anlagentechnik Gmbh Infrarotstrahlung reflektierendes, transparentes Schichtsystem
EP2091666B1 (en) * 2006-12-04 2017-10-18 Koninklijke Philips N.V. Method and apparatus for applying a sheet to a substrate
WO2008082650A1 (en) * 2006-12-29 2008-07-10 Molecular Imprints, Inc. Imprint fluid control
GB2445573B (en) * 2007-01-10 2009-08-26 Vistec Lithography Ltd Apparatus support structure
JP5041214B2 (ja) 2007-06-15 2012-10-03 ソニー株式会社 金属薄膜の形成方法および電子デバイスの製造方法
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US8033808B2 (en) * 2007-08-24 2011-10-11 Delta Pt, Llc Pressure compensating molding system
CN101808808B (zh) 2007-09-28 2013-05-01 东丽株式会社 微细形状转印片的制造方法和制造装置
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US20090148619A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Controlling Thickness of Residual Layer
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
JP4482047B2 (ja) * 2008-03-28 2010-06-16 株式会社東芝 インプリント方法
WO2009129441A2 (en) * 2008-04-17 2009-10-22 Massachusetts Institute Of Technology Symmetric thermocentric flexure with minimal yaw error motion
US20100015270A1 (en) * 2008-07-15 2010-01-21 Molecular Imprints, Inc. Inner cavity system for nano-imprint lithography
KR101004769B1 (ko) * 2008-09-09 2011-01-04 서울대학교산학협력단 광유체적 리소그래피 시스템 및 마이크로구조물 제조방법
NL2003380A (en) * 2008-10-17 2010-04-20 Asml Netherlands Bv Imprint lithography apparatus and method.
US20100096470A1 (en) * 2008-10-17 2010-04-22 Molecular Imprints, Inc. Drop volume reduction
US20100098858A1 (en) * 2008-10-17 2010-04-22 Molecular Imprints, Inc. Fluid Dispense System Coating
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US8652393B2 (en) * 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
CN102438841A (zh) * 2009-03-23 2012-05-02 因特瓦克公司 用于图案化介质中的岛与沟槽的比值优化的工艺
JP4940262B2 (ja) * 2009-03-25 2012-05-30 株式会社東芝 インプリントパターン形成方法
JP5411557B2 (ja) * 2009-04-03 2014-02-12 株式会社日立ハイテクノロジーズ 微細構造転写装置
JP2010266475A (ja) * 2009-05-12 2010-11-25 Nitto Denko Corp 光導波路の製造方法
US9164375B2 (en) * 2009-06-19 2015-10-20 Canon Nanotechnologies, Inc. Dual zone template chuck
JP5443070B2 (ja) * 2009-06-19 2014-03-19 東京エレクトロン株式会社 インプリントシステム
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
JP2011009641A (ja) * 2009-06-29 2011-01-13 Toshiba Corp 半導体装置の製造方法及びインプリント用テンプレート
US8913230B2 (en) * 2009-07-02 2014-12-16 Canon Nanotechnologies, Inc. Chucking system with recessed support feature
JP2011071500A (ja) * 2009-08-31 2011-04-07 Fujifilm Corp パターン転写装置及びパターン形成方法
US20110084417A1 (en) * 2009-10-08 2011-04-14 Molecular Imprints, Inc. Large area linear array nanoimprinting
EP2496989B1 (en) * 2009-11-02 2013-10-02 Danmarks Tekniske Universitet Method and device for nanoimprint lithography
US8747092B2 (en) * 2010-01-22 2014-06-10 Nanonex Corporation Fast nanoimprinting apparatus using deformale mold
US20110180964A1 (en) * 2010-01-27 2011-07-28 Molecular Imprints. Inc. Systems and methods for substrate formation
US8691134B2 (en) * 2010-01-28 2014-04-08 Molecular Imprints, Inc. Roll-to-roll imprint lithography and purging system
WO2011139782A1 (en) 2010-04-27 2011-11-10 Molecular Imprints, Inc. Separation control substrate/template for nanoimprint lithography
JP5828626B2 (ja) * 2010-10-04 2015-12-09 キヤノン株式会社 インプリント方法
JP5930622B2 (ja) 2010-10-08 2016-06-08 キヤノン株式会社 インプリント装置、及び、物品の製造方法
JP2013021155A (ja) * 2011-07-12 2013-01-31 Canon Inc インプリント装置、およびそれを用いた物品の製造方法
JP5893303B2 (ja) * 2011-09-07 2016-03-23 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法
JP6004738B2 (ja) 2011-09-07 2016-10-12 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法
JP5787691B2 (ja) * 2011-09-21 2015-09-30 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法
WO2013044181A1 (en) * 2011-09-23 2013-03-28 1366 Technologies Inc. Methods and apparati for handling, heating and cooling a substrate upon which a pattern is made by a tool in heat flowable material coating, including substrate transport, tool laydown, tool tensioning, and tool retraction
JP5938218B2 (ja) 2012-01-16 2016-06-22 キヤノン株式会社 インプリント装置、物品の製造方法およびインプリント方法
JP5824379B2 (ja) * 2012-02-07 2015-11-25 キヤノン株式会社 インプリント装置、インプリント方法、及び物品の製造方法
US9616614B2 (en) 2012-02-22 2017-04-11 Canon Nanotechnologies, Inc. Large area imprint lithography
KR20130123760A (ko) * 2012-05-03 2013-11-13 삼성전자주식회사 탬플릿 시스템 및 그 나노 임프린트 방법
TWI565094B (zh) * 2012-11-15 2017-01-01 財團法人工業技術研究院 氮化物半導體結構
US10108086B2 (en) 2013-03-15 2018-10-23 Nanonex Corporation System and methods of mold/substrate separation for imprint lithography
US10105883B2 (en) 2013-03-15 2018-10-23 Nanonex Corporation Imprint lithography system and method for manufacturing
EP3066524A1 (en) 2013-11-08 2016-09-14 Canon Nanotechnologies, Inc. Low contact imprint lithography template chuck system for improved overlay correction
JP6363838B2 (ja) * 2014-01-08 2018-07-25 キヤノン株式会社 インプリント装置、インプリント方法及び物品の製造方法
US10409156B2 (en) * 2015-02-13 2019-09-10 Canon Kabushiki Kaisha Mold, imprint apparatus, and method of manufacturing article
JP2016157784A (ja) * 2015-02-24 2016-09-01 株式会社東芝 パターン形成方法およびパターン形成装置
US10024654B2 (en) 2015-04-06 2018-07-17 Kla-Tencor Corporation Method and system for determining in-plane distortions in a substrate
JP6774178B2 (ja) * 2015-11-16 2020-10-21 キヤノン株式会社 基板を処理する装置、及び物品の製造方法
NL2016593B1 (en) * 2016-04-12 2017-11-01 Iai Ind Systems B V Method and apparatus for applying a layer having a relief on a flat face of a substrate.
JP2016149578A (ja) * 2016-05-11 2016-08-18 大日本印刷株式会社 ナノインプリント用レプリカテンプレートの製造方法
JP7041121B2 (ja) 2016-08-03 2022-03-23 ボード オブ リージェンツ,ザ ユニバーシティ オブ テキサス システム 半導体平坦化用及びインプリントリソグラフィ用ウェハスケールプログラマブル膜
CN106094429B (zh) * 2016-08-19 2019-11-05 京东方科技集团股份有限公司 压印装置及其工作方法
TWI672212B (zh) * 2016-08-25 2019-09-21 國立成功大學 奈米壓印組合體及其壓印方法
JP6762853B2 (ja) 2016-11-11 2020-09-30 キヤノン株式会社 装置、方法、及び物品製造方法
JP6940944B2 (ja) 2016-12-06 2021-09-29 キヤノン株式会社 インプリント装置、及び物品製造方法
US10578984B2 (en) 2016-12-20 2020-03-03 Canon Kabushiki Kaisha Adaptive chucking system
US10895806B2 (en) * 2017-09-29 2021-01-19 Canon Kabushiki Kaisha Imprinting method and apparatus
EP3707747A4 (en) 2017-11-10 2021-07-28 Applied Materials, Inc. DOUBLE-SIDED PATTERN CHUCK
US20190259648A1 (en) 2018-02-20 2019-08-22 Applied Materials, Inc. Patterned vacuum chuck for double-sided processing
JP7022615B2 (ja) * 2018-02-26 2022-02-18 キヤノン株式会社 インプリント方法、インプリント装置、モールドの製造方法、および、物品の製造方法
JP7134055B2 (ja) 2018-10-09 2022-09-09 キヤノン株式会社 成形装置、および物品の製造方法
US11562924B2 (en) * 2020-01-31 2023-01-24 Canon Kabushiki Kaisha Planarization apparatus, planarization process, and method of manufacturing an article
US11590687B2 (en) 2020-06-30 2023-02-28 Canon Kabushiki Kaisha Systems and methods for reducing pressure while shaping a film
US11728203B2 (en) * 2020-10-13 2023-08-15 Canon Kabushiki Kaisha Chuck assembly, planarization process, apparatus and method of manufacturing an article

Family Cites Families (292)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE687248A (zh) * 1966-09-22 1967-03-22
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3997447A (en) 1974-06-07 1976-12-14 Composite Sciences, Inc. Fluid processing apparatus
FR2325018A1 (fr) 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
NL177721B (nl) * 1977-03-14 1985-06-03 Philips Nv Werkwijze voor het vervaardigen van een kunststofinformatiedrager met gelaagde structuur alsmede een inrichting voor het uitvoeren van de werkwijze.
GB1578259A (en) * 1977-05-11 1980-11-05 Philips Electronic Associated Methods of manufacturing solid-state devices apparatus for use therein and devices manufactured thereby
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
US4223261A (en) 1978-08-23 1980-09-16 Exxon Research & Engineering Co. Multi-phase synchronous machine system
NL7906117A (nl) * 1979-08-10 1981-02-12 Philips Nv Werkwijze en inrichting voor het vervaardigen van een kunststofinformatiedrager.
US4279628A (en) 1979-12-31 1981-07-21 Energy Synergistics, Inc. Apparatus for drying a natural gas stream
DE8007086U1 (de) 1980-03-14 1982-03-18 Multivac Sepp Haggenmüller KG, 8941 Wolfertschwenden Vorrichtung zum formen von behaeltnissen aus einer folie
JPS57204547A (en) * 1981-06-12 1982-12-15 Hitachi Ltd Exposing method
DE3377597D1 (en) 1982-04-12 1988-09-08 Nippon Telegraph & Telephone Method for forming micropattern
FR2538923A1 (fr) 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4551192A (en) * 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4506184A (en) * 1984-01-10 1985-03-19 Varian Associates, Inc. Deformable chuck driven by piezoelectric means
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4559717A (en) 1984-02-21 1985-12-24 The United States Of America As Represented By The Secretary Of Commerce Flexure hinge
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
US4767584A (en) 1985-04-03 1988-08-30 Massachusetts Institute Of Technology Process of and apparatus for producing design patterns in materials
DE3514022C1 (de) 1985-04-18 1986-07-10 Fa. Carl Freudenberg, 6940 Weinheim Vorrichtung zum gegenseitigen Verkleben thermisch erweichbarer Partikel zu einem Kunststoffkoerper
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
EP0255303B1 (en) 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
DE3639346A1 (de) * 1986-11-18 1988-05-26 Siemens Ag Verfahren und anordnung zur aenderung des abbildungsmassstabes in der roentgenlithografie
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
DE3719200A1 (de) * 1987-06-09 1988-12-29 Ibm Deutschland Optische speicherplatte und verfahren zu ihrer herstellung
US5132069A (en) 1987-07-10 1992-07-21 Newton John R Method of injection molding composite articles
KR930000293B1 (ko) 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 미세패턴형성방법
US5028361A (en) * 1987-11-09 1991-07-02 Takeo Fujimoto Method for molding a photosensitive composition
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5821175A (en) 1988-07-08 1998-10-13 Cauldron Limited Partnership Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface
JPH0224848A (ja) 1988-07-14 1990-01-26 Canon Inc 光記録媒体用基板の製造方法
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
JPH0292603A (ja) 1988-09-30 1990-04-03 Hoya Corp 案内溝付き情報記録用基板の製造方法
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
ES2103261T3 (es) 1989-04-24 1997-09-16 Siemens Ag Procedimiento para la generacion de estructuras resistentes a la corrosion.
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
US5110514A (en) * 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
JP3197010B2 (ja) 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (ja) 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) * 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5331371A (en) 1990-09-26 1994-07-19 Canon Kabushiki Kaisha Alignment and exposure method
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
JPH0521584A (ja) 1991-07-16 1993-01-29 Nikon Corp 保持装置
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP2867194B2 (ja) * 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
FR2690375B1 (fr) 1992-04-22 1994-07-08 Aerospatiale Dispositif de compactage a chaud pour la fabrication de pieces necessitant des montees en pression et en temperature simultanees.
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
JPH06244269A (ja) * 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (zh) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5380474A (en) 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5414514A (en) * 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) * 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
EP0635736A1 (en) * 1993-07-19 1995-01-25 AT&T Corp. Method for forming, in optical media, refractive index perturbations having reduced birefringence
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
KR0157279B1 (ko) * 1994-03-15 1999-05-01 모리시타 요이찌 노광방법
US5417802A (en) 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
JPH08130207A (ja) * 1994-10-31 1996-05-21 Matsushita Electric Ind Co Ltd プラズマ処理装置
US5563684A (en) * 1994-11-30 1996-10-08 Sgs-Thomson Microelectronics, Inc. Adaptive wafer modulator for placing a selected pattern on a semiconductor wafer
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5849209A (en) * 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5997273A (en) 1995-08-01 1999-12-07 Laquer; Henry Louis Differential pressure HIP forging in a controlled gaseous environment
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
WO1997007429A1 (en) * 1995-08-18 1997-02-27 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5849222A (en) * 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5900062A (en) * 1995-12-28 1999-05-04 Applied Materials, Inc. Lift pin for dechucking substrates
US5923408A (en) * 1996-01-31 1999-07-13 Canon Kabushiki Kaisha Substrate holding system and exposure apparatus using the same
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) * 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
US5802914A (en) * 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
BE1010313A3 (fr) * 1996-05-30 1998-06-02 S C Rech Et Dev Groupe Cockeri Amelioration au systeme de regulation de la force de serre-flan dans une presse.
US6257866B1 (en) 1996-06-18 2001-07-10 Hy-Tech Forming Systems, Inc. Apparatus for accurately forming plastic sheet
US6039897A (en) 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
US6228539B1 (en) * 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
JPH10172897A (ja) * 1996-12-05 1998-06-26 Nikon Corp 基板アダプタ,基板保持装置及び基板保持方法
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6049373A (en) * 1997-02-28 2000-04-11 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
JP3296239B2 (ja) * 1997-03-27 2002-06-24 ウシオ電機株式会社 間隙設定機構を備えたプロキシミティ露光装置
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5996415A (en) * 1997-04-30 1999-12-07 Sensys Instruments Corporation Apparatus and method for characterizing semiconductor wafers during processing
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
WO1999005724A1 (en) 1997-07-25 1999-02-04 Regents Of The University Of Minnesota Single-electron floating-gate mos memory
EP0921683B1 (en) 1997-12-02 2010-09-08 Daewoo Electronics Corporation Method and apparatus for encoding mode signals for use in a binary shape coder
US6383890B2 (en) * 1997-12-26 2002-05-07 Canon Kabushiki Kaisha Wafer bonding method, apparatus and vacuum chuck
US6019166A (en) * 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
US6030275A (en) * 1998-03-17 2000-02-29 International Business Machines Corporation Variable control of carrier curvature with direct feedback loop
US6032997A (en) * 1998-04-16 2000-03-07 Excimer Laser Systems Vacuum chuck
DE19819761C2 (de) 1998-05-04 2000-05-31 Jenoptik Jena Gmbh Einrichtung zur Trennung eines geformten Substrates von einem Prägewerkzeug
US5997963A (en) 1998-05-05 1999-12-07 Ultratech Stepper, Inc. Microchamber
JP3780700B2 (ja) * 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6099771A (en) 1998-07-08 2000-08-08 Lear Corporation Vacuum compression method for forming molded thermoplastic floor mat having a "Class A" finish
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US5947027A (en) * 1998-09-08 1999-09-07 Motorola, Inc. Printing apparatus with inflatable means for advancing a substrate towards the stamping surface
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
AU1444800A (en) 1998-10-09 2000-05-01 Trustees Of Princeton University, The Microscale patterning and articles formed thereby
US6726195B1 (en) * 1998-10-13 2004-04-27 Dek International Gmbh Method for ensuring planarity when using a flexible, self conforming, workpiece support system
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
JP4846888B2 (ja) * 1998-12-01 2011-12-28 キヤノン株式会社 位置合わせ方法
US6388755B1 (en) * 1998-12-03 2002-05-14 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
JP4204128B2 (ja) 1999-01-18 2009-01-07 東京応化工業株式会社 基板搬送装置及び基板搬送方法
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6160430A (en) 1999-03-22 2000-12-12 Ati International Srl Powerup sequence artificial voltage supply circuit
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
WO2000072093A1 (en) * 1999-05-25 2000-11-30 Massachusetts Institute Of Technology Optical gap measuring apparatus and method using two-dimensional grating mark with chirp in one direction
JP3291488B2 (ja) 1999-05-27 2002-06-10 三洋電機株式会社 流体の被除去物除去方法
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6220561B1 (en) 1999-06-30 2001-04-24 Sandia Corporation Compound floating pivot micromechanisms
EP1072954A3 (en) 1999-07-28 2002-05-22 Lucent Technologies Inc. Lithographic process for device fabrication
EP1077393A2 (en) * 1999-08-19 2001-02-21 Canon Kabushiki Kaisha Substrate attracting and holding system for use in exposure apparatus
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6589889B2 (en) * 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
US6512401B2 (en) 1999-09-10 2003-01-28 Intel Corporation Output buffer for high and low voltage bus
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
AU3271801A (en) 1999-11-30 2001-06-12 Silicon Valley Group, Inc. Dual-stage lithography apparatus and method
CA2395760A1 (en) * 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
DE10010001A1 (de) 2000-03-02 2001-09-06 Celanese Ventures Gmbh Neue Blendpolymermembranen zum Einsatz in Brennstoffzellen
US6313567B1 (en) 2000-04-10 2001-11-06 Motorola, Inc. Lithography chuck having piezoelectric elements, and method
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP2001358056A (ja) * 2000-06-15 2001-12-26 Canon Inc 露光装置
EP1303792B1 (en) * 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
EP2270592B1 (en) * 2000-07-17 2015-09-02 Board of Regents, The University of Texas System Method of forming a pattern on a substrate
US20050037143A1 (en) 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
AU2001280980A1 (en) * 2000-08-01 2002-02-13 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US8016277B2 (en) * 2000-08-21 2011-09-13 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
US6451705B1 (en) * 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6718630B2 (en) 2000-09-18 2004-04-13 Matsushita Electric Industrial Co., Ltd. Apparatus and method for mounting components on substrate
JP2004523906A (ja) 2000-10-12 2004-08-05 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム 室温かつ低圧マイクロおよびナノ転写リソグラフィのためのテンプレート
EP1405336A2 (en) * 2000-12-04 2004-04-07 Ebara Corporation Substrate processing method
US6612590B2 (en) * 2001-01-12 2003-09-02 Tokyo Electron Limited Apparatus and methods for manipulating semiconductor wafers
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6955767B2 (en) * 2001-03-22 2005-10-18 Hewlett-Packard Development Company, Lp. Scanning probe based lithographic alignment
US6791669B2 (en) * 2001-04-12 2004-09-14 Nikon Corporation Positioning device and exposure apparatus including the same
US6383888B1 (en) * 2001-04-18 2002-05-07 Advanced Micro Devices, Inc. Method and apparatus for selecting wafer alignment marks based on film thickness variation
US7018572B2 (en) * 2001-06-11 2006-03-28 General Electric Company Method for producing data storage media
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6678038B2 (en) * 2001-08-03 2004-01-13 Nikon Corporation Apparatus and methods for detecting tool-induced shift in microlithography apparatus
CN100347608C (zh) 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US20030080472A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6771372B1 (en) 2001-11-01 2004-08-03 Therma-Wave, Inc. Rotational stage with vertical axis adjustment
US6764386B2 (en) 2002-01-11 2004-07-20 Applied Materials, Inc. Air bearing-sealed micro-processing chamber
US6736408B2 (en) 2002-01-25 2004-05-18 Applied Materials Inc. Rotary vacuum-chuck with venturi formed at base of rotating shaft
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
AU2003230676A1 (en) 2002-03-15 2003-09-29 Princeton University Laser assisted direct imprint lithography
US7144539B2 (en) * 2002-04-04 2006-12-05 Obducat Ab Imprint method and device
EP2484751B1 (en) * 2002-04-16 2018-11-28 Princeton University Method of analysing polynucleotides
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
AU2003238947A1 (en) 2002-05-24 2003-12-12 Stephen Y. Chou Methods and apparatus of field-induced pressure imprint lithography
US20030235787A1 (en) 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7442336B2 (en) 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7071088B2 (en) 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US20040132301A1 (en) 2002-09-12 2004-07-08 Harper Bruce M. Indirect fluid pressure imprinting
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7641840B2 (en) 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US6929762B2 (en) 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
JP4391420B2 (ja) 2002-11-13 2009-12-24 モレキュラー・インプリンツ・インコーポレーテッド 基板の形状を調整するチャック・システムと方法
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7365103B2 (en) 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US6871558B2 (en) 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
TW200500811A (en) * 2002-12-13 2005-01-01 Molecular Imprints Inc Magnification correction employing out-of-plane distortion of a substrate
US6986815B2 (en) 2003-01-08 2006-01-17 General Electric Company Flow system flush process
US7452574B2 (en) 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US20040168613A1 (en) 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
EP1606834B1 (en) * 2003-03-27 2013-06-05 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp
CN100526052C (zh) 2003-06-09 2009-08-12 普林斯顿大学知识产权和技术许可办公室 具有改进的监测和控制的压印光刻术及其设备
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
EP2261741A3 (en) * 2003-06-11 2011-05-25 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US7150622B2 (en) * 2003-07-09 2006-12-19 Molecular Imprints, Inc. Systems for magnification and distortion correction for imprint lithography processes
JP2005068181A (ja) 2003-08-22 2005-03-17 Three M Innovative Properties Co 微細構造体前駆ペースト、微細構造体及びその製造方法
US6879191B2 (en) 2003-08-26 2005-04-12 Intel Corporation Voltage mismatch tolerant input/output buffer
JP4090416B2 (ja) * 2003-09-30 2008-05-28 日東電工株式会社 粘着テープ付ワークの離脱方法及び離脱装置
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050106321A1 (en) 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
US7023238B1 (en) 2004-01-07 2006-04-04 Altera Corporation Input buffer with selectable threshold and hysteresis option
US20050156353A1 (en) 2004-01-15 2005-07-21 Watts Michael P. Method to improve the flow rate of imprinting material
US20050158419A1 (en) 2004-01-15 2005-07-21 Watts Michael P. Thermal processing system for imprint lithography
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US20050189676A1 (en) 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
EP1594001B1 (en) * 2004-05-07 2015-12-30 Obducat AB Device and method for imprint lithography
KR20070032664A (ko) * 2004-05-28 2007-03-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 기판 지지 시스템 및 방법
WO2005119802A2 (en) 2004-05-28 2005-12-15 Board Of Regents, The University Of Texas System Adaptive shape substrate support system and method
US20050276919A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US20050270516A1 (en) 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
KR101193918B1 (ko) 2004-06-03 2012-10-29 몰레큘러 임프린츠 인코퍼레이티드 나노-스케일 제조공정을 위한 유체 배분방법과 필요에 따른액적 배분방법
US20070228593A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7547504B2 (en) 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
KR20070086766A (ko) 2004-12-01 2007-08-27 몰레큘러 임프린츠 인코퍼레이티드 임프린트 리소그래피 공정용 열관리를 위한 노출 방법
US7811505B2 (en) 2004-12-07 2010-10-12 Molecular Imprints, Inc. Method for fast filling of templates for imprint lithography using on template dispense
US20060177535A1 (en) 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US7798801B2 (en) 2005-01-31 2010-09-21 Molecular Imprints, Inc. Chucking system for nano-manufacturing
US20060177532A1 (en) 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography method to control extrusion of a liquid from a desired region on a substrate
US20070228608A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Preserving Filled Features when Vacuum Wiping
US7692771B2 (en) * 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US7670534B2 (en) 2005-09-21 2010-03-02 Molecular Imprints, Inc. Method to control an atmosphere between a body and a substrate
US7259102B2 (en) 2005-09-30 2007-08-21 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
MY144847A (en) 2005-12-08 2011-11-30 Molecular Imprints Inc Method and system for double-sided patterning of substrates
ATE513625T1 (de) 2006-04-03 2011-07-15 Molecular Imprints Inc Lithographiedrucksystem
JP5306989B2 (ja) 2006-04-03 2013-10-02 モレキュラー・インプリンツ・インコーポレーテッド 複数のフィールド及びアライメント・マークを有する基板を同時にパターニングする方法
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
WO2008082650A1 (en) 2006-12-29 2008-07-10 Molecular Imprints, Inc. Imprint fluid control

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101884019B (zh) * 2007-12-04 2012-12-26 分子制模股份有限公司 基于接触线移动跟踪控制的高吞吐量刻印
CN102099174A (zh) * 2008-07-18 2011-06-15 S.I.P.A.工业设计自动化合伙股份有限公司 卡盘
CN102099174B (zh) * 2008-07-18 2013-07-17 S.I.P.A.工业设计自动化合伙股份有限公司 卡盘
TWI763728B (zh) * 2016-11-14 2022-05-11 日商佳能股份有限公司 模板複製
US11454883B2 (en) 2016-11-14 2022-09-27 Canon Kabushiki Kaisha Template replication
US11604409B2 (en) 2016-11-14 2023-03-14 Canon Kabushiki Kaisha Template replication
CN109935524A (zh) * 2017-12-18 2019-06-25 三星电子株式会社 基底结合设备和利用其结合基底的方法
CN109935524B (zh) * 2017-12-18 2024-04-09 三星电子株式会社 基底结合设备和利用其结合基底的方法

Also Published As

Publication number Publication date
US7224443B2 (en) 2007-05-29
US7019819B2 (en) 2006-03-28
US7691313B2 (en) 2010-04-06
US20040090611A1 (en) 2004-05-13
US20100143521A1 (en) 2010-06-10
CN1726429B (zh) 2010-10-13
US6982783B2 (en) 2006-01-03
US20040223131A1 (en) 2004-11-11
US20070114686A1 (en) 2007-05-24
US8282383B2 (en) 2012-10-09
US20060176466A1 (en) 2006-08-10

Similar Documents

Publication Publication Date Title
CN1726429B (zh) 调整基底形状的卡盘系统和方法
KR101056505B1 (ko) 기판의 형상을 조절하기 위한 척킹 시스템 및 방법
JP4688872B2 (ja) ナノスケール加工中に基板の寸法を変更する装置、システムおよび方法
JP4594305B2 (ja) インプリント・リソグラフィ・プロセスにおける倍率拡大及びゆがみを補正するためのシステム
US6980282B2 (en) Method for modulating shapes of substrates
CN101566795B (zh) 用于生产芯片的加工设备、加工方法和工艺
CN1859959A (zh) 单相流体刻印平版印刷方法
WO2004054784A1 (en) Magnification corrections employing out-of-plane distortions on a substrate
CN1918448A (zh) 用于测量布置在基底上的薄膜的特征的方法和系统
US20230066484A1 (en) Imprint apparatus, manufacturing method for article, and computer program
Fan et al. Fabrication of microlens array by direct hot embossing on silicon substrate

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20101013