CN1774525A - 用于原子层淀积的气体输送装置 - Google Patents

用于原子层淀积的气体输送装置 Download PDF

Info

Publication number
CN1774525A
CN1774525A CNA028239032A CN02823903A CN1774525A CN 1774525 A CN1774525 A CN 1774525A CN A028239032 A CNA028239032 A CN A028239032A CN 02823903 A CN02823903 A CN 02823903A CN 1774525 A CN1774525 A CN 1774525A
Authority
CN
China
Prior art keywords
gas
chamber
substrate
valve
mentioned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028239032A
Other languages
English (en)
Other versions
CN1774525B (zh
Inventor
陈岭
古文忠
吴典晔
仲华
艾伦·奥耶
诺尔曼·中岛
张镁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority claimed from PCT/US2002/034553 external-priority patent/WO2003035927A2/en
Publication of CN1774525A publication Critical patent/CN1774525A/zh
Application granted granted Critical
Publication of CN1774525B publication Critical patent/CN1774525B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers

Abstract

一种用于实施循环层淀积法,如原子层淀积的装置和方法。在一种情况下,装置包括一个衬底支承件和一个室盖,上述衬底支承件具有一个接收衬底的表面,而上述室盖包括一个锥形通道和一个底部表面,上述锥形通道从室盖的中央部分延伸,而上述底部表面从通道延伸到室盖的周边部分,底部表面加工成一定的形状和尺寸,以便基本上盖住接收衬底的表面。装置还包括一个或多个阀和一个或多个气源,上述一个或多个阀连接到逐渐扩张的通道上,而上述一个或多个气源连接到每个阀上。

Description

用于原子层淀积的气体输送装置
发明背景
发明领域
本发明的各实施例总体上涉及一种用于原子层淀积的装置和方法。更具体地说,本发明的一些实施例涉及一种改良的用于原子层淀积的装置和方法。
相关技术说明
可靠地生产亚微米和更小部件是用于下一代半导体器件超大规模集成电路(VLSI)和特大规模集成电路(ULSI)的关键技术之一。然而,当电路技术的条纹受挤压时,收缩VLSI和ULSI技术中互连线的尺寸已把一些附加的要求放在加工能力上。是这种技术心脏的多层互连要求精密加工高纵横比的形体,如孔洞和其它互连线。可靠的形成这些互连线对VLSI和ULSI的成功和继续努力增加电路密度和各个衬底的质量来说,都是很重要的。
当电路密度增加时,各种孔洞、触点,及其它部件的宽度,及它们之间的介电材料,都减小到亚微米尺寸(比如,小于0.2微米或更小)。而介电层的厚度基本上保持固定不变,其结果是各部件的纵横比,亦即它们的高度除以宽度的值减小。许多传统的淀积方法难以充填纵横比超过4∶1,和尤其是纵横比超过10∶1那些地方的亚微米结构。因此,有大量正在进行的努力对准了买有高纵横比的基本上没有空隙和无缝的亚微米部件的形成。
原子层淀积是一种研究将各材料层淀积在具有高纵横比的部件上的淀积技术。原子层淀积的其中一个例子包括依次加入各种气体的脉冲。例如,用于依次加入各种气体脉冲的一个循环可以包括一种第一反应物气体的脉冲,接着加一种吹扫气体和/或抽真空的脉冲,接着加一种第二反应物气体的脉冲,及接着加一种吹扫气体和/或抽真空的脉冲。如本文所用的术语“气体”定义为包括一种气体或多种气体。依次加入第一反应物和第二反应物的分开脉冲可以在衬底的表面上产生各反应物交替的自限制单层吸附作用,并因此形成用于每个循环的单层材料。循环可以重复到所希望的淀积材料厚度。在第一反应物气体脉冲和第二反应物气体脉冲之间的吹扫气体和/或抽真空脉冲,用来减少由于过量反应物留在室中而造成各反应物气相反应的可能性。
然而,需要有一种新的装置来实施气体输送和通过原子层淀积来实施薄膜的淀积。
发明概述
提供了一种用于实施循环层淀积法如原子层淀积的装置和方法。在一种情况下,装置包括一个衬底支承件和一个室盖,上述衬底支承件具有一个接收衬底的表面,而上述室盖包括一个锥形通道和一个底部表面,上述锥形通道从室盖的一个中央部分延伸,而上述底部表面从通道延伸到室盖的周边部分,底部表面加工成一定形状和尺寸,以便基本上盖住接收衬底的表面。装置还包括一个或多个阀和一个或多个气源,上述一个或多个阀连接到逐渐扩张的通道上,而上述一个或多个气源与每个阀连接。
在另一种情况下,装置包括一个衬底支承件,一个室盖,和一个或多个气体导管,上述衬底支承件具有一个接收衬底的表面,上述室盖包括一个扩展通道,和包括室盖的一个锥形底部表面,上述扩展通道向下延伸到室盖的中央部分,上述室盖的锥形底部表面从扩展的通道延伸到室盖的周边部分,而上述一个或多个气体导管围绕扩展通道上面部分设置,其中一个或多个气体导管与扩展通道的中心成一个角度设置。装置还包括一个或多个阀和一个节流口,上述一个或多个阀连接到逐渐扩展的通道上,而上述节流口邻近锥形底部表面的周长设置在室盖上。
在一种情况下,方法包括:在衬底中央部分上方一个起初圆的方向上提供一种或多种气体进入衬底处理室中;通过非绝热膨胀降低各气体的速度;提供各种气体给衬底的中央部分;及以一种基本上均匀的速度将各气体径向上跨过衬底从衬底的中央部分导引到衬底的周边部分上。
对附图的简要说明
因此,其中得到并可以详细理解上面所引用的本发明各部件的方式,上面简要综述的本发明的更具体说明,可能必须参照本发明的一些实施例,上述实施例在各附图中示出。
然而,应该注意,各附图仅示出本发明的一些典型实施例,并因此不能看作是限制本发明的范围,因为本发明可以允许其它的同等有效的实施例。
图1是一种室其中一个实施例的示意剖视图,上述室包括一个适用于原子层淀积的气体输送装置。
图2A是设置在室盖下面表面上的一种节流口其中一个实施例的示意剖视图。
图2B是设置在室盖下面表面上的一种节流口其中一个可供选择的实施例示意剖视图。
图3是包括适用于原子层淀积的气体输送装置的一种室可供选择的实施例示意剖视图。
图4是一种阀示出若干阀入口和出口的示意剖视图。
图5是图4中所示的一种示例性阀的示意平面图。
图6是一种阀其中一个实施例的示意剖视图,上述剖视图示出阀的各内部元件和机构。
图7是一种隔膜在一个打开位置和一个打开位置之间移动的曲线图。
图8是在本发明的气体输送装置内形成的一种扩展槽其中一个实施例的水平剖视图。
图9是适合于接收一种气流的扩展通道其中一个实施例的水平剖视图。
图10是适合于接收3种气流的扩展通道其中一个实施例的水平剖视图。
图11是在本发明的气体输送装置内形成的扩展通道剖视图。
图12是示出一种气体在一个衬底的表面和室盖1的底部表面之间的两个不同位置处流动的示意剖视图。
图13是一种室其中另一个实施例的示意剖视图,上述室包括一个适用于原子层淀积的气体输送装置。
图14示出一种室的另一个实施例,上述室包括一个适用于原子层淀积的气体输送装置。
图15是一种可用于本发明的气体输送装置的气体箱示意图。
图16是一种罐的其中一个实施例的示意剖视图,上述罐用于通过图15的气体箱内的升华作用产生一种气体。
对优选实施例的详细说明
图1是一种示例性处理系统200的示意局部剖视图,所述处理系统200能实施循环层淀积,原子层淀积,数字化学汽相淀积,和快速化学汽相淀积等技术。术语“循环层淀积”,“原子层淀积”,“数字化学汽相淀积”,和“快速化学汽相淀积”在本文中可互换式使用,并涉及一些气相淀积技术,由此可以把两种或多种化合物按顺序加入处理室的一个反应区中,以便在衬底表面上淀积一薄层材料。
室200包括一个室体202,一个气体输送系统230,一个真空系统278,及一个控制单元280。室体202具有数个侧壁204,一个底部206,和一个衬里299。在室体202的一个侧壁204中形成一个缝隙阀208,以便提供一个自动控制装置(未示出)用的出入口,把一个衬底210,如一个200mm或300mm的半导体片或一个玻璃衬底放入室200和从室200中取出。
衬底支承件212设置在室体202中,以便将一个衬底210支承在其上的一个接收衬底的表面211上。一个起重电动机214升高和降低衬底支承件212。一个连接到起重电动机218上的平台升降台216安装在室200中,并升高和降低活动式穿过衬底支承件212设置的销钉220。上述销钉220升高和降低在衬底支承件212的接收表面211上方的衬底210。衬底支承件212可以包括一个真空吸盘、一个静电吸盘、或一个夹环,用于在处理期间将衬底212固定到衬底支承件212上。衬底支承件212还可以加热,以便加热设置于其上的衬底210。例如,衬底支承件212可以用一个埋入式加热元件如一种电阻加热器加热,或者可以用辐射热如设置在衬底支承件212上方的加热灯加热。
室体202还包括一个吹气环222,上述吹气环222设置在衬底支承件212上,以便限定一个吹气通道224。吹扫气体通过吹气通道224流动到衬底210的周边部分,以防在其上淀积。
真空系统278与一个泵送通道279连通,上述泵送通道279在室体202的侧壁204内形成。真空系统278抽空室体202中的气体,并在室202的泵送区266内部保持一个所希望的压力或所希望的压力范围。泵送区266在室体202内形成,同时包围衬底支承件212。
气体输送系统230和室体202限定室体202内的一个反应区264。反应区264与衬底支承件212成流体连通。更具体地说,反应区264包括室200内一个气源和衬底表面之间的任何容积。反应物气体或吹扫气体可以足够充满反应区264,并保证衬底210充分暴露于反应物气体或吹扫气体中。在常规化学汽相淀积中,现有技术的各室要求同时而均匀地提供各反应物组合式流动到衬底的整个表面,以便保证各反应物的共反应跨过衬底的整个表面均匀地发生。在原子层淀积中,室200按顺序将各反应物加到衬底表面上,以便提供将各反应物的交替式薄层吸附到衬底的表面上。结果,原子层淀积不要求各反应物流动同时到达衬底表面。而是,每种反应物的流动需要以一个量提供,上述量足够用于将一薄层反应物吸附在衬底的表面上。
因为反应区264包括一个比常规CVD(化学汽相淀积)室的内部容积小的容积,所以对一种特定的方法需要较小的气体量来充满反应区264。例如,在一个实施例中,对一个适合于处理200mm直径衬底的室,反应区264的容积为约1000cm3或更少,优选的是约500cm3或更少,而更优选的是200cm3或更少。对一个适合于处理300mm直径衬底的室,反应区264的容积为约3000cm3或更少,优选的是1500cm3或更少,而更优选的是600cm3或更少。在一个实施例中,衬底支承件212可以升高或降低,以便调节供淀积用的反应区264的容积。由于反应区264的较小容积,所以较少的气体,无论是一种淀积气体还是一种吹扫气体,都必需流入室200。因此,室200的物料通过量更大,并且由于使用较少量的容积,所以废料可以减至最少,同时降低了运行成本。
在图1的实施例中,气体输送系统230设置在室体202的上面部分处,以便向室体202提供一种气体如工艺过程气体和/或吹扫气体。气体输送系统230包括一个室盖232和一个穿过室盖232形成的扩展通道234。室盖232包括一个底部表面260,上述底部表面260加工成一定尺寸和形状,以便基本上覆盖住设置在室体202内的衬底210。
室盖232底部表面260其中至少一部分可以从扩展通道234到室的一个周边部分成锥形,以便跨过衬底210的表面(亦即从衬底中心到衬底边缘)提供一种改良的气体速度分布图。底部表面260可以包括一个或多个锥形表面,如一种笔直表面,一种凹形表面,一种凸形表面,或它们的组合。优选的是,底部表面取漏斗的形状成锥形。在室盖232的向下倾斜的表面260和衬底210的表面之间的最大流动截面积和最小流动截面积之比,优选的是小于约2,更优选的小于约1.5,更优选的是小于约1.3,及最优选的是约为1。
若不想与理论结合,则可以认为,一种跨过衬底210表面具有一均匀速度的气体,提供一种气体在衬底210上更均匀的淀积。可以认为,气体的速度与气体的浓度成正比,而气体的浓度与气体在衬底表面上的淀积速率成正比。因此,在表面的第一面积处比表面的第二面积处气体的速度高,可以认为在第一面积上提供更高的气体淀积。因此,可以认为具有向下倾斜底表面260的室盖提供更均匀的气体跨过衬底表面的淀积,因为向下倾斜的底表面提供气体跨过衬底表面的更均匀的速度,并因此提供更均匀的浓度。
室盖232的内表面其中至少一部分,包括扩展通道234和底表面260,具有一个表面粗糙度(Ra以μ计)优选的是在约46Ra和62Ra之间,优选的是约54Ra。此外,吹气环222的上表面和室衬里299的上表面可以具有一个表面粗糙度是在约46Ra和62Ra之间,优选的是约54Ra。可以认为,这些表面粗糙度增加了淀积到这些表面上的膜的粘着力。增加了的淀积膜的粘着力减少了淀积膜在衬底处理期间剥离的可能,并因此减少了衬底的颗粒物污染的可能。在一个优选实施例中,表面粗糙度由电解抛光提供,以便提供一种镜面抛光的表面。镜面抛光的表面有助于在其上产生一个气体的层流。在另一些较少优选的实施例中,表面粗糙度可以通过用一种合适的层构织表面提供。
控制室盖232的温度对防止气体在室盖232上分解,淀积,或凝结是很重要的。因此,室盖232可以包括冷却元件和/或加热元件,这取决于待穿过其输送的特定气体。例如,在室盖232中可以形成水通道(未示出),以便冷却室盖232。在另一个例子中,可以将若干加热元件埋入或者可以包围室盖232的各元件,以便加热室盖232。
室盖232还可以包括一个室板部分270和一个帽部分272。帽部分272可以保持在一个温度范围内,而室板部分270可以保持在另一个温度范围内。例如,帽272可以用加热带或任何其它加热装置加热,以防止反应物气体凝结,而室板部分270保持在周围温度下。在另一个例子中,帽272可以加热,而室板部分270可以用穿过其形成的水通道(未示出)冷却,以防止反应物气体的热分解。
室盖232可以用不锈钢,铝,镀镍的铝,镍,用任何可与进行处理相容的合适材料制造。在一个实施例中,帽部分272包括不锈钢,而室板部分270包括铝。在一个实施例中,附加的板包括不锈钢。在一个实施例中,室盖232的扩展通道234和底部表面260可以包括一个镜面抛光的表面,以便帮助沿着室盖232的扩展通道234和底部表面260产生一种气体的层流。在另一个实施例中,气体导管250A,250B的内表面可以电解抛光,以便帮助产生气体穿过其的层流。
室盖232还包括一个节流口262,上述节流口262在室盖232的周边部分处,邻近衬底210的周边设置。节流口262可以是任何类型的障碍物,上述障碍物能限制气体在一个邻近衬底210周边的面积处的反应区264内流动。节流口262帮助保持反应区264内一个基本上均匀的压力。
例如,图2A示出节流口262一个实施例的示意剖视图。在这个实施例中,节流口262包括一个圆周形的侧向部分267。在一种情况下,吹气环222可以适合于使一种吹扫气体对准节流口262的侧向部分267。
作为另一个例子,图2B示出节流口262另一个实施例的示意剖视图。节流口包括一个圆周形向下延伸的凸起部分268。在一种情况下,吹气环222可以适合于使一种吹扫气体对准圆周形的向下延伸的凸起部分268。在一种情况下,向下延伸的凸起部分268的厚度是在约0.01英寸和约1.0英寸之间,更优选的是在0.01英寸和0.5英寸之间。
节流口262和衬底支承件212之间的间距通常是在约0.04英寸和约2.0英寸之间,而优选的是在0.04英寸和约0.2英寸之间。间距可以根据待输送的气体和淀积期间的方法条件改变。节流口262帮助反应区264容积内更均匀的压力分布,上述反应区264的容积通过使反应区264与泵送区266(图1)的非均匀压力分布隔开限定在室盖232和衬底210之间。
气体输送系统230还包括一个或多个与分开的气源成流体连通的阀(示出4个阀是242A,242B,252A,252B)。每个阀242A,242B各包括一个输送管路243A,243B,上述输送管路243A,243B各具有一个阀座组件244A,244B,而每个阀252A,252B各包括一个吹气管路245A,245B,上述吹气管路245A,245B各具有一个阀座组件246A,246B。每个输送管路243A,243B都各与相应的反应物气源238,239成流体连通,和各与扩展通道234相应的气体入口236A,236B成流体连通。输送管路243A,243B的阀座组件244A,244B控制反应物气体从反应物气源238,239到扩展通道234的流动。吹气管路245A,245B与吹扫气源240成流体连通,并在输送管路243A,243B阀座组件244A,244B的下游与输送管路243A,243B相交。吹气管路245A,245B的阀座组件246A,246B控制吹扫气体从吹扫气源240到输送管路243A,243B的流动。如果利用一种载气来从反应物气源238,239输送反应物气体,则优选的是用同一气体作为载气和吹扫气体(亦即利用氩气作为载气和吹扫气体)。
可编程逻辑控制器248A,248B可以耦合到阀242A,242B上,以便控制阀座组件244A,244B,246A,246B隔膜的动作。气动式驱动的阀可以提供许多低达约0.020秒时间周期的气体脉冲。用电驱动的阀可以提供许多低达约0.005秒时间周期的气体脉冲。用电驱动的阀通常需要利用一个传动装置耦合在阀和可编程逻辑控制器之间。
每个阀242A,242B都可以是一种零死容积阀,以便当阀的阀座组件244A,244B闭合时,能冲洗输送管路243A,243B中的反应物气体。当阀座组件244A,244B闭合时,吹气管路245A,245B可以提供一种吹扫气体,以便冲洗输送管路243A,243B。吹气管路245A,245B可以邻近输送管路243A,243B的阀座组件244A,244B设置。可供选择地,吹气管路245A,245B可以与输送管路243A,243B的阀座组件244A,244B稍微间隔开设置,如图所示,以便当阀座组件244A,244B打开时,吹扫气体不直接输送到阀座组件244A,244B中。如本文所用的一种死区容积阀定义为一种具有忽略不计的死容积(亦即不一定是零死容积)的阀。
每个阀242A,242B都可以适合于提供一种反应物气体238,239和吹扫气体240的组合式气流和/或它们分开的气流。关于阀242A,由阀242A所提供的反应物气体238和吹扫气体240一种组合式气流的一个例子,包括一个从吹扫气源240出来穿过吹气管路245A的吹扫气体连续流和从反应物气源238出来穿过输送管路243A的反应物气体脉冲。吹扫气体的连续流可以通过使吹气管路245A阀座组件246A的隔膜打开提供。来自反应物气源238的反应物气体脉冲可以通过打开和关闭输送管路243A阀座244A的隔膜提供。关于阀242A,由阀242A所提供的反应物气体238和吹扫气体240的分开气流其中一个例子包括从吹扫气源240出来穿过吹气管路245A的吹扫气体脉冲和从反应物气源238出来穿过输送管路243A的反应物气体脉冲。吹扫气体的脉冲可以通过打开和关闭阀252A阀座组件246A的隔膜提供。从反应物气源238出来的反应物气体脉冲可以通过打开和关闭阀242阀座244A的隔膜提供。
图3示出气体输送系统230的一个可供选择的实施例,上述输送系统230具有阀242A,242B,上述阀242A,242B安装在室体202的下方,并连接到一个或多个垂直穿过室体202的气体管路255上。各气体管路255也连接到气体导管250A,250B上。阀242A,242B也可以安装在其它位置中,和安装到其它室元件上,如安装在室盖232上。
在一种情况下,阀242A,242B连接到分开的反应物源238,239和分开的吹扫气源240,241上。分开的吹扫气源减少了阀242A,242B之间相互影响的可能性。在另一些实施例中,阀242A和242B可以如上所述,连接到同一吹扫气源240,241上。
图4示出阀242A,242B,252A,252B的示意剖视图。每个阀都包括一个具有3个口的阀体110,一个反应物入口112,一个吹扫气体入口114,和一个成流体连通的出口116。如上所述,反应物入口112与一反应物源238,239成流体连通。吹扫气体入口114与一吹扫气源240,241成流体连通,而出口116与处理室200成流体连通。
图5示出图4所示的阀示意透视图。阀体110可以包括一个或多个用于插入埋入式加热元件511的孔510。优选的是,各孔510最接近反应物入口112(在图4中示出),以便将反应物加热,来防止反应物在阀242A,242B内凝结。阀体110还可以包括一个或多个用于插入热电偶装置521的孔520,以便监测阀体110的温度。例如,在一个反馈环路中可以利用一测得的温度来控制从电源加到加热元件511上的电流,这样可以将阀体温度保持或控制在所希望的温度下或所希望的温度范围内。各孔510和520可以与反应物入口112十分接近,优选的是在-约2.0mm或更小的距离下设置,以便更好的提供反应物入口的加热和更好的监测反应物入口112的温度。优选的是,用于一个埋入式加热元件的每个孔510朝平行于入口112,114和出口116的平面方向上设置,以便埋入式加热元件还可以提供入口112,114和出口116的更均匀加热。
图6示出一种隔膜134,上述隔膜134安装在阀室111内一个阀座120的上方。隔膜134是阀242A,242B其中之一的一个实施例示意剖视图。然而,隔膜通常是偏置在一个闭合位置中,并且选择性地在一个打开位置(未示出)和一个闭合位置之间移动。隔膜134固定到一个阀杆336上,上述杆件336贯穿一个阀帽332,并被阀帽332滑动式支承。阀杆336选择性地使隔膜134在一个闭合位置和一个打开位置之间移动。汽缸340固定到阀帽332的顶部并安装一个活塞342。阀杆336的顶部从阀帽332伸出并固定到活塞342的下表面上。一个弹簧344安放在阀帽332和活塞342的下表面之间,并把活塞342和阀杆336往上推。汽缸340在活塞342的上表面和汽缸340的内表面之间形成一个动作室346。
隔膜134可以用气动方法或电子学方法驱动。优选的是,隔膜可以通过控制来自一加压的气体供应150的加压气体,如空气或其它气体,用气动方法驱动,以便选择性地移动隔膜134。尽管隔膜134是用气动方法驱动,不过可以将一种用电子学方法控制的阀如电磁阀安装或耦合到汽缸340上,以便选择性地提供以增压的气体供应150出来的加压的气体通过一气体管路151。尽管一种用电子学方法控制的阀152提供加压的气体给隔膜组件130,但因为隔膜是用气动方法驱动,所以阀242A,242B是用气动方法驱动的阀。
隔膜134可以是偏置式打开或闭合,和可以分别驱动式闭合或打开。在一个打开位置时,隔膜134允许反应物从反应物入口112流动和吹扫气体从吹扫入口114流动二者穿过阀室111到入口116并进入室体202。在一个闭合位置时,隔膜134处于与阀座120接触,以防止反应物从反应物入口112穿过阀室111流动。在某些优选实施例中,在闭合位置时,隔膜134不阻挡吹扫气体从吹扫入口114穿过阀室111流动到出口116并进入室体202。阀室111还可以包括一个槽122,上述槽122在阀体110中阀座120的下方形成,以便不管隔膜134是处于闭合位置还是处于打开位置,吹扫入口114和出口116仍然处于流体连通。如附图所示,槽122是环形形状,但它可以是任何合适的形状。
阀座120可以是与阀体110成一个整体部件。在一个可供选择的实施例中,阀座120可以是一个与阀体110分开的部件。阀座120优选的是一种不与通过反应物入口122提供的反应物发生反应的化学性能稳定的材料制造。化学性能稳定的材料其中一些例子包括聚酰亚胺(PI),聚四氟乙烯(PTFE),聚三氟氯乙烯(PCTFE),全氟烷氧基(PFA),及其它合适的聚合物。在一些较少优选的实施例中,阀座120可以用金属、金属合金,及其它合适的材料制造。在某些实施例中,根据由其提供的反应物,将阀体110加热到在约80℃和约90℃之间的一个温度,以便防止反应物凝结在隔膜134或其它的阀242A,242B元件上。如果用氨气作为一种反应物,则阀座120优选的是用一种化学性能稳定的聚酰亚胺如VespelCR-6100制造。现已证明,氨气在80℃或高于80℃的温度下与聚酰亚胺VespelCR-6100是化学上惰性的,而氨气在80℃或高于80℃的温度下可以与其它的聚酰亚胺反应。
关于阀242A,242B的操作,将可编程逻辑控制器(PLC)248A,248B耦合到阀242A,242B上,以便控制电信号到用电子学方法控制的阀152。用电子学方法控制的阀152当打开时,通过连接器349将加压的气体供给到动作室346中,同时形成一个压力,所述压力迫使活塞342和阀杆336向下顶着弹簧344的弹性力。隔膜134的中央部分被阀杆336向下压,并接触阀座120,同时关闭反应物从反应物入口112到出口116的流入。当隔膜134接触阀座120时,隔膜134不阻塞槽122,并且吹扫气体可以以吹扫气体入口114流到出口116。用电子学方法控制的阀152当关闭时,停止供应加压的气体,并使动作室346内部加压的气体减压。当停止供应加压的气体时,动作室346的内部压力减压,活塞342和阀杆346借助于弹簧344的弹性力升起。当活塞342和阀杆336升起时,隔膜134移动离开阀体110的阀座120,同时让反应物从反应物入口112流入到出口116。
隔膜134在一个打开位置和一个闭合位置之间移动,以便提供反应物到出口116和进入室体202的脉冲。因为处在闭合位置的隔膜134不阻塞槽122,所以可以提供吹扫气体的连续流动从吹气管路114穿过阀室111并向外到出口116。结果,反应物的脉冲可以按剂量投入通过阀室111提供的吹扫气体连续流。通过阀室111提供的脉冲气体连续流冲洗在反应物脉冲之间留在阀室111中残留的反应物。在一种情况下,阀242A,242B其中每个都具有一个零死容积,因为在吹扫气体穿过阀体110到反应物入口112的阀座120的流路之间有可忽略不计的死容积。
图7是一个隔膜如阀242A或242B其中之一的隔膜134在一个闭合位置和一个打开位置之间移动的曲线图。如本文所用的术语“响应时间”定义为一个阀的隔膜从一打开位置移动到一个闭合位置或是从一个闭合位置移动到打开位置的时间。将阀的隔膜从一个打开位置移动到一个闭合位置的响应时间与阀的隔膜从一个闭合位置移动到一个打开位置的响应时间二者可以相同,或者可以不同,但优选的是大致相同。优选的是,阀242A,242B具有一响应时间为约50msec(毫秒)或更少,更优选的是20msec或更少。可以看出,一个阀如阀242A或242B,在动作室的内部容积为约2.8cm3的情况下,具有一响应时间为约40msec或更少。可以看出,一个阀如阀242A或242B,在动作室的内部容积为约0.9cm3情况下,具有一响应时间为约15msec或更少。
减少一个阀组件的响应时间,能在一段时间范围内提供更多的反应物脉冲循环。因此,处理衬底的生产能力增加。然而,阀242A,242B可以操纵到任何所希望的脉冲时间720。如本文所用的术语“脉冲时间”定义为将一个隔膜从一完全闭合位置移动到完全打开位置并回到完全闭合位置的时间。可以操纵阀242A,242B,以便提供脉冲时间为约1.0秒或更少,约500msec或更少,和甚至约200msec或更少。
与隔膜被一螺线管上下驱动相比,隔膜134的气动控制提供隔膜134贴着阀座120的“软”着陆。“软”着陆减少了隔膜在一打开位置和一闭合位置之间移动期间形成颗粒物,上述颗粒物由隔膜134顶着阀座120冲击引起。“软”着陆与通过一个螺线管直接移动隔膜所引起的“硬”着陆相比,还提供反应物以更多的层流穿过阀组件100。
在某些实施例中,动作室346的内部容积包括一个小容积,优选的是约3.0cm3或更少,更优选的是约1.0cm3或更少。如本文所用的术语“动作室的内部容积”定义为当动作室内部的压力减压时动作室的内部容积,并包括连接器349和动作室346与电气控制阀152之间任何气体管路的内部容积。动作室346的小内部容积可以更迅速地加压,结果可以更迅速地驱动隔膜134。
用电子学方法控制的阀152安装在隔膜组件130的汽缸340上,以便减少气体管路到动作室的内部容积增加的容积。气体管路增加的容积将增加动作室346的内部容积,并因此将增加给动作室346加压所需的时间,及因此增加阀242A,242B的响应时间。在一些可供选择的实施例中,如果利用一个气体管路来将用电子学方法控制的阀152连接到隔膜组件130的汽缸340上,则管路的长度优选的是约为1.0英寸或更少,以便减少动作室的内部容积。
将增压的气体供应150连接到用电子学方法控制的阀152上的气体管路151具有一内径为大于约0.125英寸,更优选的是约0.25英寸或更大。气体管路151的较大内径有助于通过提供一个较大的加压气体穿过其的传导充满动作室346的内部容积。结果,供给加压的气体到用电子学方法控制的阀152上的气体管路151的较大内径减少了阀组件242A,242B的响应时间。
再次参见图1,阀242A,242B通过气体入口236B与扩展通道234成流体连通,上述气体入口236B连接到输送管路243B上。在一种情况下,气体入口236A,236B邻近扩展通道234的上面部分237设置。在另一种情况下,气体入口236A,236B沿着上面部分237和下面部分235之间的扩展通道234长度设置。阀242A,242B的输送管路243A,243B可以通过气体导管250A,250B连接到气体入236A,236B上。气体导管250A,250B可以与阀242A,242B成为整体,或者可以与阀242A,242B分开。在一种情况下,阀242A,242B可以极接近地连接到扩展通道234上,以便减少阀242A,242B与气体入口236A,236B之间输送管路243A,243B和气体导管250A,250B中的任何不必要的容积。
扩展通道234具有一个内径,上述内径从扩展通道的上面部分237到下面部分235逐渐增加。在一个特定的实施例中,用于一个适合于处理200mm直径衬底的室的扩展通道234的内径,在扩展通道234的上面部分237处是在约0.2英寸和约1.0英寸之间,更优选的是在约0.3英寸和约0.9英寸之间,和更优选的是在0.3英寸和约0.5英寸之间,而在扩展通道234的下面部分235处是在约0.5英寸和约3.0英寸之间,优选的是在约0.75英寸和约2.5英寸之间,而更优选的是在约1.1英寸和约2.0英寸之间。在另一个特定实施例中,用于适合处理300mm直径衬底的室的扩展通道234的内径,在扩展通道234的上面部分237处是在约0.2英寸和约1.0英寸之间,更优选的是在约0.3英寸和约0.9英寸之间,及更优选的是在0.3英寸和约0.5英寸之间,而在用于300mm衬底的扩展通道234的下面部分235处是在约0.5英寸和约3.0英寸之间,优选的是在约0.75英寸和约2.5英寸之间,及更优选的是在约1.2英寸和约2.2英寸之间。一般,上述尺寸应用于一种扩展通道,上述扩展通道适合于提供一个总气流是在约500sccm和约3000sccm之间。然而,上述尺寸可以改变,以便适应任何穿过其的气流。
扩展通道234可以成形为一种截锥体(包括类似于截锥体的形状)。无论是提供一种气体朝向扩展通道234的壁,还是直接向下朝向衬底210,当气流穿过扩展室234时,由于气体的膨胀作用,所以气流的速度降低。气流的速度降低帮助减少气体吹去吸附在衬底210表面上反应物的可能性。
若不想与理论结合,可以认为,扩展通道234的直径从上面部分237到下面部分235逐渐增加,允许流过扩展通道234的气体绝热膨胀较少,上述绝热膨胀较少有助于控制气体的温度。流过扩展通道234的气体突然绝热膨胀可能降低气体的温度,同时造成气体凝结和形成颗粒物。形成较少的气体绝热膨胀,可以使更多的热量转移到气体或从气体中转移,并因此可以更容易控制气体的温度。逐渐变化的扩展通道可以包括一个或多个锥形内表面,如一种锥形笔直表面,一种凹形表面,一种凸形表面,或它们的组合,或者可以包括一个或多个锥形内表面的若干分段(亦即,一部分是锥形,和一部分不是锥形)。
图8是室盖232扩展部分234其中一个实施例的顶部剖视图。每个气体导管250A,250B可以与气体导管250A,250B的中心线和与扩展通道234圆心的半径线304成α角设置。气体穿过优选的是成一个角度α(亦即当α>0°时)设置的气体导管250A,250B进入,使气体朝一个圆方向流动,如箭头310A(或310B)所示。与直接笔直对着扩展通道(亦即当α=0°时)相反,成一个角度α提供气体,有助于提供更多的层流而不是紊流通过扩展通道234。可以认为,通过扩展通道234的层流导致一种改良的吹扫扩展通道234的内表面和室盖232的其它表面。相反,紊流可能不均匀地流过扩展通道234的内表面和其它表面,并且可能包含其中没有气流的死点或驻点。在一种情况下,气体导管250A,250B和相应的气体入口236A,236B相互隔开,并使一个流动朝向同一圆方向(亦即顺时针或反时针)。
图9是室盖扩展通道另一个实施例的顶部剖视图。所述室盖扩展通道适合于通过一个气体入口636接收来自一个气体导管650的单一气流,上述一个气体导管650连接到一个或多个阀(未示出)上。气体导管650可以与气体导管650的中心线602和与扩展通道234圆心的半径线604成一个角度α设置。成一个角度α(亦即当α>0°时)设置的气体导管650使气体朝一个圆方向流动,如箭头610所示。
图10是室盖扩展通道另一个实施例的顶部剖视图,上述室盖扩展通道适合于接收3种气流,上述3个气体从3个气体导管750A,750B,750C在一起,部分在一起(亦即3个气流的其中2个在一起)或分开通过3个入口736A,736B,736C,上述气体导管750A,750B,750C中每个导管都连接到一个或多个阀(未示出)上。气体导管750A,750B,750C可以与气体导管750A,750B,750C的中心线和与扩展通道734圆心的半径线704成一个角度α设置。成一个角度α(亦即当α>0°时)设置的气体导管750A,750B,750C使气体朝一个圆方向流动,如箭头710所示。
图11示出扩展通道234的剖视图,同时示出穿过扩展通道234的两种气流的简化表示。每个气体导管250A,250B和气体入口236A,236B都可以用与扩展通道纵向轴线290成任何关系设置。每个气体导管250A,250B和气体入口236A,236B优选的是垂直于(其中+B,-B=90°)纵向轴线290设置,或者从气体导管250A,250B的中心线302A,302B到纵向轴线290成一个角度+B或一个角度-B(其中0°<+B<90°或0°<-B<90°)设置。因此,气体导管250A,250B可以如图3所示垂直于纵向轴线290水平设置,可以向下成一个角度+B,或者可以向上成一个角度-B,以便提供一种气流,所述气流朝向扩展通道234的壁而不是直接向下朝向衬底210,这样帮助减少吹出吸附在衬底210表面上的反应物的可能性。此外,气体导管250A,250B的直径可以从阀242A,242B的输送管路243A,243B到气体入口236A,236B逐渐增加,以便在气体进入扩展通道234之前帮助降低气流的速度。例如,气体导管250A,250B可以包括一个逐渐增加的内径,或者可以包括多个具有逐渐增加内径的连接的导管。
尽管通过扩展通道234的确切流动模式还不知道,但可以认为,圆形流动310可以作为一种“涡流”或“螺旋”流动402A,402B通过扩展通道234,如箭头402A,402B所示。在一种情况下,涡流可以帮助形成更有效的吹扫扩展通道234,这是由于涡流模式跨过扩展通道234内表面的扫除作用。
在一个实施例中,使气体入口236A,236B和衬底210之间的距离变得足够远,以便“涡流”流动402分散成一种向下流动,如箭头404所示,因为一种跨过衬底210表面的螺旋流动可能是不理想的。可以认为,“涡流”流动402和向下流动404是以一种有效地吹扫室盖232和衬底210的层流方式前进。在一个特定实施例中,扩展通道234上面部分237与衬底210之间的距离为约1.0英寸或更大,更优选的是约2.0英寸或更大。在一个特定实施例中,距离410的上限取决于实际限制。例如,如果距离410很长,则气体穿过扩展通道234的滞留时间也长,因而用于气体淀积到衬底上的时间也长,并因而生产能力低。此外,如果距离410很长,则制造扩展通道234很困难。一般,距离410的上限对一个适合于处理200mm直径衬底的室来说可以是3英寸或更大,而对一个适合于处理300mm直径衬底的室来说可以是5英寸或更大。
图12示出气体在室盖232的底表面260和衬底210的表面之间两个不同位置502,504处流动的示意图。气体在任何流动分段处,亦即在任何半径处的速度,在理论上由下面方程式决定:
(1)Q/A=V
式中“Q”是气体的流量。“A”是流动的载面积。“V”是气体的速度。气体的速度与流动的截面积(H×2πR)成反比,其中“H”是流动截面的高度,和2πR是流动截面的周长。换句话说,气体的速度与流动截面的高度“H”和流动截面的半径“R”成反比。
将位置502和位置504处流动截面的速度进行比较,同时假定在所有位置处室盖232的底表面260与衬底210的表面之间的气体流量“Q”相等,则气体速度在理论上可以通过让各流动截面积“A”相等而变成相等。为了使位置502和位置504处的流动截面积相等,因为R2>R1,所以在位置502处的高度H1必须大于在位置504处的高度H2。
在操作时,衬底210利用一个自动控制装置(未示出)通过开口208输送到室200中。通过升降销220和自动控制装置的协同操作,将衬底210设置在衬底支承件212上。衬底支承件212将衬底210升起成与室盖232的底表面紧密相对。第一气流可以通过阀242A注入室200的扩展通道234,上述第一气流注入与通过阀242B注入室200的第二气流一起或分开(亦即脉冲)。第一气流可以包括一个来自吹扫气源240的吹扫气体连续流和来自反应物气源238的反应物气体脉冲,或者可以包括来自反应物气源238的反应物气体脉冲和来自吹扫气源240的吹扫气体脉冲。第二气流可以包括来自吹扫气源240的吹扫气体连续流和来自反应物气源239的反应物气体的脉冲,或者可以包括来自反应物气源239的反应物气体脉冲和来自吹扫气源240的吹扫气体脉冲。气流作为一种涡流模式402穿过扩展通道234,上述涡流模式402提供一种跨过扩展通道234内表面的扫除作用。涡流模式402分散成一种向下流动404朝向衬底210的表面。当它穿过扩展通道234时,气流的速度降低。然后气流跨过衬底210的表面和跨过室盖232的底部表面260前进。向下倾斜的室盖232底表面260帮助减少气流跨过衬底210表面的速度变化。然后气流通过节流262前进并进入室200的泵送区266。过量的气体,副产品等流入泵送通道279,和然后通过真空系统278从室200中排放。在一种情况下,气流以一种层流方式穿过扩展通道234和在衬底210的表面与室盖232的底表面260之间前进,上述层流方式帮助将反应物气体均匀暴露在衬底210的表面上,并有效地吹扫室盖232的内表面。
图13和14示出一种气体输送系统的可供选择的实施例,上述气体输送系统能按照本发明所述实施原子层淀积。因为某些元件与上述的那些元件相同或类似,所以在合适的地方采用相同的标号。
更具体地说,图13示出一种具有一个气体输送装置830的室800,上述气体输送装置830包括一个室盖832,该室盖860具有一个基本上是平的底表面860。在一种情况下,节流口262和衬底支承件210之间的间距是在约0.04英寸和约2.0英寸之间,更优选的是在约0.04英寸和约0.2英寸之间。
图14示出一种具有一个气体输送装置930的室900,上述气体输送装置930包括一个室盖932,所述室盖932提供一个具有一小容积的反应区964,和提供一种向下倾斜或漏斗形底部表面960。气体源937通过一个或多个阀941连接到通道933上。在一种情况下,通道933具有一个很长的长度,以便减少通过阀941加入的气体吹出吸附在衬底表面210上的反应物的可能性。
图15是本发明用的一种气体箱1000的一个实施例示意图。为了清楚和说明方便起见,气体箱1000将参照图3所示的室200进行说明。气体箱1000提供一种或多种化合物给阀242A,242B。气体箱1000可以是一个或多个气体箱分段(示出两个分段1000A,1000B)。每个气体箱分段1000A,1000B还可以包括一个通向相应吹扫气源240,241的连接部分1010。各气箱分段1000A,1000B还可以包括各种不同的阀,上述阀用于调节或另外控制提供给阀242A,242B的化合物。
图16是罐1300一个实施例的示意剖视图,上述罐1300用于通过由一种固体反应物源如五二甲胺钽(PDMAT)升华产生一种气体。罐1330可以适合于由一液体反应物源提供一种气体。一般,罐1330包括一个侧壁1202,一个盖1204,和一个封闭一内部容积1238的底部1232。盖1204或侧壁1202的至少其中之一包括一个入口1206和一个出口1208用于气体的进出。入口和出口1206,1208连接到阀1112,1114上,上述阀1112,1114装配一配合的拆卸配件1236A,1236B,以便于从气体输送系统230取下罐1300。可任选地,将一个捕油器1250连接在出口1208和阀1114之间,以使捕获在流到处理室200的气体中可能存在的油粒。
罐1300的内部容积1238分开成一个上面区1218和一个下面区1234。源固体1214至少部分地装满下面区1234。一个管道1302设置在罐1300的内部容积1238中,并适合于使罐1300内的气流朝向远离源固体1214的方向,同时有利地防止流出管道1302的气体直接碰撞源固体1214和使颗粒物变成悬浮在空气中和通过出口1208带走并进入处理室200。
管道1302在一第一端1304处连接到入口1206上。管道1302从第一端1304延伸到一第二端1326A,上述第二端1326A设置在上面区1218中源固体1214的上方。第二端1326A可以适合于使气流朝向侧壁1202,因此防止气流直接(线性)穿过在口1206,1208之间的罐1300,同时形成一个延长的平均流路。
在一个实施例中,管道1302第二端1326A的出口1306相对于罐1300的中心轴线1308成一个约15°-约90°的角度设置。在另一个实施例中,管道1302具有一个“J”形第二端1326B,上述“J”形第二端1326B使离开出口1306的气流朝向罐1300的盖1204。在另一个实施例中,管道1302具有一个第二端1326C,所述第二端1326C具有一个封闭管道1302末端的塞或帽1310。第二端1326C具有至少一个开口1328,上述开口1328在管道1302的侧面靠近帽1310形成。离开开口1328的气体通常朝向垂直于中心垂线1308并远离设置在罐1300下面区1234中的源固体1214。可任选地,至少一个如上所述的隔板1210(用虚线示出)可以设置在罐1300内,并与上述管道1302实施例的其中之一串联使用。
在操作时,罐1300的下面区至少部分地装有一种源固体1214。可供选择地,可以将一种液体1216加到源固体1214中,以便形成一种浆料1212。将罐1300保持在所希望的压力下,并用一电阻加热器1230加热到所希望的温度,上述电阻加热器1230靠近罐1300设置。一种载气如氩气以一个所希望的速率流过入口1206和管道1302进入上面区1218。管道1302的第二端1326A使在延长的平均流路中载气流朝向远离出口1208的方向,同时有利的是增加了载气在罐1300上面区1218中的停留时间,并防止载气在源固体1214上直接流动,以使颗粒物产生最少。在罐1300中增加的停留时间有利的是增加了升华的固体蒸汽在载气内的饱和程度,而同颗粒物产生的减少改良了产品的生产率,节省了源固体,并减少了下游污染。
参见图15,室200和气体箱1000的各种元件的温度可以控制,以便减少室中不想要的颗粒形成。例如,控制温度可以防止室200和气体箱1000的各种元件上的气体分解,淀积,或凝结。例如,可能理想的情况是,反应物从反应物源到气体分配系统230的流动路线是处在一比较高的温度下,以防止流动路线中反应物的凝结(亦即蒸气变成固体或蒸汽变成液体)。可能理想的情况是,室体202和室盖232都处在比较低的温度下,以防止反应物淀积在室体和室盖的表面上。
在一个实施例中,罐1300保持在约60℃和约70℃之间的一个温度下。从罐1300到阀242A和从罐1300到前级管道的气体管路(用区域1330代表),如通过加热带或其它加热装置保持在约80℃和约90℃之间的一个温度下。阀242A保持在约80℃和约90℃之间的一个温度下。从阀242A到室体202的气体管路255(用区域1332代表),如通过加热带或其它加热装置保持在约85℃和约95℃之间的一个温度下。优选的是,有一个反应物从罐1300到室体202的流动路线稍微增加的温度梯度,以便反应物的任何凝结都将朝罐方向流动而不是朝室体202方向流动。此外,吹扫气体源240优选的是提供一种在约85℃和约95℃之间一个温度下的经过预热吹扫气体如氩气。经过预热的吹扫气体帮助减少在区域1332处颗粒物形成的可能性,因为在区域1332处的各气体膨胀而在区域1332处增加了容积。
然后,将从室板部分270到帽272的管路255(用区域1334代表),如通过一筒形加热器或加热带保持在约45℃和约55℃之间的一个温度下,在另一个实施例中,区域1334不直接加热(亦即没有直接控制区域1334温度的加热装置)。
在一个实施例中,从吹扫气体源和含氮源到阀242B的气体管路不加热。阀242B不加热。从阀242B到室体202的气体管路255和从室板部分270到帽272的气体管路255也不加热。
在一个实施例中,室侧壁204保持在约20℃和约25℃之间的一个温度下。室板部分270保持在约25℃和约35℃之间的一个温度下。帽272保持在约30℃和约40℃之间的一个温度下,室侧壁202可以通过穿过其形成通道295(图1),并通过上述通道提供温度控制流体,如一种冷却流体或加热流体,保持在一个所希望的温度下。
在一个实施例中,室板部分270和帽272不包括加热或冷却元件。室板部分270和帽272的冷却通过从室板部分270和帽272到室侧壁204的热传递提供。在另一些实施例中,室板部分270和帽272可以包括冷却元件和/或加热元件。在一个实施例中,穿过室体202的气体管路255不接触室体202和/或通过一个绝热体与室体202分开,上述绝热体使气体管路255与室体202之间的热传递减至最少。
在某些实施例中,阀242A,242B与室盖232分开或远离室盖232安装,如图1所示安装在室体202的下方,以便简化室盖232温度的控制。例如,一个安装到室盖232上或紧密靠近室盖232安装的经过加热的阀可以将热量传递到室盖232上。传到室盖232上的热量可能引起或增加气体在室盖内表面上,如在膨胀通道234和底表面260上的不想要的淀积。远离盖安装的阀242A,242B不显著增加反应区264的容积,因为有很少或者没有气体返流到气体导管250A、250B中。例如,在由阀242A,242B提供吹扫气体连续流同时反应物按剂量加入吹扫气体流中的情况下,有一个非常恒定的正向气体流进入室体202中,上述正向气体流通过气体导管252A,252B提供。
控制单元280,如一种编好程序的个人计算机,工作站计算机等,可以连接到室200上,以便控制各处理条件,如图1所示。例如,控制单元280可以成形为在衬底处理顺序的不同阶段期间,控制从气源238,239,240出来的各种工艺流程气体和吹扫气体通过阀242A,242B的流动。控制单元280可以包括一个中央处理单元(CPU)282,配套电路284,和含有相关控制软件283的存储器286。
控制单元280可以是任何形式通用计算机处理器的其中之一,上述通用计算机处理器可以在工业调整中用于控制各种不同的室和子处理器。CPU282可以用任何合适的存储器286,如随机存取存储器,只读存储器,软盘驱动器,硬盘,或数字存储,本地或远程的其它形式。各种配套电路可以耦合到CPU282上用于支承室200。控制单元280可以耦合到另一个控制器上,上述另一个控制器邻近各单元室元件,如阀242A,242B的可编程逻辑控制器248A、248B设置。控制单元280与室200的各种其它元件之间的双向通信通过许多信号电缆处理,上述许多信号电缆集体地称之为信号总线288,上述信号总线288的其中一部分在图1中示出。除了从气源238、239、240和从阀242A、242B的可编程逻辑控制器248A、248B控制工艺流程气体和吹扫气体之外,控制单元280还可以成形为担负自动控制晶片处理中所用的其它活动,如晶片运输,温度控制,室抽真空,连同其它活动一道,其中某些活动在本文其它地方说明。
上述的处理室200和气体输送装置230,可以用来有利地执行各元素的循环淀积,或者执行各种化合物或合金/组合薄膜的循环淀积,上述各元素包括但不限于,钽、钛、钨和铜,而上述各种化合物或合金/组合薄膜包括但不限于,一个衬底表面上的氮化钽、氮化钽硅、氮化钛、氮化钛硅、氮化钨、氮化钨硅、及铜铝。如上所述,处理室200和气体输送装置230,也可以用来有利地执行各种材料在一个衬底表面上的化学汽相淀积。
如本文所用的,“衬底表面”涉及在其上完成薄膜处理的任何衬底表面。例如,衬底表面可以包括硅,氧化硅、掺杂的硅、锗、砷化镓、玻璃、蓝宝石及任何其它材料如各种金属,金属氮化物,金属合金,及其它导电材料,上述材料视用途而定。衬底材料还可以包括介电材料如二氧化硅和掺碳的二氧化硅。
如本文所用的“循环淀积”涉及依次加入两种或多种反应性化合物,以便在一个衬底表面上淀积单层材料。两种或多种反应性化合物交替式加入一个处理室的反应区。每种反应性化合物通过一个时间延迟分开,以便让每种化合物粘附在衬底表面上和/或在衬底表面上反应。在一种情况下,将一种第一前体或化合物A在第一时间延迟之后脉冲加入反应区中。接着,将一种第二前体或化合物B在第二时间延迟之后脉冲加入反应区中。当希望一种三元材料,如氮化钛硅时,例如,将一种第三化合物(C)在第三时间延迟之后按剂量/脉冲加入反应区中。在每个时间延迟期间,都将一种惰性气体如氩加入处理室中,以便吹扫反应区或者用别的办法除去反应区中任何残留的反应性化合物。可供选择地,吹扫气体可以在淀积过程中自始至终连续地流动,以便在时间延迟期间,各反应性化合物的脉冲之间仅是吹扫气体流动。各反应性化合物可供选择地脉冲至在衬底表面上形成所希望的薄膜或薄膜厚度时为止。
如本文所用的“脉冲”或“剂量”预定是涉及瞬时或不连续地加入一个处理室反应区的一种特定化合物的量。在每个脉冲内一种特定化合物的量可以在超出时间时变化,这取决于脉冲的持续时间。每个脉冲的持续时间是取决于许多因素的变量,上述许多因素如,例如,所用处理室的容积容量,连接于其上的真空系统,及特定化合物自身的挥发性/反应性。
每个脉冲/剂量的持续时间都是可变的,并且可以调节,以便例如适应处理室的容积容量及连接于其上的真空系统的能力。此外,一种化合物的加剂量时间可以按照化合物的流动速率,化合物的压力,化合物的温度,加剂量阀的类型,所用控制系统的类型,及化合物吸附到衬底表面上的能力等改变。加剂量时间还可以根据所形成层的类型和装置的几何形状改变。一般,加剂量时间应该足够长,以便提供一个足够吸附/化学吸附到基本上是衬底整个表面上的化合物容积,并在其上形成一层所希望的化合物厚度。
术语“化合物”预定包括一种或多种前体,氧化剂、还原剂、反应剂和催化剂,或它们的组合。术语“化合物”还预定包括一组化合物,如当在处理室中同时加两种或多种化合物时的情况。例如,一组化合物可以包括一种或多种催化剂和一种或多种前体。术语“化合物”还预定包括如通过离解或电离作用处于活化状态或别的激励状态的一种或多种前体,氧化剂,还原剂,反应剂和催化剂,或它们的一种组合。
可以认为,用来在一个衬底表面上物理吸附,吸附、吸收,或化学吸附一单层反应剂的化学吸力是自限制的,其中在一规定的脉冲期间只有一个单层可以淀积到衬底表面上,因为衬底表面具有一有限的位置可供反应剂利用。一旦有限数的位置被反应剂占据,则将阻止反应剂的进一步淀积,循环可以重复到一个所希望的氮化钽层厚度。
为了清楚和便于说明起见,方法将进一步说成是它涉及用一种循环淀积技术淀积一种氮化钽(TaN)阻挡层。一种含钽化合物如五二甲胺基钽(PDMAT;Ta(NMe2)5)的脉冲可以通过阀242A由气源238加入。含钽化合物可以借助于一种载气提供,上述载气包括但不限于氦(He)、氩(Ar)、氮(N2)、氢(H2)及它们的组合。一种含氮化合物如氨的脉冲可以通过阀242A由气源239加入。载气也可以用来帮助输送含氮化合物。吹扫气体如氩可以通过阀242A和/或阀242B由气源240加入。在一种情况下,吹扫气流可以通过阀242A,242B由气源240连续提供,以便起含钽化合物脉冲和含氮化合物脉冲之间吹扫气体的作用,和在含钽化合物和含氮化合物二者的脉冲期间起一种载气作用。在一种情况下,通过两个气体导管250A、250B输送吹扫气体提供反应区264更完善的吹扫,而不是通过一个气体导管250A、250B提供的一种吹扫气体。在一种情况下,反应物气体可以通过一个气体导管250A、250B输送,因为一种反应物气体如一种含钽化合物或一种含氮化合物的流动均匀性不象吹扫气体,由于反应物在衬底结构表面上的自限制吸附过程的均匀性那样关键。在另一些实施例中,吹扫气体可以用脉冲提供。在另一些实施例中,吹扫气体可以用多于或少于两个气流提供。在另一些实施例中,一种含钽气体可以用一个以上气流(亦即两个或多个气流)提供。在另一实施例中,一种含氮气体可以用一个以上气流(亦即两个或多个气流)提供。
含钽化合物的一些例子,包括,但不限于,其它的有机金属化合物前体或它们的衍生物,如五乙基甲基胺钽(PEMAT:Ta[N(C2H5CH3)2]5),五二乙基胺钽(PDEAT;Ta(NEt2)5),及PEMAT、PDEAT或PDMAD的任何或所有的衍生物。另一些含钽化合物在不限制情况下包括TBTDET(Ta(NEt2)3NC4H9或C16H39N4Ta)和钽卤化物,例如TaX5,此外X是氟(F),溴(Br)或氯(Cl),和/或它们的衍生物。可以使用另一些含氮化合物,上述另一些含氮化合物包括,但不限于,NxHy,其中x和y是整数(比如,肼(N2H4)),二甲肼((CH3)2N2H4),特丁肼(C4H9N2H3,苯肼(C6H5N2H3),其它的肼衍生物,一种氮等离子体源(比如,N2,N2/H2,NH3,或一种N2H4等离子体),2,2’-偶氮异丁烷((CH3)6C2N2),乙基叠氮化物(C2H5N3),及其它合适的气体。吹扫气体的另一些例子包括,但不限于,氦(He),氮(N2),氢(H2),其它气体,及它们的组合。
氮化钽层形成说成是从在衬底上吸附一层含钽化合物开始,接着吸附一层含氮化合物。可供选择地,氮化钽层形成可以从在衬底上吸附一层含氮化合物开始,接着吸附一层含钽化合物。而且,在另一些实施例中,可以在各反应物气体的脉冲之间单独使用泵抽真空,以防各反应物气体混合。
含钽化合物每个脉冲的持续时间,含氮化合物每个脉冲的持续时间,及各反应物脉冲之间吹扫气体的持续时间都是可变的,并取决于所用淀积室的容积容量及与其连接的真空系统。例如,(1)一种气体的下面室压力将要求较长的脉冲时间;(2)一个较低的气体流速对室压力将要求一较长的时间,以便增加和稳定要求一较长的脉冲时间;及(3)一个大容积室采取充填时间越长,则室压稳定这种要求一较长脉冲时间越长。同样,每个脉冲之间的时间也是可变的,并取决于处理室的容积容量及与其连接的真空系统。一般,含钽化合物或含氮化合物的一个脉冲持续时间应足够长,以便吸附一层化合物。在一种情况下,当一种含氮化合物的一个脉冲进入时,一种含钽化合物的一个脉冲仍可以处在室中。一般,吹扫气体和/或泵抽真空的持续时间应长到足够防止含钽化合物的脉冲和含氮化合物的脉冲在反应区中混合在一起。
一般,含钽化合物一个脉冲时间为约1.0秒或更少和含氮化合物的一个脉冲时间为约1.0秒或更少,通常足够在一衬底结构上吸附交替的单层。含钽化合物脉冲和含氮化合物脉冲之间约1.0秒或更少的时间通常足够用于吹扫气体,不管是一种连续吹扫气体还是一种吹扫气体的脉冲,防止含钽化合物的脉冲和含氮化合物的脉冲在反应区中混合在一起。当然,反应物较长的脉冲时间可用来保证含钽化合物和含氮化合物的吸附,而反应物各脉冲之间的较长时间可用来保证除去反应副产物。
在淀积期间,衬底210可以保持在大约低于一选定的含钽化合物的热分解温度下。在一低于约100乇,优选的是低于约50乇的室压下,本文所确定的与含钽化合物一起用的示例性加热温度范围大约是在约20℃和约500℃之间。当含钽气体是PDMAT时,加热温度优选的是在约100℃和约300℃之间,更优选的是在约175℃和250℃之间,而室压是在约1.0和约5.0乇之间。在另一些实施例中,应该理解,其它的温度和压力也可以用。例如,可以利用一个超过热分解温度的温度。然而,温度应如此选定,以便高于50%的淀积活性是通过吸附处理。在另一个例子中,可以利用一个高于热分解温度的温度,其中在每个前体淀积期间的分解量如此加以限制,以使生长模式将类似于原子层淀积生长模式。
通过循环淀积来淀积氮化钽层的一个示例性方法,包括通过阀242A从气源238以一个在约100sccm和约1000sccm之间,优选的是在100sccm和约400sccm之间的一个流速,提供五二甲胺基钽(PDMAT)脉冲,脉冲时间为约0.5秒或更少,约0.1秒或更少,或者由于反应区264的较小容积为约0.05秒或更少。氨气脉冲可以通过阀242B从气源239以一个在约100sccm和约1000sccm之间,优选的是在200sccm和约600sccm之间的流速提供,脉冲时间为约0.5秒或更少,约0.1秒或更少,或者由于反应区264的较小容积为约0.05秒或更少。通过阀242A,242B从气源240可以连续地提供在约100sccm和约1000sccm之间,优选的是在约100sccm和约400sccm之间的流速下的氩吹扫气体。含钽化合物脉冲和含氮化合物的脉冲之间的时间可以是约0.5秒或更少,约0.1秒或更少,或者由于反应区264较小的容积为0.07秒或更少。可以认为,为用一种反应物气体和/或一种吹扫气体充满反应区264,需要一脉冲时间为约0.016秒或更多。在约1.0和约5.0乇之间的室压下,加热器温度优选的是保持在约100℃和约300℃之间。这种方法提供一种厚度在每个循环约0.5和约10的氮化钽层。可以重复交错的顺序直至达到所希望的厚度为止。
在一个实施例中,层如一个氮化钽层淀积到侧壁覆盖厚度为约50或更少。在另一个实施例中,上述层淀积到覆盖侧壁上厚度为约20或更少。在还有另一个实施例中,上述层淀积到侧壁覆盖厚度为约10或更少。具有厚度约为10或更少的氮化钽层在作为防止铜扩散的阻挡层应用时,被认为是一个足够的厚度。在一种情况下,可以用一种薄阻挡层来有利于充填亚微米(比如小于约0.15μm)和具有高纵横比(比如大于5-1)的更小部件。当然,可以使用具有侧壁覆盖厚度大于50的一层。
当在一个衬底上吸附一单层反应物时,上面已经说明了循环淀积的一些实施例。本发明还包括其中,反应物吸附到多于或少于一个单层上的实施例。本发明还包括其中反应物不以一种自限制方式淀积的一些实施例。本发明还包括其中淀积主要是一种化学汽相淀积法产生的一些实施例,在上述化学汽相淀积法中,反应物依次或同时输送。
当利用两种反应物的脉冲淀积一种氮化钽二元化合物时,上面已经说明了循环淀积的一些实施例。在淀积其它元素或化合物时,也可以利用两种或多种反应物的脉冲。
尽管上述内容是针对本发明的优选实施例,但在不脱离本发明基本范围的情况下,也可以产生本发明的其它和另一些实施例,并且本发明的范围由下面的权利要求确定。

Claims (20)

1.一种室,其包括:
一个衬底支承件,所述衬底支承件具有一个接收衬底的表面;
一个室盖,所述室盖包括一个逐渐扩展的通道和一个底部表面,上述逐渐扩展的通道从室盖的中央部分向下延伸,而上述底部表面从通道延伸到室盖的周边部分,底部表面加工成一定形状和尺寸,以便基本上盖住接收衬底的表面;
一个或多个阀,上述一个或多个阀与逐渐扩展的通道成流体连通;及
一个或多个气源,上述一个或多个气源与每个阀成流体连通。
2.如权利要求1所述的室,还包括一个或多个气体导管,上述气体管道流体式连接一个或多个阀及通道,并垂直于通道的纵向轴线设置。
3.如权利要求2所述的室,其中,一个或多个气体导管与通道的纵向轴线成一个角度设置。
4.如权利要求1所述的室,其中,室盖的底部表面包括一个锥形表面,该锥形表面从覆盖件的中央部分延伸,上述锥形表面包括一个从一组表面中选定的表面,上述一组表面包括一种笔直表面,一种凹形表面,一种凸形表面,或它们的组合。
5.如权利要求1所述的室,其中,通道成形为一种截锥体。
6.如权利要求1所述的室,其中,通道包括一个上面部分和一个下面部分,上面部分具有一比下面部分小的内径。
7.如权利要求1所述的室,其中,将一个共同的吹扫气源连接到每个阀上,和其中将分开的反应物气源连接到每个阀上。
8.如权利要求1所述的室,还包括一个节流口,上述节流口邻近底部表面的周边设置在室盖上。
9.如权利要求1所述的室,其中,反应区的截面积从通道到反应区的周边基本上是均匀的。
10.一种室,其包括:
一个衬底支承件,所述衬底支承件具有一个接收衬底的表面;
一个室盖,所述室盖包括一个扩展通道和包括一个锥形的底部表面,上述扩展通道从室盖的中央部分延伸,而上述锥形的底部表面从扩展通道延伸到室盖的周边部分;
一个或多个气体导管,上述气体导管围绕扩展通道的上面部分设置,其中,一个或多个气体导管与扩展通道的中心呈一个角度设置;
一个或多个阀,上述一个或多个阀连接到逐渐扩展的通道上;及
一个节流口,上述节流口邻近锥形底部表面的周长设置在室盖上。
11.如权利要求10所述的室,其中,一个或多个气体导管垂直于扩展通道的纵向轴线设置。
12.如权利要求10所述的室,其中,一个或多个气体导管与扩展通道的纵向轴线成一个角度设置。
13.如权利要求10所述的方法,其中,反应区的截面积从通道到反应区的周边基本上是均匀的。
14.如权利要求9所述的室,其中,一个或多个阀安装在室盖上。
15.如权利要求9所述的室,其中,一个或多个阀安装在室体的下方。
16.如权利要求9所述的室,还包括一个罐,上述罐适合于装一种含钽化合物,罐处于约60℃和约70℃之间的一个温度下。
17.将各种气体输送到一个衬底处理室中衬底上的方法,所述方法包括:
将一种或多种气体跃过衬底的整个中央部分范围沿一个初始圆形方向提供到衬底处理室中;
通过非绝热膨胀降低各气体的速度;
将各种气体提供给衬底的中央部分;及
以一种基本上均匀的速度使各种气体径向上从衬底的中央部分向衬底的周边部分跨过衬底。
18.如权利要求17所述的方法,其中,基本上均匀的速度包括最大速度与最小速度的比值为约2.0或更小。
19.如权利要求17所述的方法,还包括在一向下流动路线中以一个第二速度朝向衬底中央部分提供各种气体,上述第二速度小于第一速度。
20.如权利要求17所述的方法,还包括以一个第三速度从衬底的边缘朝一径向方向上提供各种气体,其中第三速度大于基本上均匀的速度。
CN028239032A 2001-10-26 2002-10-25 用于原子层淀积的气体输送装置 Expired - Lifetime CN1774525B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US34608601P 2001-10-26 2001-10-26
US60/346,086 2001-10-26
US10/032,284 US6916398B2 (en) 2001-10-26 2001-12-21 Gas delivery apparatus and method for atomic layer deposition
US10/032,284 2001-12-21
US39723002P 2002-07-19 2002-07-19
US60/397,230 2002-07-19
PCT/US2002/034553 WO2003035927A2 (en) 2001-10-26 2002-10-25 Gas delivery apparatus for atomic layer deposition

Publications (2)

Publication Number Publication Date
CN1774525A true CN1774525A (zh) 2006-05-17
CN1774525B CN1774525B (zh) 2010-06-16

Family

ID=36760924

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2007101017141A Expired - Lifetime CN100524692C (zh) 2001-10-26 2002-10-25 在衬底上形成金属互连的方法
CN028239032A Expired - Lifetime CN1774525B (zh) 2001-10-26 2002-10-25 用于原子层淀积的气体输送装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB2007101017141A Expired - Lifetime CN100524692C (zh) 2001-10-26 2002-10-25 在衬底上形成金属互连的方法

Country Status (3)

Country Link
US (10) US6916398B2 (zh)
KR (1) KR20040058239A (zh)
CN (2) CN100524692C (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102144281A (zh) * 2008-09-08 2011-08-03 应用材料股份有限公司 原位腔室处理与沉积工艺
CN101528973B (zh) * 2006-10-24 2012-04-25 应用材料公司 用于原子层沉积的涡流室盖
CN101809196B (zh) * 2007-09-26 2013-07-10 伊斯曼柯达公司 用于形成薄膜的沉积系统
US8778079B2 (en) 2007-10-11 2014-07-15 Valence Process Equipment, Inc. Chemical vapor deposition reactor
CN108060410A (zh) * 2017-12-15 2018-05-22 浙江晶盛机电股份有限公司 用于平板式pecvd的进气管道保护结构
CN110662858A (zh) * 2017-02-02 2020-01-07 弗谢沃洛德·弗拉基米罗维奇·龙丁 将气体供应至反应器中以生长基于iii族金属氮化物的外延结构的方法、以及用于实施该方法的装置
CN112378603A (zh) * 2020-11-18 2021-02-19 北京自动化控制设备研究所 原子气室的漏率检测方法及系统

Families Citing this family (769)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6451692B1 (en) * 2000-08-18 2002-09-17 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) * 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7220312B2 (en) * 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
KR100476370B1 (ko) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US20050181212A1 (en) * 2004-02-17 2005-08-18 General Electric Company Composite articles having diffusion barriers and devices incorporating the same
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
JP3866655B2 (ja) * 2002-12-26 2007-01-10 励起 渡辺 処理装置及び処理方法
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US20040180551A1 (en) * 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
JP5342110B2 (ja) * 2003-05-27 2013-11-13 アプライド マテリアルズ インコーポレイテッド 前駆物質を含むソースキャニスタ及びこれを用いて特徴部を充填する方法
CN100593235C (zh) * 2003-06-13 2010-03-03 应用材料公司 用于铜金属化的ald氮化钽的集成
WO2004112114A1 (ja) * 2003-06-16 2004-12-23 Tokyo Electron Limited 成膜方法、半導体装置の製造方法、半導体装置および成膜装置
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US6880592B2 (en) * 2003-06-26 2005-04-19 Advanced Technology Materials, Inc. Canister guard
US20050037613A1 (en) * 2003-08-14 2005-02-17 Stephan Grunow Diffusion barrier for copper lines in integrated circuits
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7169713B2 (en) * 2003-09-26 2007-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition (ALD) method with enhanced deposition rate
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
TW200524018A (en) * 2003-11-20 2005-07-16 Ulvac Inc Method of cleaning surface of semiconductor substrate, method of manufacturing film, method of manufacturing semiconductor device and semiconductor device
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7445810B2 (en) * 2004-04-15 2008-11-04 Hewlett-Packard Development Company, L.P. Method of making a tantalum layer and apparatus using a tantalum layer
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7211507B2 (en) * 2004-06-02 2007-05-01 International Business Machines Corporation PE-ALD of TaN diffusion barrier region on low-k materials
JP5179179B2 (ja) * 2004-06-28 2013-04-10 ケンブリッジ ナノテック インコーポレイテッド 蒸着システムおよび蒸着方法
US7605469B2 (en) * 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
KR100552820B1 (ko) * 2004-09-17 2006-02-21 동부아남반도체 주식회사 반도체 소자의 제조 방법
JP4783561B2 (ja) * 2004-09-27 2011-09-28 株式会社アルバック 銅配線の形成方法
WO2006035784A1 (ja) * 2004-09-27 2006-04-06 Ulvac, Inc. 銅含有膜形成方法
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7078810B2 (en) * 2004-12-01 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication method thereof
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060199386A1 (en) * 2004-12-27 2006-09-07 Jim-Jey Huang Semiconductor device with low-resistance inlaid copper/barrier interconnects and method for manufacturing the same
JP4934595B2 (ja) * 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
CN101115864B (zh) * 2005-02-10 2010-10-13 东京毅力科创株式会社 薄膜的叠层结构、其形成方法、成膜装置和存储介质
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
JP4931174B2 (ja) * 2005-03-03 2012-05-16 株式会社アルバック タンタル窒化物膜の形成方法
JP4931173B2 (ja) * 2005-03-03 2012-05-16 株式会社アルバック タンタル窒化物膜の形成方法
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7351285B2 (en) * 2005-03-29 2008-04-01 Tokyo Electron Limited Method and system for forming a variable thickness seed layer
WO2006106767A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路対及び伝送線路群
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070054047A1 (en) * 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
US20070054046A1 (en) * 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US8454804B2 (en) * 2005-10-28 2013-06-04 Applied Materials Inc. Protective offset sputtering
US8460519B2 (en) * 2005-10-28 2013-06-11 Applied Materials Inc. Protective offset sputtering
US7884032B2 (en) * 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7942970B2 (en) * 2005-12-20 2011-05-17 Momentive Performance Materials Inc. Apparatus for making crystalline composition
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
KR100717501B1 (ko) * 2005-12-29 2007-05-14 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법
US7918938B2 (en) * 2006-01-19 2011-04-05 Asm America, Inc. High temperature ALD inlet manifold
US20070169687A1 (en) * 2006-01-26 2007-07-26 Caracal, Inc. Silicon carbide formation by alternating pulses
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US20070193637A1 (en) * 2006-02-23 2007-08-23 Micron Technology, Inc. Systems and methods for controlling fluid flow
US7645484B2 (en) * 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20080026149A1 (en) * 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
JP2008034648A (ja) * 2006-07-28 2008-02-14 Dainippon Screen Mfg Co Ltd 基板処理装置
JP5175285B2 (ja) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド エピタキシャル層形成中の形態制御方法
CN101496153A (zh) 2006-07-31 2009-07-29 应用材料股份有限公司 形成含碳外延硅层的方法
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
JP5125031B2 (ja) * 2006-08-29 2013-01-23 東京エレクトロン株式会社 真空処理装置及び真空処理方法
US7544604B2 (en) * 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7432548B2 (en) 2006-08-31 2008-10-07 Micron Technology, Inc. Silicon lanthanide oxynitride films
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US20080132039A1 (en) * 2006-12-01 2008-06-05 Yonah Cho Formation and treatment of epitaxial layer containing silicon and carbon
US7741200B2 (en) * 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US8026605B2 (en) * 2006-12-14 2011-09-27 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US7776733B2 (en) * 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
US7589020B2 (en) * 2007-05-02 2009-09-15 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
KR20100072316A (ko) * 2007-10-19 2010-06-30 엠케이에스 인스트루먼츠, 인코포레이티드 높은 가스 유량 공정을 위한 환형 플라즈마 챔버
US20090107955A1 (en) * 2007-10-26 2009-04-30 Tiner Robin L Offset liner for chamber evacuation
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8187381B2 (en) 2008-08-22 2012-05-29 Applied Materials, Inc. Process gas delivery for semiconductor process chamber
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8425977B2 (en) * 2008-09-29 2013-04-23 Applied Materials, Inc. Substrate processing chamber with off-center gas delivery funnel
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US20100120245A1 (en) * 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US8557702B2 (en) * 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
FI123539B (fi) * 2009-02-09 2013-06-28 Beneq Oy ALD-reaktori, menetelmä ALD-reaktorin lataamiseksi ja tuotantolinja
WO2010103953A1 (ja) * 2009-03-13 2010-09-16 東京エレクトロン株式会社 基板処理装置、トラップ装置、基板処理装置の制御方法及びトラップ装置の制御方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8307854B1 (en) 2009-05-14 2012-11-13 Vistadeltek, Inc. Fluid delivery substrates for building removable standard fluid delivery sticks
KR101779849B1 (ko) 2009-06-10 2017-10-10 비스타델텍, 엘엘씨 극 유량 및/또는 고온 유체 전달 기판
US8107274B2 (en) * 2009-07-30 2012-01-31 Chrong-Jung Lin Variable and reversible resistive element, non-volatile memory device and methods for operating and manufacturing the non-volatile memory device
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110097487A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
FI20096380A0 (fi) * 2009-12-22 2009-12-22 Beneq Oy Ohutkalvoaurinkokenno, valmistusmenetelmä ja käyttö
JP5601974B2 (ja) * 2010-01-19 2014-10-08 パナソニック株式会社 半導体装置及びその製造方法
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
CN102002666B (zh) * 2010-10-22 2012-06-27 哈尔滨工业大学 一种铜互联用氮化钽扩散阻挡层的制备方法
US8835308B2 (en) * 2010-12-21 2014-09-16 Applied Materials, Inc. Methods for depositing materials in high aspect ratio features
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5661523B2 (ja) * 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US8815344B2 (en) * 2012-03-14 2014-08-26 Applied Materials, Inc. Selective atomic layer depositions
US9048294B2 (en) 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
US9076661B2 (en) 2012-04-13 2015-07-07 Applied Materials, Inc. Methods for manganese nitride integration
TWI554636B (zh) 2012-04-25 2016-10-21 應用材料股份有限公司 由金屬脒鹽前驅物製造介電膜的方法
US9598766B2 (en) 2012-05-27 2017-03-21 Air Products And Chemicals, Inc. Vessel with filter
US10233541B2 (en) 2012-06-29 2019-03-19 Applied Materials, Inc. Deposition of films containing alkaline earth metals
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US8736056B2 (en) * 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8911826B2 (en) * 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
JP6117588B2 (ja) * 2012-12-12 2017-04-19 東京エレクトロン株式会社 Cu配線の形成方法
JP6017396B2 (ja) 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8735280B1 (en) 2012-12-21 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
WO2014119177A1 (ja) * 2013-01-30 2014-08-07 京セラ株式会社 ガスノズルおよびこれを用いたプラズマ装置
US9659814B2 (en) 2013-02-01 2017-05-23 Applied Materials, Inc. Doping control of metal nitride films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9005704B2 (en) 2013-03-06 2015-04-14 Applied Materials, Inc. Methods for depositing films comprising cobalt and cobalt nitrides
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US20150001720A1 (en) * 2013-06-27 2015-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect Structure and Method for Forming Interconnect Structure
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
KR20150050638A (ko) * 2013-10-29 2015-05-11 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9460932B2 (en) 2013-11-11 2016-10-04 Applied Materials, Inc. Surface poisoning using ALD for high selectivity deposition of high aspect ratio features
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
CN104805418B (zh) * 2014-01-23 2018-05-08 北京北方华创微电子装备有限公司 常压化学气相淀积中的工艺流程控制方法及系统
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9425078B2 (en) * 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN104979276B (zh) * 2014-04-09 2018-05-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9177858B1 (en) 2014-05-08 2015-11-03 GlobalFoundries, Inc. Methods for fabricating integrated circuits including barrier layers for interconnect structures
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
KR102247560B1 (ko) 2014-07-14 2021-05-03 삼성전자 주식회사 Rps에서의 플라즈마 생성방법, 및 그 플라즈마 생성방법을 포함한 반도체 소자 제조방법
JP6446881B2 (ja) * 2014-07-17 2019-01-09 東京エレクトロン株式会社 ガス供給装置及びバルブ装置
TWI680800B (zh) 2014-07-17 2020-01-01 印度商信賴工業有限公司 以化學化合物修飾異相催化劑的方法,異相催化劑及其系統
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
TWI723024B (zh) 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10176999B2 (en) 2015-12-31 2019-01-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device having a multi-layer, metal-containing film
FR3046878B1 (fr) * 2016-01-19 2018-05-18 Kobus Sas Procede de fabrication d'une interconnexion comprenant un via s'etendant au travers d'un substrat
CN107026113B (zh) * 2016-02-02 2020-03-31 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法和系统
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
KR102312122B1 (ko) * 2016-09-15 2021-10-14 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세스를 위한 통합 시스템
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
CN108063117B (zh) * 2016-11-09 2020-12-01 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TW201823501A (zh) 2016-11-16 2018-07-01 美商陶氏全球科技有限責任公司 用於製造膜上之薄塗層之方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US9972501B1 (en) 2017-03-14 2018-05-15 Nano-Master, Inc. Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
DE102017106410A1 (de) 2017-03-24 2018-09-27 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung eines optoelektronischen Bauelements und optoelektronisches Bauelement
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10157785B2 (en) * 2017-05-01 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
SG11201908711VA (en) * 2017-05-02 2019-10-30 Picosun Oy Ald apparatus, method and valve
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004722B2 (en) 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
JP6964473B2 (ja) * 2017-09-14 2021-11-10 東京エレクトロン株式会社 ガス供給装置及び成膜装置
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
CN108415354A (zh) * 2018-01-31 2018-08-17 深圳市方瑞科技有限公司 一种宽幅等离子处理机及其控制方法
CN108415353A (zh) * 2018-01-31 2018-08-17 深圳市方瑞科技有限公司 一种线型等离子机及其控制方法
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2019241405A1 (en) 2018-06-14 2019-12-19 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
WO2020086175A1 (en) 2018-10-25 2020-04-30 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
KR102601581B1 (ko) * 2018-10-31 2023-11-14 삼성전자주식회사 플라즈마 챔버의 가스 공급 장치 및 이를 적용한 플라즈마 처리 장치
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
WO2020090890A1 (ja) * 2018-11-02 2020-05-07 学校法人日本大学 磁化プラズモイド射出装置
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
WO2020131214A1 (en) * 2018-12-20 2020-06-25 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020126881A (ja) * 2019-02-01 2020-08-20 東京エレクトロン株式会社 基板処理装置およびクリーニング方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
CN111948907B (zh) * 2019-05-16 2022-01-28 上海微电子装备(集团)股份有限公司 掩模板温度控制装置和掩模曝光装置
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
CN110112096A (zh) * 2019-05-17 2019-08-09 长江存储科技有限责任公司 金属互连结构及其形成方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
WO2021055771A1 (en) * 2019-09-19 2021-03-25 Applied Materials, Inc. Methods for controlling pulse shape in ald processes
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
CN111029299A (zh) * 2019-12-18 2020-04-17 华虹半导体(无锡)有限公司 金属互连结构的形成方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11087959B2 (en) 2020-01-09 2021-08-10 Nano-Master, Inc. Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11640900B2 (en) 2020-02-12 2023-05-02 Nano-Master, Inc. Electron cyclotron rotation (ECR)-enhanced hollow cathode plasma source (HCPS)
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220081759A1 (en) * 2020-09-17 2022-03-17 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for manufacturing metal gate structures
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022160139A1 (en) * 2021-01-27 2022-08-04 Yangtze Memory Technologies Co., Ltd. Method for forming barrier layer in semiconductor structure
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI806532B (zh) * 2022-03-31 2023-06-21 景碩科技股份有限公司 電路板結構

Family Cites Families (583)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US213987A (en) * 1879-04-08 Improvement in bevels
US86507A (en) * 1869-02-02 Improvement in whip-sockets
US41250A (en) * 1864-01-12 Improvement in burning, roasting,, and smelting ores
US224600A (en) * 1880-02-17 Steam-trap
US14320A (en) * 1856-02-26 Process of painting or varnishing woven wire
US76507A (en) * 1868-04-07 Egbert o br
US76837A (en) * 1868-04-14 John somerville and egbert elsdon
US31807A (en) * 1861-03-26 Improved culinary boiler
US28924A (en) * 1860-06-26 Vapor-lamp
US54769A (en) * 1866-05-15 Iiviproveivient in churn-dashers
US124262A (en) * 1872-03-05 Improvement in oscillating-swings
US31618A (en) * 1861-03-05 Water-elevator
US172872A (en) * 1876-02-01 Improvement in colters
US224578A (en) * 1880-02-17 Fence-post driver
US622893A (en) * 1899-04-11 Electric igniter for gas-engines
US187256A (en) * 1877-02-13 Improvement in windmills
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI118158B (sv) 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
US3291456A (en) 1964-07-01 1966-12-13 Combustion Eng Self-agitating, stabilized flow mixing vessel
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US4732110A (en) 1983-04-29 1988-03-22 Hughes Aircraft Company Inverted positive vertical flow chemical vapor deposition reactor chamber
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
JPH0766910B2 (ja) 1984-07-26 1995-07-19 新技術事業団 半導体単結晶成長装置
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US4614639A (en) * 1985-04-26 1986-09-30 Tegal Corporation Compound flow plasma reactor
US5250148A (en) 1985-05-15 1993-10-05 Research Development Corporation Process for growing GaAs monocrystal film
US4829022A (en) 1985-12-09 1989-05-09 Nippon Telegraph And Telephone Corporation Method for forming thin films of compound semiconductors by flow rate modulation epitaxy
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4838983A (en) 1986-07-03 1989-06-13 Emcore, Inc. Gas treatment apparatus and method
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
JPH0834180B2 (ja) 1986-08-26 1996-03-29 セイコー電子工業株式会社 化合物半導体薄膜の成長方法
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5246536A (en) 1986-09-08 1993-09-21 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
JP2587623B2 (ja) 1986-11-22 1997-03-05 新技術事業団 化合物半導体のエピタキシヤル結晶成長方法
JP2929291B2 (ja) 1986-12-04 1999-08-03 セイコーインスツルメンツ株式会社 絶縁ゲート電界効果トランジスタの製造方法
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5923985A (en) 1987-01-05 1999-07-13 Seiko Instruments Inc. MOS field effect transistor and its manufacturing method
DE3704505A1 (de) 1987-02-13 1988-08-25 Leybold Ag Einlegegeraet fuer vakuumanlagen
JPS63227011A (ja) * 1987-03-17 1988-09-21 Fujitsu Ltd 化学気相成長装置
JPH0727861B2 (ja) 1987-03-27 1995-03-29 富士通株式会社 ▲iii▼−▲v▼族化合物半導体結晶の成長方法
JPH0812844B2 (ja) 1987-03-27 1996-02-07 日本電気株式会社 ▲iii▼−v族化合物半導体およびその形成方法
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
US4840921A (en) 1987-07-01 1989-06-20 Nec Corporation Process for the growth of III-V group compound semiconductor crystal on a Si substrate
JPH0666274B2 (ja) 1987-07-01 1994-08-24 日本電気株式会社 ▲iii▼−v族化合物半導体の形成方法
FI81926C (fi) 1987-09-29 1990-12-10 Nokia Oy Ab Foerfarande foer uppbyggning av gaas-filmer pao si- och gaas-substrater.
US4991542A (en) 1987-10-14 1991-02-12 The Furukawa Electric Co., Ltd. Method of forming a thin film by plasma CVD and apapratus for forming a thin film
DE3743938C2 (de) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
FR2626110A1 (fr) 1988-01-19 1989-07-21 Thomson Csf Procede de realisation par epitaxie d'une couche d'un materiau supraconducteur
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5229081A (en) * 1988-02-12 1993-07-20 Regal Joint Co., Ltd. Apparatus for semiconductor process including photo-excitation process
EP0344352B1 (en) 1988-06-03 1994-09-28 International Business Machines Corporation Method for making artificial layered high-Tc superconductors
FR2628985B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
US4927670A (en) 1988-06-22 1990-05-22 Georgia Tech Research Corporation Chemical vapor deposition of mixed metal oxide coatings
US5234561A (en) 1988-08-25 1993-08-10 Hauzer Industries Bv Physical vapor deposition dual coating process
US4931132A (en) 1988-10-07 1990-06-05 Bell Communications Research, Inc. Optical control of deposition of crystal monolayers
US4917566A (en) * 1988-11-17 1990-04-17 Contractors Crane Service, Inc. Crane attachment for backhoe
US4907534A (en) * 1988-12-09 1990-03-13 Siemens Aktiengesellschaft Gas distributor for OMVPE Growth
US5013683A (en) 1989-01-23 1991-05-07 The Regents Of The University Of California Method for growing tilted superlattices
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4987856A (en) 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
EP0413982B1 (en) 1989-07-27 1997-05-14 Junichi Nishizawa Impurity doping method with adsorbed diffusion source
JP2506451B2 (ja) 1989-08-18 1996-06-12 富士通株式会社 化学気相成長装置及び化学気相成長法
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
JP2926798B2 (ja) 1989-11-20 1999-07-28 国際電気株式会社 連続処理エッチング方法及びその装置
CA2031253A1 (en) 1989-12-01 1991-06-02 Kenji Aoki Method of producing bipolar transistor
FI87892C (fi) 1991-07-16 1993-03-10 Neste Oy Foerfarande foer framstaellning av heterogena katalysatorer med oenskad metallhalt
US5290748A (en) 1990-01-16 1994-03-01 Neste Oy Polymerization catalyst for olefines
FI84562C (fi) 1990-01-16 1991-12-27 Neste Oy Foerfarande och anordning foer framstaellning av heterogena katalysatorer.
US5338389A (en) 1990-01-19 1994-08-16 Research Development Corporation Of Japan Method of epitaxially growing compound crystal and doping method therein
JPH07105497B2 (ja) 1990-01-31 1995-11-13 新技術事業団 半導体デバイス及びその製造方法
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5316615A (en) 1990-03-23 1994-05-31 International Business Machines Corporation Surfactant-enhanced epitaxy
US5173474A (en) 1990-04-18 1992-12-22 Xerox Corporation Silicon substrate having an epitaxial superconducting layer thereon and method of making same
JPH042699A (ja) 1990-04-18 1992-01-07 Mitsubishi Electric Corp 結晶成長方法
US5091320A (en) 1990-06-15 1992-02-25 Bell Communications Research, Inc. Ellipsometric control of material growth
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
DE4027628A1 (de) 1990-08-31 1992-03-05 Wolters Peter Fa Vorrichtung zur steuerung oder regelung von laepp-, hon- oder poliermaschinen
US5085887A (en) * 1990-09-07 1992-02-04 Applied Materials, Inc. Wafer reactor vessel window with pressure-thermal compensation
US5085885A (en) 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5221449A (en) 1990-10-26 1993-06-22 International Business Machines Corporation Method of making Alpha-Ta thin films
JPH0819516B2 (ja) 1990-10-26 1996-02-28 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 薄膜状のアルファTaを形成するための方法および構造
DE69125118T2 (de) * 1990-12-15 1997-06-19 Fujitsu Ltd Verfahren zur Herstellung eines Diamant-Überzuges
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
US5204145A (en) * 1991-03-04 1993-04-20 General Electric Company Apparatus for producing diamonds by chemical vapor deposition and articles produced therefrom
US5705224A (en) 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5224513A (en) * 1991-06-04 1993-07-06 Cselt - Centro Studi E Laboratori Telecomunicazioni S.P.A. Device for introducing reagents into an organometallic vapor phase deposition apparatus
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US6001669A (en) 1991-09-09 1999-12-14 Philips Electronics North America Corporation Method for producing II-VI compound semiconductor epitaxial layers having low defects
US5311055A (en) 1991-11-22 1994-05-10 The United States Of America As Represented By The Secretary Of The Navy Trenched bipolar transistor structures
JP2987379B2 (ja) 1991-11-30 1999-12-06 科学技術振興事業団 半導体結晶のエピタキシャル成長方法
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
JP2763222B2 (ja) * 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
US5397428A (en) 1991-12-20 1995-03-14 The University Of North Carolina At Chapel Hill Nucleation enhancement for chemical vapor deposition of diamond
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
JP2987663B2 (ja) * 1992-03-10 1999-12-06 株式会社日立製作所 基板処理装置
US5458084A (en) 1992-04-16 1995-10-17 Moxtek, Inc. X-ray wave diffraction optics constructed by atomic layer epitaxy
AU4378893A (en) 1992-05-22 1993-12-30 Minnesota Mining And Manufacturing Company Ii-vi laser diodes with quantum wells grown by atomic layer epitaxy and migration enhanced epitaxy
US5285885A (en) * 1992-05-29 1994-02-15 Fishburne International, Inc. Tobacco container sorting conveyor
US5278435A (en) 1992-06-08 1994-01-11 Apa Optics, Inc. High responsivity ultraviolet gallium nitride detector
FI91422C (fi) 1992-06-18 1994-06-27 Mikrokemia Oy Menetelmä ja laitteisto nestemäisten reagenssien syöttämiseksi kemialliseen reaktoriin
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5381485A (en) * 1992-08-29 1995-01-10 Adaptive Control Limited Active sound control systems and sound reproduction systems
JP3405466B2 (ja) 1992-09-17 2003-05-12 富士通株式会社 流体切替弁および半導体装置の製造装置
US5532511A (en) 1992-10-23 1996-07-02 Research Development Corp. Of Japan Semiconductor device comprising a highspeed static induction transistor
US5455072A (en) 1992-11-18 1995-10-03 Bension; Rouvain M. Initiation and bonding of diamond and other thin films
JPH06177349A (ja) * 1992-12-02 1994-06-24 Matsushita Electric Ind Co Ltd 高密度dramの製造方法および高密度dram
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
JP3124861B2 (ja) 1993-03-24 2001-01-15 富士通株式会社 薄膜成長方法および半導体装置の製造方法
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5526244A (en) * 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5330610A (en) 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
JPH0729897A (ja) 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
US5643366A (en) 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US6130147A (en) 1994-04-07 2000-10-10 Sdl, Inc. Methods for forming group III-V arsenide-nitride semiconductor materials
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5580421A (en) * 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5916395A (en) * 1994-07-05 1999-06-29 Murata Manufacturing Co., Ltd. Method for fabricating ceramic electronic parts
JP3008782B2 (ja) 1994-07-15 2000-02-14 信越半導体株式会社 気相成長方法およびその装置
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5641984A (en) 1994-08-19 1997-06-24 General Electric Company Hermetically sealed radiation imager
TW295677B (zh) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5644128A (en) 1994-08-25 1997-07-01 Ionwerks Fast timing position sensitive detector
US6158446A (en) 1994-11-14 2000-12-12 Fsi International Ultra-low particle semiconductor cleaner
JPH08148431A (ja) 1994-11-24 1996-06-07 Mitsubishi Electric Corp Mbe装置、及びガス分岐配管装置
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
US5542452A (en) * 1995-02-07 1996-08-06 Cdc Technologies, Inc. Valve assembly
EP0735586B1 (en) * 1995-03-28 2002-12-11 Texas Instruments Incorporated Semi-conductor structures
JPH08288965A (ja) 1995-04-18 1996-11-01 Hitachi Ltd スイッチングシステム
US5573566A (en) * 1995-05-26 1996-11-12 Advanced Semiconductor Materials America, Inc. Method of making a quartz dome reactor chamber
TW434745B (en) * 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
JP3288200B2 (ja) 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW294820B (en) * 1995-07-10 1997-01-01 Watkins Johnson Co Gas distribution apparatus
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
KR100244041B1 (ko) 1995-08-05 2000-02-01 엔도 마코토 기판처리장치
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US6066358A (en) * 1995-11-21 2000-05-23 Applied Materials, Inc. Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US6084302A (en) * 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
FI107533B (fi) 1996-04-03 2001-08-31 Fortum Oil & Gas Oy Kemiallisten reaktioiden suorittamiseen tarkoitetut funktionaaliset pinnat ja menetelmä niiden valmistamiseksi
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5906683A (en) 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6534133B1 (en) * 1996-06-14 2003-03-18 Research Foundation Of State University Of New York Methodology for in-situ doping of aluminum coatings
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
AUPO129096A0 (en) * 1996-07-26 1996-08-22 Boc Gases Australia Limited Oxygen dissolver for pipelines or pipe outlets
US5747113A (en) 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US5830270A (en) 1996-08-05 1998-11-03 Lockheed Martin Energy Systems, Inc. CaTiO3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class
JP3901252B2 (ja) * 1996-08-13 2007-04-04 キヤノンアネルバ株式会社 化学蒸着装置
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP2923753B2 (ja) * 1996-08-21 1999-07-26 工業技術院長 Iii族原子層の形成方法
KR100216542B1 (ko) 1996-08-27 1999-08-16 정선종 펄스 레이저 증착장치용 멀티 타겟 구동장치
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
US5951771A (en) 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6043177A (en) * 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US6051286A (en) 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US6174509B1 (en) * 1997-02-11 2001-01-16 Corning Incorporated Pure fused silica, furnace and method
DE19706789C2 (de) * 1997-02-20 1999-10-21 Siemens Ag CMOS-Schaltung mit teilweise dielektrisch isolierten Source-Drain-Bereichen und Verfahren zu ihrer Herstellung
DE19707929C1 (de) * 1997-02-27 1998-09-03 Schroff Gmbh Schrank zur Aufnahme von elektrischen und elektronischen Komponenten
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10308283A (ja) * 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
US5866795A (en) * 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US5888303A (en) * 1997-04-07 1999-03-30 R.E. Dixon Inc. Gas inlet apparatus and method for chemical vapor deposition reactors
USRE39969E1 (en) * 1997-04-11 2008-01-01 Tokyo Electron Limited Processing system
US6026762A (en) 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US5851849A (en) 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
US6140237A (en) 1997-06-16 2000-10-31 Chartered Semiconductor Manufacturing Ltd. Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer
US5846330A (en) * 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
FI972874A0 (fi) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US5904565A (en) 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5904569A (en) 1997-09-03 1999-05-18 National Semiconductor Corporation Method for forming self-aligned vias in multi-metal integrated circuits
US5801634A (en) 1997-09-08 1998-09-01 Sony Corporation Signal tower controller
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
JPH11117071A (ja) * 1997-10-09 1999-04-27 Anelva Corp Cvd装置
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
KR100252049B1 (ko) 1997-11-18 2000-04-15 윤종용 원자층 증착법에 의한 알루미늄층의 제조방법
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
JP3550985B2 (ja) * 1997-12-02 2004-08-04 株式会社デンソー 神経回路網の検証方法,検証装置及び記録媒体
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
KR100275727B1 (ko) * 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
US6140234A (en) * 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6117244A (en) 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US6316098B1 (en) 1998-03-27 2001-11-13 Yissum Research Development Company Of The Hebrew University Of Jerusalem Molecular layer epitaxy method and compositions
BR9815784A (pt) 1998-03-31 2000-11-21 Warner Lambert Co Benzoxazinonas/benzotiazinonas como inibidores de protease de serina
JPH11297681A (ja) * 1998-04-07 1999-10-29 Mitsubishi Electric Corp 高誘電率薄膜形成用cvd装置および高誘電率薄膜の形成方法
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
JP3955386B2 (ja) * 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
KR100282853B1 (ko) 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
FI105313B (fi) 1998-06-03 2000-07-14 Planar Systems Oy Menetelmä ohutkalvo-elektroluminesenssirakenteiden kasvattamiseksi
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100319888B1 (ko) * 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100278657B1 (ko) 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
US6358323B1 (en) * 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
US6218302B1 (en) 1998-07-21 2001-04-17 Motorola Inc. Method for forming a semiconductor device
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
KR100327105B1 (ko) 1998-08-14 2002-03-09 오길록 고휘도 형광체 및 그 제조방법
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
FI105643B (fi) 1998-08-21 2000-09-15 Planar Systems Oy Ohutkalvo-elektroluminesenssilaite ja menetelmä sen valmistamiseksi
US6520218B1 (en) * 1998-09-03 2003-02-18 Advanced Technology Materials, Inc. Container chemical guard
KR20000022003A (ko) 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
KR100273474B1 (ko) 1998-09-14 2000-12-15 이경수 화학기상 증착장치의 가스 공급장치와 그 제어방법
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6251759B1 (en) * 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6445701B1 (en) * 1998-10-09 2002-09-03 Microsoft Corporation Channel access scheme for use in network communications
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6409904B1 (en) * 1998-12-01 2002-06-25 Nutool, Inc. Method and apparatus for depositing and controlling the texture of a thin film
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP2995300B1 (ja) 1999-02-03 1999-12-27 工業技術院長 機械要素部品の表面改善方法
US6087726A (en) * 1999-03-01 2000-07-11 Lsi Logic Corporation Metal interconnect stack for integrated circuit structure
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20020000665A1 (en) * 1999-04-05 2002-01-03 Alexander L. Barr Semiconductor device conductive bump and interconnect barrier
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
JP2000319773A (ja) 1999-04-30 2000-11-21 Shindengen Electric Mfg Co Ltd X線検出器におけるセレン層の製造方法
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
TW512448B (en) * 1999-05-11 2002-12-01 Applied Materials Inc Sequential sputter and reactive precleans of vias and contacts
US6218298B1 (en) 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
JP2000340883A (ja) 1999-05-27 2000-12-08 Fujitsu Ltd 多波長発振光半導体装置
US6254602B1 (en) 1999-05-28 2001-07-03 Sdgi Holdings, Inc. Advanced coupling device using shape-memory technology
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
JP2000353666A (ja) 1999-06-11 2000-12-19 Matsushita Electric Ind Co Ltd 半導体薄膜およびその製造方法
WO2000079576A1 (en) 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US6071808A (en) 1999-06-23 2000-06-06 Lucent Technologies Inc. Method of passivating copper interconnects in a semiconductor
AU6336700A (en) 1999-06-24 2001-01-09 Gadgil, Prasad Narhar Apparatus for atomic layer chemical vapor deposition
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6309964B1 (en) * 1999-07-08 2001-10-30 Taiwan Semiconductor Manufacturing Company Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
FI110311B (fi) 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6328871B1 (en) 1999-08-16 2001-12-11 Applied Materials, Inc. Barrier layer for electroplating processes
KR200167993Y1 (ko) 1999-08-17 2000-02-15 박함규 자수기의 윗실 공급장치
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
EP1081751A3 (en) * 1999-09-02 2003-03-19 Applied Materials, Inc. Methods of pre-cleaning dielectric layers of substrates
DE59906809D1 (de) 1999-09-03 2003-10-02 Flaekt Ab Verfahren zum ein- und ausbringen von werkstücken in bzw. aus einem oberflächenbehandlungsbereich, oberflächenbehandlungsvorrichtung und anlage zur oberflächenbehandlung
US6184138B1 (en) * 1999-09-07 2001-02-06 Chartered Semiconductor Manufacturing Ltd. Method to create a controllable and reproducible dual copper damascene structure
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6383330B1 (en) * 1999-09-10 2002-05-07 Asm America, Inc. Quartz wafer processing chamber
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
DE10049257B4 (de) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
KR100737901B1 (ko) 1999-10-15 2007-07-10 에이에스엠 인터내셔널 엔.브이. 민감한 표면에 나노적층박막을 증착하는 방법
DE60028394T2 (de) 1999-10-15 2007-03-29 Asm International N.V. Konforme auskleidungsschichten für damaszenmetallisierungen
ATE247632T1 (de) 1999-10-15 2003-09-15 Lonza Ag Verfahren zur herstellung von cyanessigsäureestern
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
US6548112B1 (en) 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
KR100364257B1 (ko) * 1999-12-06 2002-12-11 삼성전자 주식회사 텅스텐 화학 기상 퇴적방법 및 텅스텐 플러그 형성방법
KR100330749B1 (ko) 1999-12-17 2002-04-03 서성기 반도체 박막증착장치
KR100705926B1 (ko) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
FI118474B (fi) 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
KR100390951B1 (ko) * 1999-12-29 2003-07-10 주식회사 하이닉스반도체 반도체 소자의 구리 배선 형성 방법
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
JP4776054B2 (ja) 2000-02-04 2011-09-21 株式会社デンソー 原子層成長による薄膜形成方法
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
JP4211185B2 (ja) 2000-02-29 2009-01-21 株式会社デンソー Cvd,ale装置用ガラス基板収納治具
DE60125338T2 (de) * 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
JP3423665B2 (ja) * 2000-03-31 2003-07-07 理想科学工業株式会社 領域判別方法および装置
JP4556282B2 (ja) 2000-03-31 2010-10-06 株式会社デンソー 有機el素子およびその製造方法
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7060132B2 (en) 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
FI118805B (fi) 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482740B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
JP2001328900A (ja) 2000-05-15 2001-11-27 Denso Corp 薄膜の形成方法
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100427423B1 (ko) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
KR100403611B1 (ko) * 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
KR100387255B1 (ko) * 2000-06-20 2003-06-11 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
US6592942B1 (en) 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
FI20001694A0 (fi) 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (ko) 2000-08-09 2006-10-02 삼성전자주식회사 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
JP4013022B2 (ja) 2000-09-13 2007-11-28 日産自動車株式会社 ジェットポンプ
JP4304854B2 (ja) * 2000-09-21 2009-07-29 宇部興産株式会社 多層ポリイミドフィルムおよび積層体
DE10064944A1 (de) * 2000-09-22 2002-04-11 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens
US6428847B1 (en) * 2000-10-16 2002-08-06 Primaxx, Inc. Vortex based CVD reactor
US6685823B2 (en) * 2000-10-16 2004-02-03 Uniroyal Chemical Company, Inc. C-nitrosoaniline compounds and their blends as polymerization inhibitors
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
KR100436941B1 (ko) 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100869326B1 (ko) * 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
AU2002232844A1 (en) 2000-12-06 2002-06-18 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6368950B1 (en) * 2000-12-12 2002-04-09 Advanced Micro Devices, Inc. Silicide gate transistors
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
TW554191B (en) * 2000-12-16 2003-09-21 Au Optronics Corp Laminating structure and its forming method
KR20020049875A (ko) * 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) * 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US20020127336A1 (en) 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
KR100434487B1 (ko) 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
KR100400033B1 (ko) 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
KR100395766B1 (ko) 2001-02-12 2003-08-25 삼성전자주식회사 강유전체 기억 소자 및 그 형성 방법
KR101050377B1 (ko) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
FI109770B (fi) * 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6369430B1 (en) 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
JP3730962B2 (ja) 2001-04-02 2006-01-05 松下電器産業株式会社 半導体装置の製造方法
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6561498B2 (en) 2001-04-09 2003-05-13 Lorex Industries, Inc. Bubbler for use in vapor generation systems
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
TW530739U (en) * 2001-05-26 2003-05-01 Salida Industry Co Ltd Improved structure for carpentry corner chiseling machine
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6528884B1 (en) * 2001-06-01 2003-03-04 Advanced Micro Devices, Inc. Conformal atomic liner layer in an integrated circuit interconnect
DE10128573A1 (de) 2001-06-13 2003-01-02 Infineon Technologies Ag Verhindern der unerwünschten externen Erfassung von Operationen in integrierten Digitalschaltungen
US6686278B2 (en) * 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
JP4680429B2 (ja) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US7105444B2 (en) 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6635544B2 (en) 2001-09-07 2003-10-21 Power Intergrations, Inc. Method of fabricating a high-voltage transistor with a multi-layered extended drain structure
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
EP1425435A2 (en) * 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6797108B2 (en) 2001-10-05 2004-09-28 Applied Materials, Inc. Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
TW512504B (en) 2001-10-12 2002-12-01 Advanced Semiconductor Eng Package substrate having protruded and recessed side edge
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US20080102208A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6902624B2 (en) 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6620956B2 (en) * 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6560111B1 (en) * 2001-12-28 2003-05-06 Fang Tien Huang Bracket for CPU cooler
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US7063981B2 (en) 2002-01-30 2006-06-20 Asm International N.V. Active pulse monitoring in a chemical reactor
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
TW552501B (en) 2002-03-22 2003-09-11 Taiwan Semiconductor Mfg Version recording and tracking method
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6778762B1 (en) 2002-04-17 2004-08-17 Novellus Systems, Inc. Sloped chamber top for substrate processing
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
CA2382281A1 (en) 2002-04-18 2003-10-18 Michael Moliner Convertible bench and table assembly
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
KR100505043B1 (ko) 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7183604B2 (en) * 2002-06-10 2007-02-27 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant device
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
AU2003249030A1 (en) 2002-07-15 2004-02-02 Aviza Technology, Inc. Servomotor control system and method in a semiconductor manufacturing environment
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
TWI230544B (en) * 2002-07-25 2005-04-01 Veutron Corp Light source control method and apparatus of image scanner
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
WO2004018909A2 (en) 2002-08-20 2004-03-04 Applied Materials, Inc. Electronically actuated valve
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
JP3925360B2 (ja) * 2002-08-30 2007-06-06 ブリヂストンスポーツ株式会社 ゴルフクラブヘッド
US6875678B2 (en) * 2002-09-10 2005-04-05 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
JP2004111447A (ja) * 2002-09-13 2004-04-08 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US6818094B2 (en) 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas
US6868859B2 (en) 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20050070126A1 (en) 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
US6919250B2 (en) * 2003-05-21 2005-07-19 Advanced Micro Devices, Inc. Multiple-gate MOS device and method for making the same
JP5342110B2 (ja) 2003-05-27 2013-11-13 アプライド マテリアルズ インコーポレイテッド 前駆物質を含むソースキャニスタ及びこれを用いて特徴部を充填する方法
US6911093B2 (en) 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
US6881437B2 (en) 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
JP4087323B2 (ja) 2003-09-25 2008-05-21 株式会社東芝 液体タンクおよび燃料電池
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US7067422B2 (en) 2004-03-31 2006-06-27 Tokyo Electron Limited Method of forming a tantalum-containing gate electrode structure
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
KR20070089197A (ko) 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 배치 처리 챔버를 사용한 기판 처리 기기
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) * 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US20070019371A1 (en) * 2005-07-22 2007-01-25 E-Lead Electronic Co., Ltd. Multimedia display device attached to a sunroof of vehicles
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
DE102006014996A1 (de) 2006-03-31 2007-10-04 Robert Bosch Gmbh Verfahren zum Betrieb einer Brennkraftmaschine

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101528973B (zh) * 2006-10-24 2012-04-25 应用材料公司 用于原子层沉积的涡流室盖
CN102586761B (zh) * 2006-10-24 2014-10-15 应用材料公司 用于原子层沉积的涡流室盖
CN102586760B (zh) * 2006-10-24 2016-07-06 应用材料公司 用于原子层沉积的涡流室盖
CN101809196B (zh) * 2007-09-26 2013-07-10 伊斯曼柯达公司 用于形成薄膜的沉积系统
US8778079B2 (en) 2007-10-11 2014-07-15 Valence Process Equipment, Inc. Chemical vapor deposition reactor
CN102144281A (zh) * 2008-09-08 2011-08-03 应用材料股份有限公司 原位腔室处理与沉积工艺
CN102144281B (zh) * 2008-09-08 2014-06-25 应用材料公司 原位腔室处理与沉积工艺
CN110662858A (zh) * 2017-02-02 2020-01-07 弗谢沃洛德·弗拉基米罗维奇·龙丁 将气体供应至反应器中以生长基于iii族金属氮化物的外延结构的方法、以及用于实施该方法的装置
CN110662858B (zh) * 2017-02-02 2021-10-08 弗谢沃洛德·弗拉基米罗维奇·龙丁 供应气体以生长基于ⅲ族金属氮化物的外延结构的方法
CN108060410A (zh) * 2017-12-15 2018-05-22 浙江晶盛机电股份有限公司 用于平板式pecvd的进气管道保护结构
CN108060410B (zh) * 2017-12-15 2023-08-18 浙江晶盛机电股份有限公司 用于平板式pecvd的进气管道保护结构
CN112378603A (zh) * 2020-11-18 2021-02-19 北京自动化控制设备研究所 原子气室的漏率检测方法及系统

Also Published As

Publication number Publication date
US20080038463A1 (en) 2008-02-14
US8668776B2 (en) 2014-03-11
US7780788B2 (en) 2010-08-24
CN100524692C (zh) 2009-08-05
US20070026147A1 (en) 2007-02-01
US20030082301A1 (en) 2003-05-01
US20070003698A1 (en) 2007-01-04
CN101174577A (zh) 2008-05-07
US20050173068A1 (en) 2005-08-11
US20030124262A1 (en) 2003-07-03
US8293328B2 (en) 2012-10-23
US20030079686A1 (en) 2003-05-01
KR20040058239A (ko) 2004-07-03
CN1774525B (zh) 2010-06-16
US6916398B2 (en) 2005-07-12
US20100247767A1 (en) 2010-09-30
US8318266B2 (en) 2012-11-27
US20070099415A1 (en) 2007-05-03
US20030082307A1 (en) 2003-05-01

Similar Documents

Publication Publication Date Title
CN1774525A (zh) 用于原子层淀积的气体输送装置
JP5371917B2 (ja) 原子層堆積のためのガス配送装置
KR102640272B1 (ko) 원자 층 증착 챔버들을 위한 덮개들 및 덮개 키트들
US6866746B2 (en) Clamshell and small volume chamber with fixed substrate support
US7780785B2 (en) Gas delivery apparatus for atomic layer deposition
US7056806B2 (en) Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
TWI576460B (zh) 具有多注射道之原子層沉積腔室
TWI653700B (zh) 具備紫外線處理之沉積室及其使用方法
TWI542725B (zh) 誘導處理室清潔氣體之紊流的方法及設備
KR101852233B1 (ko) 성막 방법
JP2009529223A (ja) 小体積対称流れシングルウェハald装置
US20150262816A1 (en) Method of manufacturing semiconductor device and substrate processing apparatus
KR101432257B1 (ko) 원자 층 증착을 위한 보텍스 챔버 리드
JP5303984B2 (ja) 成膜装置及び成膜方法
KR100956189B1 (ko) 원자층 증착용 가스 전달 장치
KR101804127B1 (ko) 박막 증착 방법
KR101503255B1 (ko) 기판 처리 장치 및 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20100616