CN1787881A - 超低介电常数的SiCOH薄膜及其制造方法 - Google Patents

超低介电常数的SiCOH薄膜及其制造方法 Download PDF

Info

Publication number
CN1787881A
CN1787881A CNA200480012920XA CN200480012920A CN1787881A CN 1787881 A CN1787881 A CN 1787881A CN A200480012920X A CNA200480012920X A CN A200480012920XA CN 200480012920 A CN200480012920 A CN 200480012920A CN 1787881 A CN1787881 A CN 1787881A
Authority
CN
China
Prior art keywords
precursor gases
film
reactor
ultralow
heterogeneous
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200480012920XA
Other languages
English (en)
Other versions
CN1787881B (zh
Inventor
S·M·盖茨
A·格里尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1787881A publication Critical patent/CN1787881A/zh
Application granted granted Critical
Publication of CN1787881B publication Critical patent/CN1787881B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/02Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B5/00Turning-machines or devices specially adapted for particular work; Accessories specially adapted therefor
    • B23B5/18Turning-machines or devices specially adapted for particular work; Accessories specially adapted therefor for turning crankshafts, eccentrics, or cams, e.g. crankpin lathes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249978Voids specified as micro

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Mechanical Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Fixed Capacitors And Capacitor Manufacturing Machines (AREA)

Abstract

本发明涉及一种显示出提高的弹性模量和硬度的多相超低介电常数的薄膜,以及制造该薄膜的多种方法。这种超低介电常数的介电薄膜含有分别用(104),(103),(102)和(101)表示的硅原子,碳原子,氧原子和氢原子,所述薄膜具有约为2.4或以下的介电常数值,纳米级的小孔或者空穴,具有约为5或更大的弹性模量值,具有约为0.7或更大的硬度值。优选的薄膜含有硅原子,碳原子,氧原子和氢原子,且具有约为2.2或以下的介电常数值,具有纳米级的小孔或者空穴,具有约为3或更大的弹性模量值,和具有约为0.3或更大的硬度值。这些薄膜由作为“主”基体的第一相(100)和基本上由碳原子和氢原子构成的第二相(105)组成,其中“主”基体是由氢化的氧化硅碳(SiCOH)形成的无规网络。

Description

超低介电常数的SiCOH薄膜及其制造方法
技术领域
本发明通常涉及一种超低介电常数(k)薄膜,及制造这种薄膜的方法和包含这种薄膜的电子器件。更特别地,本发明涉及一种多相超低介电常数薄膜,其被用作层内或层间介电薄膜、帽层(cap)材料或是ULSI生产线后端(BEOL)引线结构中的硬掩模/抛光停止层(polish stop),及具有该薄膜的电子结构和制作该薄膜和电子结构的方法。
背景技术
近年来在ULSI(超大规模集成)电路中使用的电子器件的尺寸持续地缩小不仅增加了BEOL金属化的电阻,也增加了层内和层间介电材料的电容。由此其综合效果增加了ULSI电子器件中的信号延迟。为了改善未来ULSI电路的切换性能,需要用到低介电常数(k)绝缘体,特别是介电常数k比氧化硅明显要低的材料来降低电容。尤其是需要介电常数值小于4.0的低介电常数绝缘体。除非另有说明,本申请中所说的所有k值都是与真空相关测量得到的。
比如k值为2.0的聚四氟乙烯(PTFE)就是这样一种材料。然而,当这些介电材料暴露在300℃~350℃以上的高温下时其热稳定性通常并不好,这致使这些电介质在被集成到需要至少400℃的热稳定性的ULSI芯片的过程中失效。
已经被考虑用于ULSI器件中的典型现有技术低介电常数材料包括含有硅(Si),碳(C),和氧(O)的聚合物,例如甲基硅氧烷,甲基倍半氧烷和其它有机及无机聚合物。比如,在N.Hacker等人发表在Mat.Res.Soc.Symp.Proc.,第.476卷(1997)25页的题为“Properties of new low dielectric constantspin-on silicon oxide based dielectrics”的文章中所描述的材料就满足这种热稳定性的要求,尽管在利用旋压(spin-on)技术制备薄膜时达到互连结构中集成所需的厚度时,一些这样的材料很容易扩展裂纹。另外,这种前体材料价格昂贵,并难以实现大规模生产。与之形成对比的是,VLSI和ULSI芯片的大多数制造步骤都是通过等离子体增强的化学或物理气相沉积技术实现的。
利用以前安装并可得到的加工设备通过等离子体增强的化学气相沉积(PECVD)技术制造低k材料可以简化制造过程中的集成,降低生产成本,并产生较少的有害废物。美国专利6,147,009和6,497,963中描述了一种由Si,C,O和H原子组成且介电常数不超过3.6,并具有非常低的裂纹扩展速度的纸介电常数材料。
介电材料由Si,C,O及H原子组成的基体和一个主要由C及H组成的相构成,其介电常数不高于3.2。
现有技术中公知一种k小于2.7(优选地是小于2.3)的超低k薄膜。现有技术的超低k薄膜的主要问题是当在ULSI器件中集成这种薄膜时,被集成的薄膜显示出较弱的机械强度。一般来说,超低k薄膜的弹性模量和硬度要比k值为2.7-3左右的薄膜低得多。
由于现有技术的超低k薄膜存有上述的缺点,这就需要提出一种PECVD生产工艺,采用该工艺生产的超低k薄膜具有改善的机械性能,比如提高了弹性模量和硬度。
发明内容
因此,本发明的目的是提供一种介电常数不超过2.7的超低介电常数(k)薄膜,其具有改善的机械性能,比如提高的弹性模量和硬度。
本发明的另一个目的是提供一种制造本发明的超低k薄膜的PECVD方法。
本发明的另一个目的还在于提供一种方法,这种方法用于制造包含至少两个相的超低k薄膜,即,一个多相薄膜,其中第一相含有Si,C,O和H,即氢化的氧化硅碳或掺碳氧化物,CDO膜(之后称为SiCOH),和至少一个主要由C和H原子构成的第二相。本发明的这种多相超低k薄膜主要含有Si,C,O和H原子,其有着更强的
6,347,443和6,479,110B2。
本发明的另一个目的还在于制备一种多相超低k的薄膜,该薄膜中有纳米级尺寸的小孔或空穴,大小约为0.5~20纳米左右。
本发明的另一个目的还在于制备一种多相超低k的薄膜,该薄膜的介电常数为2.4或以下,弹性模量大约为5或更大及硬度大约为0.7或更大,该薄膜的这些值均大于现有的超低k薄膜。
本发明的另一个目的还在于制备一种多相超低k的薄膜,该薄膜的介电常数为2.2或以下,弹性模量大约为3或更大及硬度大约为0.3或更大,该薄膜的这些值均大于现有的超低k薄膜。
本发明的另一个目的还在于提供一种在等离子体增强的化学气相沉积平行板反应器中生产多相超低k薄膜的方法。
本发明的另一个目的还在于提供一种在电子结构中用作BEOL互连结构中的层内或层间电介质的多相超低k薄膜的生产方法。
本发明的另一个目的还在于提供一种电子结构,此结构包含在BEOL引线结构中作为层内或层间电介质的绝缘材料层,其中所述的绝缘材料层至少有一层是本发明的多相超低k薄膜。
具有作为BEOL引线结构中的层内或层间电介质的本发明的多相超低k薄膜层,所述的BEOL引线结构有至少一个用作反应离子刻蚀掩模、抛光停止层或扩散阻挡层的由不同材料制成的介电帽层(cap layer)。
通过利用包括以下可替换物之一的方法获得本发明的这些和其它目的和优点:至少一种包括含有至少三个Si-O键的硅氧烷分子的前体气体;或者至少一种包括含有带有对电子束射线敏感的反应性基团的硅氧烷分子的前体气体。
根据本发明,提供了一种含有Si,C,O和H原子的多相超低k薄膜。本发明多相超低k薄膜的介电常数大约为2.7或以下,更重要的是,本发明的薄膜具有改善的机械性能。特别地,本发明的多相超低k薄膜的特征在于其有2.4或以下的介电常数,大约5或更大的弹性模量,和大约0.7或更大的硬度。更优选的是,本发明的多相超低k薄膜的介电常数为2.2或以下,弹性模量大约为3或更大,硬度大约为0.3或更大。弹性模量和硬度通常通过纳米压痕技术测得,这些性质一般随着介电常数的减小而减小。
本发明的多相超低k薄膜可以通过以下三个实施方案之一制得。在第一实施方案中通过以下步骤制备这种多相超低k薄膜:提供等离子体增强的化学气相沉积反应器;在反应器中放置基片;使含有Si,C,O和H原子的第一前体气体流入反应器;使主要含有包括C,H和可能还有O,F及N原子的有机分子的第二前体气体流入反应器;使至少含有三个,的硅氧烷分子的前体气体流入
基片上的薄膜。可选地,沉积后的薄膜可以在不低于300℃的温度下进行至少0.25小时的热处理。本发明的第一实施方案可以进一步包括了提供平行板反应器的步骤,所述反应器有约为300平方厘米至800平方厘米的基片卡盘(chuck)传导面积,以及在基片和顶部电极之间约0.1cm至10cm的间隙。一射频电源被施加于电极中的至少一个。基片可放置在接电电极或接地电极上面。
在本发明第二实施方案中通过包括以下步骤的方法制备这种多相超低k薄膜:提供等离子体增强的化学气相沉积反应器;在反应器中放置一基片;使含有Si,C,O和H原子的第一前体气体流入反应器;使主要含有包括C,H和还可能有F,N及O原子的有机分子的第二前体气体流入反应器;使包括含有对电子束射线敏感的反应性基团的分子的前体气体流入反应器;将多相超低k薄膜沉积在基片上;用电子束射线固化沉积后的膜。本发明的第二实施方案还可以包括提供平行板反应器这一步骤,所述反应器有约为300平方厘米至800平方厘米的基片卡盘传导面积,以及在基片和顶部电极之间约0.1cm至10cm的间隙。一个射频电源被施加于电极中的至少一个。基片可放置在接电电极或接地电极上面。
在本发明第三实施方案中通过包括以下步骤的方法制备这种多相超低k薄膜:提供等离子体增强的化学气相沉积反应器;在反应器中放置基片;使含有Si,C,O和H原子的第一前体气体流入反应器;使包括含有对电子束射线敏感的分子的反应性基团的前体气体流入反应器;将多相超低k薄膜沉积在基片上;固化沉积后的膜
包括提供平行板反应器这一步骤,所述反应器有约为300平方厘米至800平方厘米的基片卡盘传导面积,以及在基片和顶部电极之间约0.1cm至10cm的间隙。一射频电源被施加于电极中的至少一个。基片可放置在接电电极或接地电极上面。
应当注意的是在上面所提到的三个实施方案的每一个中,所述的第一前体气体可以由气体混合物组成并且前体气体混合物用于形成用于形成本发明的多相超低k薄膜。
在以上所描述的三个实施方案的任一个中,He,CO2或是CO2和O2的混合物都可与所述前体气体中的一种结合使用,或被直接加入反应器中。在本发明的另一个实施方案中,He或CO2(或He和CO2的混合物)可以用作载气。
本发明还进一步旨在提供一种电子结构,该电子结构具有作为BEOL互连结构中的层内或层间电介质的绝缘材料层,所述BEOL互连结构包括预处理过的且在第一绝缘材料层中嵌入了第一金属区域的半导体基片,被嵌入在包括本发明的多相超低k薄膜的第二绝缘材料层中的第一导体区域,所述第二绝缘材料层与第一绝缘材料层紧密接触,所述第一导体区域与所述第一金属区域电连通,以及与第一导体区域电连通并且嵌入到包括本发明的多相超低k薄膜的第三绝缘材料层内的第二导体区域,所述第三绝缘材料层与第二绝缘材料层紧密接触。
所述的电子结构还可以包括位于内间的介电帽层
还包括位于第二绝缘材料层与第三绝缘材料层内间的介电帽层。所述电子结构还可以包括位于第二绝缘材料层与第三绝缘材料层之间的第一介电帽层,和一位于第三绝缘材料层顶部上的第二介电帽层。
介电帽层的材料可以从氧化硅,氮化硅,氮氧化硅,碳氮化硅(SiCN),以钽、锆、铪或钨作为难熔金属的难熔金属氮硅化物,碳化硅,碳氧化硅,掺碳氧化物及其氢化物或氮化物中选择。所述第一和第二介电帽层可以选择同一组介电材料。所述第一绝缘材料层可以是氧化硅或氮化硅或者是这些材料的掺合物,例如PSG或BPSG。所述电子结构还可以包括介电材料制成的扩散阻挡层,所述介电材料至少沉积在第二绝缘材料层和第三绝缘材料层中之一的上面。所述电子结构还可以包括位于第二绝缘材料层顶部上的介电层,其被用作RIE硬掩模/抛光停止层以及位于所述介电RIE硬掩模/抛光停止层顶部上的介电扩散阻挡层。所述电子结构还可以包括位于第二绝缘材料层顶部上的第一介电RIE硬掩模/抛充停止层,位于第一介电抛光停止层顶部上的第一介电RIE扩散阻挡层,位于第三绝缘材料层顶部上的第二介电RIE硬掩模/抛光停止层,以及位于第二介电抛光停止层顶部上的第二介电扩散阻挡层。所述电子结构还可包括采用上述相同材料制成的位于多相材料层间电介质和本发明超低k薄膜层内电介值之间的介电帽层。
本发明的这些和其它主题、特征和优点将结合下面详细的说明书和附图,
其中:
图1A是本发明的双相材料的放大横截面视图。
图1B是本发明的双相材料中第一相的无规共价结构的示意图。
图2是本发明的三相材料的放大横截面视图。
图3是本发明的平行板化学气相沉积反应器的横截面视图。
图4是含有由多相超低k薄膜所形成的层间介电层和层内介电层的电子器件的放大横截面视图。
图5是图4中本发明电子结构的放大横截面视图,它具有沉积于本发明的多相超低k薄膜顶部上的附加扩散阻挡介电帽层。
图6是图5中本发明电子结构的放大横截面视图,它具有附加RIE硬掩模/抛光中止介电帽层和沉积于所述抛光-停止层顶部上的扩散阻挡介电帽层。
图7是图6中本发明电子结构的放大横截面视图,它具有沉积于顶部上的RIE硬掩模/抛光中止介电层
具体实施方式
本发明公开了一种提高了弹性模量和硬度的多相超低k薄膜,以及生产这种薄膜的方法。本发明优选实施方案中的薄膜至少包含两个相,其中第一相是由氢化的氧化硅碳材料(SiCOH)形成的“主”基体,所述SiCOH由Si,C,O和H原子以共价键合网络的形式构成。本发明的多相超低k薄膜的另一个相主要由C和H原子构成。所述多相超低k薄膜还可以包括分子级的小孔和空穴,也就是说其直径约为0.5至20纳米。
另外,本发明这种多相超低k薄膜的介电常数大约不高于2.7,优选地大约不高于2.4,弹性模量大约为7或更大,及硬度大约为1.2或更大。更优选地,所述多相超低k薄膜的介电常数大约不高于2.2,弹性模量大约为5或更大,硬度大约为0.8或更大。本发明还披露了多种利用平行板等离子体增强的化学气相沉积反应器生产这种多相超低k薄膜的方法。
现参考图1A,其为本发明的一种双相材料的放大横截面视图。其中的第一相100是“主”基体,该主基体为含有以共价键合网络形式的Si,C,O和H的氢化的氧化硅碳材料(SiCOH),它的介电常数不高于3.6。图1B示出了第一相的这种共价键合网络结构。
现参考图1B,图中的实线代表Si,C,O和H原子之间的头价键。图示的是一种无规的网络,所以不存在基础重复单元(此处为原文第10,11页间所缺内容)
氧原子在网络中用“O”表示,并用附图标记102标出。碳原子在网络中用“C”表示,并用103标出。硅原子在网络中用四条实线的交点表示,并用104标出。氧原子102位于两个碳原子或硅原子之间。
本发明的超低k材料的第二相105位于第一相内部。第二相基本上由C和H原子构成。所述的多相材料中还有多个纳米尺寸的小孔,也就是其直径为0.5~20纳米。图1B中示出了第一相的共价键合网络结构,也称为“主”基体。
现参考图2,其是本发明三相超低k材料的横截面放大视图。第一相100是“主”基体,是由成共价键合的网络形式的Si,C,O和H组成的氢化的氧化硅碳材料(SiCOH),它的介电常数不高于3.6。第一相的结构已在图1B中如上示出。本发明超低k材料的第二相105和本发明超低k材料的第三相107位于第一相内部。第二相基本上由C和H原子及多个纳米尺寸的小孔构成,也就是其直径为0.5~200纳米。
在本发明的一可替换实施方案中,第三相107可以是开放区域(或者空穴),其可被描述为本发明多相材料第一相中无规网络(图1B)的分裂(disruption)。可替换地,第三相也可以C和H原子及多个纳米尺寸的小孔构成。所述小孔的尺寸可大于双相组合物中的小孔。特别地,第三相中的小孔尺寸直径为0.5~100纳米。
Si的原子百分数大约为5~40,C的原子百分数大约为5~45,O的原子百分数大约为0~50,H的原子百分数大约为10~35。
更优选地,所述薄膜中Si的原子百分数大约为10~20,C的原子百分数大约为20~35,O的原子百分数大约为15~35,H的原子百分数大约为20~45。
根据本发明所生产的一种薄膜样品,其组成是:Si的原子百分数为15;C的原子百分数为28;O的原子百分数为24;H的原子百分数为33,其中用RBS所测得的密度为1.55±0.02克/立方厘米。
如上所述,可利用三种不同的实施方案来生产本发明的超低k薄膜。在第一实施方案中,通过下面的步骤来制备这种超低k薄膜:提供一等离子体增强的化学气相沉积反应器,在反应器中放置基片,使含有Si,C,O和H原子的第一前体气体,或气体混合物流入反应器,使主要含有由C,H和还可能有F,N及O原子构成的有机分子的第二前体气体流入反应器,使包括至少含有三个,优选地是四个,Si-O键的硅氧烷分子的第三前体气体流入反应器,和在基片上沉积超低k薄膜。
在第二实施方案中,通过包括以下步骤的方法制备这种超低k薄膜:提供等离子体增强的化学气相沉积反应器,在反应器中放置基片,使含有Si,C,O和H原子的第一前体气体流入反应器,使主要含有由C,H和还可能有F,N及O原子构成的有机分子的第二前体气体流入反应器,将包括含有对电子束射线敏感的反应性基团的分子的第三前体气体流入反应器,然后在基片上沉积超低k薄膜;最后用电子束射线固化沉积后的膜。所述第三
在本发明的第三实施方案中,通过以下步骤制备这种超低k介电膜:提供等离子体增强的化学气相沉积反应器;在反应器中放置基片;使含有Si,C,O和H原子的第一前体气体流入反应器,使包括含有对电子束射线敏感的反应性基团的分子的第二前体气体流入反应器,在基片上沉积超低k薄膜,采用电子束射线固化沉积后的膜。本发明第三实施方案中的第二前体气体可以是硅氧烷或者是双官能团有机分子。
在本发明的上述三个实施方案中,所使用的第一前体可以从至少含有Si,C,O和H原子的一种或多种分子中选择。还可以在第一前体中加入氧化性的分子如O2或一氧化二氮。优选地,第一前体是一种含有Si,C,O和H原子的硅氧烷,首选环状硅氧烷。一些非常优选的第一前体气体的实施例包括硅氧烷,此硅氧烷从下列有环结构的分子中选择:1,3,5,7-四甲基环四硅氧烷(TMCTS,C4H16O4Si4),八甲基环四硅氧烷(OMCTS,C8H24O4Si4),四乙基环四硅氧烷(C8H24O4Si4),十甲基环五硅氧烷(C10H30O5Si5),三甲基环三硅氧烷,六甲基环三硅氧烷,或者混合了氧化剂如O2或一氧化二氮的甲基硅烷的分子,或者含有Si,O和C的前体混合物。所述前体可作为气体被直接送入反应器,作为直接在反应器内被汽化的液体被输送,或者被惰性输送气体例如He或氩气运输。所述第一前体气本还可以含有元素如氮,氟或锗。
在本发明的第一和第二实施方案中所用到的第二前体可以从含有C和H原子的一种或多种有机分子中选择。这样,本发明设计了各种情况,其中单种第二前体,或两种或两种以上的,优选地,是两种不同的第二前体的组合物
或含有这些原子的有机分子可以被加入该前体混合物中。所述前体可作为气体被直接送入反应器,作为直接在反应器内汽化的液体被输送,或者被惰性输送气体如He或氩气运输。
在一个实施方案中,所述第二前体从包括下列带有具有C和H原子的环状结构的分子的组中选择:如环烃,环醇,环醚,环醛,环酮,环酯,苯酚,环胺,或者还可从其它含有O,N或F的环烃中选择。更优选地,所述第二前体分子是一种约含有6~12个碳原子,优选地是具有3或更多个碳原子环的多环(聚环)烃。优选例子包括2,5-降冰片二烯(即公知的双环[2.2.1]庚-2,5-二烯),亚降冰片基2,5-降冰片二烯(即公知的双环[2.2.1]庚-2,5-二烯),降冰片烷(即公知的双环[2.2.1]庚烷)。其它的例子还有三环[3.2.1.0]辛烷,三环[3.2.2.0]壬烷,连环烃如螺环[3.4]辛烷,螺环[4.5]壬烷,螺环[5.6]癸烷,及其他类似的物质。另外,也可使用含有5至12个碳原子的环烃(环戊烷,环己烷,及类似物)以及含有6至12个碳原子的环状芳烃(苯,甲苯,二甲苯,及类似物)。可选择的是,上述分子中还可能有O或F原子,或者是含有这种原子的分子被添加进前体混合物中。
至少一种含有一个杂原子,特别是氧原子的含稠环的物质是特别有用的。这类物质中,优选地是包括一个能提供足够环张力的那种,也就是3个或4个原子和/或7个或多个原子的环。特别有吸引力的是被称为氧杂双环的一类化合物中的一部分。这些化合物中容易得到的例子有:6-氧杂双环[3.1.0]己烷或环戊烯氧化物(在压力760mm汞柱下bp=102℃);
7-氧杂双环[4.1.0]庚烷或环己烯氧化物(在压力760mm汞柱下bp=129℃);
9-氧杂双环[6.1.0]壬烷或环辛烯氧化物(在压力5mm汞柱下bp=55℃);
7-氧杂双环[2.2.1]庚烷或1,4-环氧己烷(在压力713mm汞柱下bp=119℃)。
本发明是环戊烯氧化物(CPO)。
在本发明的第一实施方案中,所述的方法包括第三前体或它的混合物,该前体包括至少含有三个,优选地是四个,Si-O键的硅氧烷分子。在本发明的第一实施方案中所使用的这种前体在得到的多相超低k薄膜中加入小浓度的(约相当于总前体流量的0.1%至10%)四面体Si-O键。在本发明的第一实施方案中所使用的第三前体还可包括选自下列的硅氧烷:四甲基正硅酸酯(IMOS),四乙基正硅酸酯(TEOS),乙烯基三乙氧基硅烷,烯丙基三甲氧基硅烷,乙烯基三甲氧基硅烷,烯丙基三乙氧基硅烷,苯基三乙氧基硅烷,以及苯基三甲氧基硅烷。这些前体的组中还包括含有2个不饱和基团如二乙烯基二甲氧基硅烷的硅氧烷。所述前体可作为气体被直接送入反应器,作为直接在反应器中汽化的液体被输送,或者被惰性输送气体如被He或氩气运输。
在本发明的第二和第三实施方案中提到了使用前体气体或它的混合物,它们所含有的分子中含有对电子束射线敏感的反应性基团,典型地就是含有至少一个C-C双键,三键或不饱和环的不饱和烃基。所述的前体可以是一种含有不饱和烃基团的硅氧烷,或是一种双官能团有机分子。所述的对电子束射线敏感的反应性基团的例子包括,但又不局限于:乙烯基,烯丙基,苯基,炔属基团,以及它们的混合物。所述的含有对电子束射线敏感的反应性基团的硅氧烷分子的前体气体的示例包括:乙烯基三甲氧基硅烷,烯丙基三乙氧基硅烷,烯丙基三甲氧基硅烷,苯基三乙氧基硅烷,苯基三甲氧基硅烷,以及相关的含有不饱和烃基团的硅氧烷,所述不饱和烃基团包括但不限于:乙烯基,烯丙基,苯基,以及炔属基团。所述的含有对电子束射线敏感的反应性基团的双官能团有机分子的前体气体的示例包括
戊二烯,1,5-己二烯,及单环双官能分子如环辛二烯,双环双官能团分子如双环[2.2.1]庚-2,5-二烯(或“降冰片二烯”)以及含有多于一个不饱和C-C双键或三键的相关的有机分子。
所述前体可作为气体被直接送入反应器,可作为直接在反应器中被汽化的液体被输送,或者被惰性输送气体如He或氩气运输。
本发明方法的每一个都包括使用等离子体增强的化学气相沉积(PECVD)反应器。沉积通过连续模式或者脉动模式来执行。更优选地,本发明中所用的PECVD反应器是一种平行板反应器。图3是用来处理可用于本发明的200mm晶片的PECVD平行板反应器10的简视图。气体前体通过气体分配板(GDP)14被引入反应器10中,分配板与基片卡盘12通过一定间隔分开,并且所述气体通过泵口18被泵送出反应器。射频电源20连接到基片卡盘12上,并发射到基片22。出于实用目的,反应器的其它元件均接地。这样,基片22获得负偏压,偏压值的大小取决于反应器的几何形状和等离子参数。在不同的实施方案中,射频电源20可被连接到与反应器电绝缘的GDP 14上,同时基片卡盘12接地。在另一个实施方案中,可使用多个电源。例如,两个电源以相同的射频频率工作,或者一个工作在低频,一个工作在高频。所述的两个电源可以连接到同一个电极或者不同的电极上。在另一个实施方案中,射频电源在沉积过程中开启和关闭。在低k薄膜的沉积中受控的工艺变量包括射频电源,前体混合物及流速,反应器内压力和基片温度。
反应器顶壁和底壁之间的距离,Z代表反应器顶壁和基片22顶面之间的距离。
在薄膜沉积过程中受控的主要工艺变量是射频电源,前体流速,反应器内压力和基片温度。应该强调的是本发明的生产方法只能使用一种根据特定生长条件得到的特殊几何形状的沉积反应器。当在特定生长条件下使用了不同几何形状的反应器时,得到的薄膜可能不具有超低介电常数。
例如,本发明的平行板反应器应当有一块约为300~800平方厘米,优选地大约是在500~600平方厘米的基片卡盘面积。基片和气体分配板(或顶电极)之间的间隙大约是0.1~10cm,优选地约是1.5~7cm。射频电源被施加到电极之一,其频率约为12~15MHz,优选地是约为13.56MHz。1MHz以下的低频电源可以选择地作为射频电源施加到同一个电极上,或者以功率密度为0~1.5W/平方厘米施加到相反电极上。
所用沉积条件对于成功实现本发明所述的沉积程序也是很关键的。例如,使用约在25℃~325℃之间,优选地是约在60℃~200℃的晶片的温度。射频电源的功率密度约在0.05~4.0W/平方厘米之间,优选地约在0.25~4W/平方厘米。
采用的前体气体,如TMCTS的流速约在5~1000sccm之间,优选地是约在25~200sccm之间。使用的第二前体气体,如CPO,其反应气体流速约在5~50000sccm之间,最适合的是约在25~10000sccm之间。用于本发明第一实施方案的第三前体气体,也就是含有至少三个Si-O键的硅氧烷分子,其流速约在5~1000sccm之间,最适合的是约在10~500sccm之间的流速。
在本发明的第二实施方案中,使用的第一前体气体,如TMCTS的反应气体流速约在5~1000sccm之间,最适合的是约在25~200sccm之间。使用的第二前体气体,如CPO,其反应气体流速约在5~50000sccm之间,最适合的是约在25~10000sccm之间。用于本发明第二实施方案的第三前体气体,也就是含有对电子束射线敏感的反应性基团的气体,其流速在5~1000sccm之间,最适合的是约在10~500sccm之间。
在本发明的第三实施方案中,使用的第一前体气体,如TMCTS,其反应气体流速约在5~1000sccm之间,最适合的是约在25~200sccm之间。用于本发明第三实施方案的第二前体气体,也就是含有对电子束射线敏感的反应性基团的气体,其流速约在5~1000sccm之间,最适合的是约在10~500sccm之间。
在本发明的某些实施方案中,在上述混合物中加入流速为约50~5000sccm的He。He可被加入任何PECVD反应器。
在上述三个使用He作为运输气体的实施方案的任一个中,气体前体的总反应气体流速约为25~10000sccm之间。优选的是约为50~5000sccm之间。
另外,在上述三个实施方案的每一个中,在PECVD反应器中第一前体还可与作为运输气体的CO2混合,或者第一和第二前体气体可以与CO2或CO2及O2的混合物相混合。在PECVD反应器中往第一前体加入作为运输气体的CO2,或者往第一和第二前体加入CO2或CO2与O2的混合物能起到稳定PECVD反应器中等离子体的作用,同时提高了在基片上所沉积薄膜的一致性。当使CO2与第一和第二前体混合时,CO2的量可大约在25~1000sccm之间,优选地是大约在50~500sccm之间。当使CO2及O2的混合物与第一和第二前体混合时,CO2的量约在25~1000sccm之间,O2的量可以约在0.5~50sccm之间。更优选地CO2的量约在50~500sccm,和O2的量约在1~30sccm。还可以用He与CO2的混合物或者单独用He取代上述实施方案中的CO2
沉积过程中反应器内压力约在50~5000mTorr之间,优选地是约在100~3000mTorr之间。
应当注意当基片卡盘面积通过因数X发生改变,也就是在从约为300~800平方厘米的范围内的某个值进行改变,射频电源功率也通过因数X发生与之前所确定的值的改变。同样地,当基片卡盘面积通过因数Y发生改变时,并且气体和之间的间隙的改变与气体流速发生与以前所确定的值变化因数YZ相关联。如果使用的是多站沉积反应器,基片面积指各个基片卡盘,气体的流速指各个沉积站。所以,总流速和反应器的总输入功率应乘以反应器内沉积站的总数。
在上述三个实施方案的每一个中,沉积的薄膜在被进一步集成加工之前可选择地先进行稍定处理。稳定处理可以是在不低于300℃的温度下进行至少0.25小时的退火完成。更优选的是在炉膛退火步骤中进行约0.5~4小时,温度约300~450℃的退火。稳定处理也可以是在大约高于300℃的温度进行快速热退火。根据本发明所得到的薄膜,其在非氧化环境中的热稳定性高至不小于400℃。值得注意的是本发明的这个步骤还使得本发明的多相超低k薄膜的介电常数进一步降低。在热处理步骤中,从含有C,H和任选地O原子的有机前体所产生的分子碎片可以被热分解,并被转换成从薄膜释放的更小的分子。可选择地,在转换和释放分子碎片的过程中,薄膜内的空穴可能会进一步扩大。这样薄膜的密度就降低了。
在本发明一个极为优选的实施方案中,通过两个加热步骤来完成退火。在第一加热步骤中,薄膜在第一时间段内大约在不高于300℃的温度下被加热,此后,在第二加热步骤中,薄膜在第二时间段内大约在不低于300℃的温度下被加热,第二时间段长于第一时间段。优选地是第二时间段比第一时间段长十倍。
在本发明的实施方案中,在沉积多相超低k薄膜后还有一固化步骤。优选地,该固化步骤通过电子束射线在350~450℃的温度下约进行0.5~100分钟而实现。例如条件是使用电子束能量大约为1~100keV,和电子辐射剂量约为50~5000微居/平方厘米。优选条件使用电子束能量约为2~30keV,和电子辐射剂量约为100~2000微居/平方厘米。在某些实施方案中,电子束固化是在真空中完成。在本发明的第二和第三实施方案中,在沉积多相超低k薄膜后采用固化步骤以在薄膜的顶面形成一更致密区,这通过调节电子束射线条件来实现。所述的致密区作为在超低k薄膜顶部形成的CMP中止区是很有用的。
根据本发明的方法所得到的多相超低k薄膜,其特征是介电常数k<2.7,对于在通常以高达450℃温度下处理的BEOL互连结构中的工艺集成具有良好的热稳定性。另外,所述多相超低k薄膜在水中具有极低的裂纹传播速度,也就是低于10-9m/s,甚至低于10-11m/s。因此,所述多相膜和方法易用于生产在逻辑器件和存储器件的BEOL工艺中作为层内和层间电介质的多相超低k薄膜。本发明的多相超低k薄膜的特征还在于其具有改善了的机械性能,包括前面提到的提高的弹性模量和硬度。
采用本发明的新方法形成的电子器件如图4-7所示。需要注意的是图4-7中示出的器件仅仅是本发明的示意性例子,同时无数的其它器件也可
在本发明的某些实施方案中,可以通过调节电子束射线条件在本发明薄膜的顶部表面形成一致密区。这种膜的所述致密区在CMP蚀刻步骤中很有用。这种顶部表面致密化的薄膜可用于这里所述的任何一种电子器件。
图4中,示出了在硅基片32上构造一电子器件30。在硅基片32上,首先形成其中嵌有第一金属区域36的绝缘材料层34。在对第一金属区域36进行CMP工艺后,本发明的多相超低k薄膜38沉积在第一绝缘材料层34和第一金属区域36上。所述第一绝缘材料层34适合地由氧化硅,氮化硅,以及两者的各种掺和物,或是任何其它合适的绝缘材料形成。在进行蚀刻之前,通过在光刻过程之中对多相超低k薄膜38形成图案,然后将导体层40沉积在其上。在第一导体层40上的CMP工艺完成后,第二多相超低k薄膜层44通过PECVD工艺沉积,第一多相超低k薄膜38和第一导体层40。导体层40可由金属材料或非金属导电材料沉积而成。例如,铝或铜这样的金属材料,氮化物或多晶硅这样的非金属材料。所述第一导体40与第一金属区36电连通。
在进行蚀刻之前,对第二多相超低k薄膜44进行光刻处理,然后对第二导体材料进行沉积过程形成一第二导体区50。与第一导体区40沉积中使用的类似,第二导体区50可以由金属或非金属材料来沉积。第二导体区50与第一导体区40电连通,并被嵌入
多相超低k薄膜和第一绝缘材料层38紧密接触。本例中,多相超低k薄膜的第一绝缘材料层38是层内介电材料,而第二绝缘材料层,也就是多相超低k薄膜44既是层内电介质又是层间电介质。基于多相超低k薄膜的低介电常数,通过第一绝缘层38和第二绝缘层44,获得了超绝缘性能。
图5示出了根据本发明的类似于图4中所示的电子器件30的电子器件60,但是其在第一绝缘材料层38和第二绝缘材料层44之间还沉积了一个附加的介电帽层62。介电帽层62适合由例如氧化硅、氮化硅、氮氧化硅、以钽、锆、铪或钨作为难熔金属的难熔金属氮硅化物、碳氮化硅(SiCN)、碳化硅、碳氧化硅(SiCO),及它们的氢化物形成。附加的介电帽层62可作为一个扩散阻挡层,防止第一导体层40向第二导体层44或更低的层,特别是向层34和32中扩散。
图6示出了本发明的电子器件70的另一可替代的实施方案。在电子器件70中,使用的两个附加的介电帽层72和74被分别用作RIE掩模和CMP(化学机械抛光)的抛光停止层。第一介电帽层72沉积在第一多相超低k绝缘材料层38上,其用作RIE掩模和CMP停止层,所以在经过CMP处理后,第一导体层40和层72近似处于同一平面。第二介电层74的作用类似于层72,但是层74是用于将第二导体层50平面化。抛光停止层74由适合的介电材料例如氧化硅,氮化硅,氮氧化硅,以钽、锆、铪或钨作为难熔金属的难熔金属氮硅化物,
对于层72或74而言,抛光停止层的组成为SiCH或SiCOH。为达到相同的目的也可以在第二多相超低k绝缘材料层44上增加第二介电层74。
图7示出了本发明电子器件80的另一个替换实施方案。其中,沉积有附加介电材料层82并且因此将第二绝缘材料层44分为两个单独的层84和86。如图4所示的由多相超低k材料形成的所述层内和层间介电层44在通孔92和互连体94之间的边界处被分隔成一层间介电层84和一层内介电层86。一附加的扩散阻挡层96进一步被沉积在上介电层74上。由此替换实施方案的电子结构80所带来的附加效果就是介电层82可作为RIE蚀刻停止层,提供了优良的互连深度控制。所以,层82的组成可选择以根据层86来提供蚀刻选择性。
另一个替代实施方案可以还包括一种含有在引线结构中作为层内或层间电介质的绝缘材料层的电子结构,所述结构有一块预处理过的且在其第一绝缘材料层中嵌入有一第一金属区域的半导体基片,一被嵌入第二绝缘材料层中的第一导体区域,其中所述第二绝缘材料层与第一绝缘材料层紧密接触,所述第一导体区与所述第一金属区域电连通,与第一导体区域电连通并且被嵌入第三绝缘材料层中的第二导体区域,其中所述第三绝缘材料层与第二绝缘材料层紧密接触,位于第二绝缘材料层与第三绝缘材料层之间的第一介电帽层,位于第三绝缘材料层上的第二介电帽层,其中所述第一和第二介电帽层由本发明所述的多相超低k薄膜形成。
本发明的另一个实施方案还包括一种含有在引线结构中作为层内或层间电电质的绝缘材料层的电子结构,所述结构有一块预处理过的且在其第一绝缘材料层中嵌入有一第一金属区域的半导体基片,嵌入到第二绝缘材料层中的第一导体区域,所述第二绝缘材料层与第一绝缘材料层紧密接触,所述第一导体区与所述第一金属区域电连通,与第一导体区域电连通并且被嵌入第三绝缘材料层中的第二导体区域,所述第三绝缘材料层与第二绝缘材料层紧密接触,由本发明的多相超低k薄膜构成并至少沉积在第二和第三绝缘材料层的一个上面的扩散阻挡层。
本发明的另一个替换实施方案还包括一种含有在引线结构中作为层内或层间电介质的绝缘材料层的电子结构,所述结构有预处理过的且在其第一绝缘材料层中嵌入有一第一金属区域的半导体基片,被嵌入第二绝缘材料层中的第一导体区域,所述第二绝缘材料层与第一绝缘材料层紧密接触,所述第一导体区与所述第一金属区域电连通,和第一导体区域电连通并且被嵌入第三绝缘材料层的一第二导体区域,所述第三绝缘材料层与第二绝缘材料层紧密接触,位于第二绝缘材料层上的一反应离子蚀刻(RIE)硬掩模/抛光停止层,位于RIE硬掩模/抛光停止层上的扩散阻挡层,其中RIE硬掩模/抛光停止层和扩散阻挡层均由本发明所述的多相超低k薄膜形成。
本发明的另一个替换实施方案还包括一种含有在引线结构中作为层内或层间电介质的绝缘材料层的电子结构,所述结构有一块预处理过的且在其第一绝缘材料层中嵌入有一第一金属区域的半导体基片,被嵌入第二绝缘材料层中的一第一导体区域,所述第二绝缘材料层与第一绝缘材料层紧密接触,所述第一导体区与所述第一金属区域电连通,和第一导体区域电性连通并且被嵌入第三绝缘材料层的一第二导体区域,所述第三绝缘材料层与第二绝缘材料层紧密接触,位于第二绝缘材料层上的一第一RIE硬掩模/抛光停止层,位于所述第一RIE硬掩模/抛光停止层上的一第一扩散阻挡层,位于第三绝缘材料层上的一第二RIE硬掩模/抛光停止层,位于所述第二RIE硬掩模/抛光停止层上的一第二扩散阻挡层,其中RIE硬掩模/抛光停止层和扩散阻挡层均由本发明所述的多相超低k薄膜形成。
本发明的另一个替换实施方案还包括一种与前述类似的含有在引线结构中作为层内或层间电介质的绝缘材料层的电子结构,但是其还包括了一位于层内介电层和层间介电层之间的介电帽层,该帽层由含有Si,C,O,H的多相材料形成。
因此,本发明所述的新方法和根据该方法得到的电子结构已经在前面结合附图1-7进行了详细的描述。需要强调的是附图4-7中所描述的本发明电子结构的实例仅仅只是用作本发明的新方法的示例,明显地,它们还可以用于生产无数电子器件。
在以示例的方式对本发明进行了描述的同时,应该而不是局限。
另外,虽然本发明通过优选的以及几个替换实施方案给予了说明,但是将会意识到本领域技术人员可以将这些教导运用到本发明的其他可能的变型中。

Claims (100)

1.一种制备多相超低介电常数薄膜的方法,包括以下步骤:
将基片置于等离子体增强的化学气相沉积(PECVD)反应器中;
使含有Si,C,O和H原子的第一前体气体流入反应器内;
使包括主要含有C和H原子的有机分子的第二前体气体流入反应器内;
使包括至少含有三个Si-O键的硅氧烷分子的第三前体气体流入反应器内;
在基片上沉积多相超低k薄膜。
2.如权利要求1所述的方法,其中所述第一前体气体由含有Si,C,O和H原子的单一分子组成。
3.如权利要求1所述的方法,其中所述第一前体气体由含有Si,C,O和H原子的分子混合物组成。
4.如权利要求1所述的方法,还包括将He加入到气体混合物里。
5.如权利要求1所述的方法,其还包括将CO2或CO2与O2的混合物加入到气体混合物里。
6.硅、碳、氧和氢原子。
7.如权利要求6所述的方法,其中所述的硅氧烷是环硅氧烷。
8.如权利要求7所述的方法,其中所述的环硅氧烷选自由以下物质:四甲基环四硅氧烷,十甲基环五硅氧烷,八甲基环四硅氧烷,三甲基环三硅氧烷,和六甲基环三硅氧烷组成的组中。
9.如权利要求1所述的方法,其中所述的第一前体气体是四甲基环四硅氧烷,或八甲基环四硅氧烷。
10.如权利更求1所述的方法,其中所述的第一前体气体还包括氮元素,氟元素或锗元素。
11.如权利要求1所述的方法,其中所述的第二前体气体包括能提供明显环张力的稠环类物质,其中所述稠环包括4个,5个,7个或更多原子的环。
12.如权利要求1所述的方法,其中所述的第二前体气体包括环戊烯氧化物。
13.如权利要求1所述的方法,其中所述的第三前体气体包括含有3个或4个Si-O键的硅氧烷分子。
14.如权利要求1所述的方法,其中所述的第三前体气体选自由以下物质:四甲基正硅酸酯(TMOS),四乙基正硅酸酯(TEOS),乙烯基三乙氧基硅烷,烯丙基三甲氧烷硅烷,乙烯基三甲氧硅烷,烯丙基三乙氧硅烷,苯基三乙氧基硅烷和苯基三甲氧基硅烷组成的组中。
15.沉积后低k薄膜。
16.如权利要求15所述的方法,其中热处理是通过在不低于300℃的温度下持续至少0.25小时的退火步骤。
17.如权利要求15所述的方法,其中热处理通过两步完成,其中在第一步中,膜在第一时间段内在不高于约300℃的温度下被加热,在第二步中,膜在第二时间段内在不低于约300℃的温度下被加热,这里第二时间段比第一时间段长。
18.如权利要求1所述的方法,还包括用电子束固化所述多相超低k薄膜,所述的电子束固化在大约350~450℃温度下经过大约1~300分钟完成。
19.如权利要求1所述的方法,其中所述的PECVD反应器是平行板反应器。
20.如权利要求19所述的方法,其中所述的平行板反应器有面积为大约300~800平方厘米的基片卡盘区,和基片到顶电极的间隙为大约1~10cm。
21.如权利要求19所述的方法,还包括将RF电源施加到所述平行板反应器的电极上。
22.如权利要求1所述的方法,其中所述的沉积还包括以下步骤:将所述基片的温度设置在约25~400℃之间;将RF功率密度设置在0.05~4.0W/cm2之间。
23.将所述第一前体气体的流速设置约在5~1000sccm之间。
24.如权利要求1所述的方法,其中所述的沉积还包括以下步骤:将所述第二前体气体的流速设置为约5~50000sccm。
25.如权利要求1所述的方法,其中所述的沉积还包括以下步骤:将所述第三前体气体的流速设置为约5~1000sccm。
26.如权利要求1所述的方法,其中所述沉积还包括以下步骤:将PECVD反应器内压力设置在约50~5000mTorr之间。
27.如权利要求1所述的方法,其中所述第一前体气体是四甲基环四硅氧烷,和所述第二前体气体是环戊烯氧化物。
28.一种制备多相超低介电常数薄膜的方法,包括以下步骤:
将基片置于等离子体增强化化学气相沉积(PECVD)反应器中;
使含有Si,C,O和H原子的第一前体气体流入反应器内;
使主要包括含有C和H原子的有机分子的第二前体气体流入反应器内;
对电子束敏感的进反应器;
在基片上沉积多相超低k薄膜;
用电子束射线固化沉积后的薄膜。
29.如权利要求28所述的方法,其中所述第一前体气体由含有Si,C,O和H原子的单一分子组成。
30.如权利要求28所述的方法,其中所述第一前体气体由含有Si,C,O和H原子的分子的混合物组成。
31.如权利要求28所述的方法,还包括将第一前体气体与He混合。
32.如权利要求28所述的方法,还包括将所述的第一前体气体与CO2或CO2与O2的混合物混合。
33.如权利要求28所述的方法,其中所述的第一前体气体是含有Si,C,O和H原子的硅氧烷。
34.如权利要求33所述的方法,其中所述的硅氧烷是环硅氧烷。
35.如权利要求34所述的方法,其中所述的环硅氧烷选自由以下物质:四甲基环四硅氧烷,十甲基环五硅氧烷,八甲基环四硅氧烷,三甲基环三硅氧烷,和六甲基环三硅氧烷组成的组中。
36.如权利要求28所述的方法,其中所述的第一前体气体是四甲基环四硅氧烷,或八甲基环四硅氧烷。
37.如权利要求28所述的方法,其中所述的第一前体气体还包括氮元素,氟元素或锗元素。
38.如权利要求28所述的方法,其中所述的第二前体气体包括能提供明显环张力的稠合环类物质,其中所述稠环包括4个,5个,7个或更多原子的环。
39.如权利要求28所述的方法,其中所述的第二前体气体包括环戊烯氧化物
40.如权利要求28所述的方法,其中所述的第三前体气体是一种硅氧烷分子,并且所述第三前体气体中的反应性基团选自由以下物质:乙烯基,烯丙基,苯基,炔属基,以及它们的混合物组成的组中。
41.如权利要求28所述的方法,其中所述的第三前体气体选自由以下物质:乙烯基三甲氧基硅烷,烯丙基三乙氧基硅烷,苯基三甲氧基硅烷,苯基三乙氧基硅烷和含有2个不饱和基团的硅氧烷组成的组中。
42.如权利要求28所述的方法,其中所述的第三前体气体选自由含乙烯基,烯丙基,苯基,或炔属基的硅烷和硅氧烷,以及它们的混合物组成的组中。
43.如权利要求28所述的方法,其中所述的第三前体气体选自由:含有不饱和烃基团的硅烷和硅氧烷组成的组中。
44.如权利要求28所述的方法,其中所述的第三前体气体选自由含有超过一个不饱和C-C双键或三键的双官能有机分子组成的组中。
45.由1,3-丁二烯,1,4-戊二烯,1,5-己二烯,单环双官能分子和双环双官能分子组成的组中。
46.如权利要求28所述的方法,还包括在沉积后对所述多相超低k薄膜进行热处理。
47.如权利要求46所述的方法,其中所述热处理是通过在不低于300℃的温度下进行至少0.25小时的退火步骤。
48.如权利要求46所述的方法,其中所述热处理通过两步完成,其中在第一步中,在第一时间段内以不高于约300℃的温度加热薄膜,在第二步中,在第二时间段内以不低于约300℃的温度加热薄膜,这里第二时间段比第一时间段长。
49.如权利要求48所述的方法,其中所述第二时间段至少是第一时间段的10倍。
50.如权利要求28所述的方法,其中通过大约在350~450℃温度下经过约1~300分钟完成固化。
51.如权利要求30所述的方法,其中所述的PECVD反应器是平行板反应器。
52.如权利要求51所述的方法,其中所述的平行板反应器有约为300~800平方厘米的基片卡盘面积,和基片到顶电极的间隙约为1~10cm。
53.所述平行板反应器的电极。
54.如权利要求28所述的方法,其中所述的沉积还包括以下步骤:将所述基片的温度设置在约25~400℃之间;将RF功率密度设置为约0.05~4.0W/平方厘米之间。
55.如权利要求28所述的方法,其中所述的沉积还包括以下步骤:将所述第一前体气体的流速设置在约5~1000sccm之间。
56.如权利要求28所述的方法,其中所述的沉积还包括以下步骤:将所述第二前体气体的流速设置在约5~50000sccm之间。
57.如权利要求28所述的方法,其中所述的沉积还包括以下步骤:将所述第三前体气体的流速设置在约5~1000sccm之间。
58.如权利要求28所述的方法,其中所述沉积还包括以下步骤:将PECVD反应器内的压力设置在约50~5000mTorr之间。
59.如权利要求28所述的方法,其中所述第一前体气体是四甲基环四硅氧烷,所述第二前体气体是环戊烯氧化物。
60.一种制备多相超低介电常数薄膜的方法,包括以下步骤:
将基片置于PECVD反应器中;
使含有Si,C,O和H原子的第一前体气体流入反应器中;
使包括含有对电子束射线敏感的反应性基团的分子的第二前体气体流入反应器中;
在基片上沉积多相超低k薄膜;
用电子束射线固化沉积膜。
61.如权利要求60所述的方法,其中第一前体气体由含有Si,C,O和H原子的单一分子组成。
62.如权利要求60所述的方法,其中所述第一前体气体由含有Si,C,O和H原子的分子的混合物组成。
63.如权利要求60所述的方法,还包括使第一前体气体与He混合。
64.如权利要求60所述的方法,还包括使所述第一前体气体与CO2或CO2与O2的混合物混合。
65.如权利要求60所述的方法,其中所述的第一前体气体是一种含有Si,C,O和H的硅氧烷。
66.如权利要求65所述的方法,其中所述的硅氧烷是环硅氧烷。
67.由四甲基环四硅氧烷,十甲基环五硅氧烷,八甲基环四硅氧烷,三甲基环三硅氧烷,和六甲基环三硅氧烷组成的组中。
68.如权利要求60所述的方法,其中所述的第一前体气体是四甲基环四硅氧烷,或八甲基环四硅氧烷。
69.如权利要求60所述的方法,其中所述的第一前体气体还包括氮元素,氟元素或锗元素。
70.如权利要求60所述的方法,其中所述的第二前体气体的反应性基团选自由含乙烯基,烯丙基,苯基,炔属基的硅烷和硅氧烷,以及它们的混合物组成的组中。
71.如权利要求60所述的方法,其中所述的第二前体气体是一种选自由以下物质:乙烯基三乙氧基硅烷,烯丙基三甲氧基硅烷,乙烯基三甲氧基硅烷,烯丙基三乙氧基硅烷,苯基三甲氧基硅烷,苯基三乙氧硅烷,以及含有2个不饱和基团的硅氧烷组成的组中的硅氧烷。
72.如权利要求60所述的方法,其中所述的第三前体气体选自由含超过一个不饱和C-C双键或三键的双官能有机分子组成的组中。
73.如权利要求60所述的方法,其中所述第三前体气体选自由1,3-丁二烯,1,4-戊二烯,1,5-己二烯,单环双官能团分子和双环双官能团分子组成的组中。
74.如权利要求60所述的方法,还包括在沉积后对所述多相超低k薄膜进行热处理。
75.通过在不低于300℃的温度下进行至少0.25小时的退火步骤来完成。
76.如权利要求74所述的方法,其中热处理通过两步完成,其中在第一步中,在第一时间段内以不高于约300℃的温度对薄膜进行加热,在第二步中,在第二时间段内以不低于约300℃的温度对薄膜进行加热,这里第二时间段比第一时间段长。
77.如权利要求76所述的方法,其中所述第二时间段至少是第一时间段的10倍。
78.如权利要求60所述的方法,通过约在350~450℃温度下大约经过1~300分钟完成固化。
79.如权利要求60所述的方法,其中所述的PECVD反应器是平行板反应器。
80.如权利要求79所述的方法,其中所述的平行板反应器约有300~800平方厘米的基片卡盘面积,和基片到顶电极的间隙约为1~10cm。
81.如权利要求79所述的方法,其中还包括以下步骤:将RF电源施加于所述平行板反应器中的一个电极。
82.如权利要求60所述的方法,其中所述的沉积还包括以下步骤:将所述基片的温度设置约25~400℃之间;将RF功率密度设置在约0.05~4.0W/平方厘米之间。
83.将所述第一前体气体的流速设置在约5sccm~1000sccm之间。
84.如权利要求60所述的方法,其中所述的沉积还包括以下步骤:将所述第二前体气体的流速设置在约5~100sccm之间。
85.如权利要求60所述的方法,其中所述沉积还包括以下步骤:将PECVD反应器内的压力设置在约50~5000mTorr之间。
86.如权利要求60所述的方法,其中所述的采用电子束射线对沉积后的薄膜进行固化的步骤在真空中完成。
87.如权利要求60所述的方法,其中所述的采用电子束射线对沉积后的薄膜进行固化的步骤通过使用约为1~100keV的电子能量来完成。
88.如权利要求60所述的方法,其中所述的采用电子束射线对沉积后的薄膜进行固化的步骤通过使用约为2~30keV的电子能量来完成。
89.如权利要求60所述的方法,其中所述的采用电子束射线对沉积后的薄膜进行固化的步骤通过使用约为50~5000微居/平方厘米的电子辐射量来完成。
90.如权利要求60所述的方法,其中所述的采用电子束射线对沉积后的薄膜进行固化的步骤通过使用约为100~2000微居/平方厘米的电子辐射量来完成。
91.一种含有Si,C,O和H原子的多相超低k介电膜,其有大约2.4或以下的介电常数,纳米级的小孔或空穴,大约5或更大的弹性模量,和大约0.7或更大的硬度。
92.如权利要求91所述的多相超低k薄膜,其有大约2.2或以下的介电常数,纳米级的小孔或空穴,大约3或更大的弹性模量,和大约0.3或更大的硬度。
93.如权利要求91所述的多相超低k薄膜,其中小孔或空穴的直径约为0.5~20纳米。
94.如权利要求91所述的多相超低k薄膜,其中所述薄膜具有氢化的氧化硅碳材料(SiCOH)形成的第一相,和至少另一个主要由C和H原子构成的相,所述的SiCOH材料由以共价连接网络形式的Si,C,O和H原子组成。
95.如权利要求91所述的多相超低k薄膜,其中通过调节电子束射线的杂件而在薄膜顶面形成致密区。
96.一种电子结构,其至少含有一层如权利要求91所述的多相超低k薄膜。
97.一种电子结构,其至少含有一层如权利要求91所述的多相超低k薄膜和还包括在薄膜顶面上的致密区。
98.如权利要求96所述的电子结构,其中所述的多相超低k薄膜是生产线后端(BEOL)引线结构中的层内介电层或层间介电层。
99.BEOL引线结构的帽或扩散阻挡层。
100.如权利要求96所述的电子结构,其中所述的多相超低k薄膜是BEOL引线结构中的硬掩模或抛光停止层。
CN200480012920XA 2003-03-18 2004-03-17 超低介电常数的SiCOH薄膜及其制造方法 Expired - Lifetime CN1787881B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/390,801 US7288292B2 (en) 2003-03-18 2003-03-18 Ultra low k (ULK) SiCOH film and method
US10/390,801 2003-03-18
PCT/US2004/008195 WO2004083495A2 (en) 2003-03-18 2004-03-17 Ultra low k (ulk) sicoh film and method

Publications (2)

Publication Number Publication Date
CN1787881A true CN1787881A (zh) 2006-06-14
CN1787881B CN1787881B (zh) 2012-12-26

Family

ID=33029679

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200480012920XA Expired - Lifetime CN1787881B (zh) 2003-03-18 2004-03-17 超低介电常数的SiCOH薄膜及其制造方法

Country Status (9)

Country Link
US (3) US7288292B2 (zh)
EP (1) EP1617957B1 (zh)
JP (3) JP2006521019A (zh)
KR (1) KR100724508B1 (zh)
CN (1) CN1787881B (zh)
AT (1) ATE479729T1 (zh)
DE (1) DE602004028922D1 (zh)
TW (1) TWI281707B (zh)
WO (1) WO2004083495A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101580410B (zh) * 2008-08-29 2012-08-29 广东大众农业科技股份有限公司 一种利用造纸污泥生产碱性有机肥的方法
CN103996654A (zh) * 2014-06-09 2014-08-20 苏州大学 多相低介电常数材料层的制造方法
CN110648961A (zh) * 2018-06-27 2020-01-03 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
TWI282124B (en) * 2002-11-28 2007-06-01 Tosoh Corp Insulating film material containing an organic silane compound, its production method and semiconductor device
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
JP4434146B2 (ja) * 2003-11-28 2010-03-17 日本電気株式会社 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
US7341761B1 (en) * 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7547643B2 (en) * 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
DE102005007825B4 (de) 2005-01-10 2015-09-17 Interpane Entwicklungs-Und Beratungsgesellschaft Mbh Verfahren zur Herstellung einer reflexionsmindernden Beschichtung, reflexionsmindernde Schicht auf einem transparenten Substrat sowie Verwendung einer derartigen Schicht
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US20080009141A1 (en) * 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
US8080282B2 (en) * 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
KR100845941B1 (ko) * 2007-03-27 2008-07-14 성균관대학교산학협력단 저유전 상수값을 갖는 박막 제조 방법 및 이에 의하여제조된 박막
CN101126148B (zh) * 2007-07-27 2010-04-21 北京印刷学院 一种具有阻隔兼防护功能的纳米薄膜及其制做方法
US20090061237A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US20090061649A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
KR100909757B1 (ko) * 2007-10-31 2009-07-29 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성 방법
KR100962044B1 (ko) * 2007-12-06 2010-06-08 성균관대학교산학협력단 저유전 플라즈마 중합체 박막 및 그 제조 방법
US20100143580A1 (en) * 2008-05-28 2010-06-10 American Air Liquide, Inc. Stabilization of Bicycloheptadiene
US20090324928A1 (en) * 2008-06-26 2009-12-31 Vijayakumar Ramachandrarao Forming ultra low dielectric constant porous dielectric films and structures formed thereby
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
SG174296A1 (en) * 2009-03-10 2011-10-28 Air Liquide Cyclic amino compounds for low-k silylation
US8261158B2 (en) 2009-03-13 2012-09-04 Fusion-Io, Inc. Apparatus, system, and method for using multi-level cell solid-state storage as single level cell solid-state storage
US8266503B2 (en) 2009-03-13 2012-09-11 Fusion-Io Apparatus, system, and method for using multi-level cell storage in a single-level cell mode
CN102379036B (zh) * 2009-04-30 2015-04-08 瑞萨电子株式会社 半导体器件及其制造方法
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
EP2319821A1 (en) 2009-11-06 2011-05-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Stabilization of bicycloheptadiene
US8481355B2 (en) * 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8661184B2 (en) 2010-01-27 2014-02-25 Fusion-Io, Inc. Managing non-volatile media
US8380915B2 (en) 2010-01-27 2013-02-19 Fusion-Io, Inc. Apparatus, system, and method for managing solid-state storage media
US8854882B2 (en) 2010-01-27 2014-10-07 Intelligent Intellectual Property Holdings 2 Llc Configuring storage cells
US8314005B2 (en) * 2010-01-27 2012-11-20 International Business Machines Corporation Homogeneous porous low dielectric constant materials
WO2011094454A2 (en) * 2010-01-27 2011-08-04 Fusion-Io, Inc. Apparatus, system, and method for determining a read voltage threshold for solid-state storage media
US8492239B2 (en) 2010-01-27 2013-07-23 International Business Machines Corporation Homogeneous porous low dielectric constant materials
SG183291A1 (en) * 2010-02-17 2012-09-27 Air Liquide VAPOR DEPOSITION METHODS OF SiCOH LOW-K FILMS
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) * 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8541301B2 (en) 2011-07-12 2013-09-24 International Business Machines Corporation Reduction of pore fill material dewetting
US8927430B2 (en) 2011-07-12 2015-01-06 International Business Machines Corporation Overburden removal for pore fill integration approach
US8828489B2 (en) 2011-08-19 2014-09-09 International Business Machines Corporation Homogeneous modification of porous films
JP6105204B2 (ja) * 2012-02-10 2017-03-29 株式会社日立ハイテクサイエンス Tem観察用試料作製方法
US8804415B2 (en) 2012-06-19 2014-08-12 Fusion-Io, Inc. Adaptive voltage range management in non-volatile memory
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
US9196849B2 (en) * 2013-01-09 2015-11-24 Research & Business Foundation Sungkyunkwan University Polymer/inorganic multi-layer encapsulation film
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
US9371430B2 (en) 2013-08-19 2016-06-21 Research & Business Foundation Sungkyunkwan University Porous film with high hardness and a low dielectric constant and preparation method thereof
KR101506801B1 (ko) * 2013-08-19 2015-03-30 성균관대학교산학협력단 고강도 저유전 플라즈마 중합체 박막 및 그 제조 방법
US9583332B2 (en) 2014-01-29 2017-02-28 Applied Materials, Inc. Low temperature cure modulus enhancement
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
CN110158052B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
TWI814856B (zh) 2019-07-05 2023-09-11 聯華電子股份有限公司 半導體元件及其製作方法

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2917490A1 (de) * 1979-04-30 1980-11-13 Basf Ag Verfahren zur herstellung von aliphatischen und cycloaliphatischen di- und polyurethanen
US4473516A (en) * 1983-01-03 1984-09-25 Hoover Universal, Inc. Method and apparatus for injection molding plastic articles having solid exterior surfaces and porous interior cores
US4569814A (en) * 1984-07-03 1986-02-11 Motorola, Inc. Preforming of preheated plastic pellets for use in transfer molding
US4814137A (en) * 1988-02-16 1989-03-21 Westinghouse Electric Corp. High performance reliability fuel pellet
US5043199A (en) * 1988-10-31 1991-08-27 Fujitsu Limited Resin tablet for plastic encapsulation and method of manufacturing of plastic encapsulation using the resin tablet
NL9200127A (nl) * 1992-01-23 1993-08-16 Ireneus Johannes Theodorus Mar Werkwijze voor het in een vormholte persen van een door een reactie uithardende kunststof, een daarbij te gebruiken pilvormig pershulpmateriaal alsmede een houder uit dergelijk materiaal.
JPH06312793A (ja) * 1993-04-26 1994-11-08 Mk Seiko Co Ltd エンジンオイル交換装置
EP0742586A3 (en) * 1995-05-02 1998-03-11 Texas Instruments Incorporated Improvements in or relating to integrated circuits
US5888443A (en) * 1996-05-02 1999-03-30 Texas Instruments Incorporated Method for manufacturing prepackaged molding compound for component encapsulation
US6091157A (en) * 1997-12-05 2000-07-18 Advanced Micro Devices, Inc. Method to improve internal package delamination and wire bond reliability using non-homogeneous molding compound pellets
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6071457A (en) * 1998-09-24 2000-06-06 Texas Instruments Incorporated Bellows container packaging system and method
US6361837B2 (en) * 1999-01-15 2002-03-26 Advanced Micro Devices, Inc. Method and system for modifying and densifying a porous film
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
JP2001115021A (ja) * 1999-10-18 2001-04-24 Asahi Kasei Corp シリカ前駆体/有機ポリマー組成物
US6440550B1 (en) * 1999-10-18 2002-08-27 Honeywell International Inc. Deposition of fluorosilsesquioxane films
US6203319B1 (en) * 1999-12-01 2001-03-20 Edward Stanley Lee Pellet-forming mold for dental filling materials
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6270846B1 (en) * 2000-03-02 2001-08-07 Sandia Corporation Method for making surfactant-templated, high-porosity thin films
US6576568B2 (en) 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
WO2002007191A2 (en) 2000-07-13 2002-01-24 The Regents Of The Universty Of California Silica zeolite low-k dielectric thin films
KR100615410B1 (ko) * 2000-08-02 2006-08-25 인터내셔널 비지네스 머신즈 코포레이션 저 유전 상수 다상 물질 및 그 증착 방법
US6790789B2 (en) * 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6756323B2 (en) * 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
SG137694A1 (en) * 2000-10-25 2007-12-28 Ibm Ultralow dielectric constant material as an intralevel or interlevel dieletric in a semiconductor device and electronic device containing the same
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6649540B2 (en) * 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
KR100343938B1 (en) * 2000-11-29 2002-07-20 Samsung Electronics Co Ltd Preparation method of interlayer insulation membrane of semiconductor
US6572923B2 (en) * 2001-01-12 2003-06-03 The Boc Group, Inc. Asymmetric organocyclosiloxanes and their use for making organosilicon polymer low-k dielectric film
SG98468A1 (en) * 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US20020132496A1 (en) * 2001-02-12 2002-09-19 Ball Ian J. Ultra low-k dielectric materials
US6677680B2 (en) * 2001-02-28 2004-01-13 International Business Machines Corporation Hybrid low-k interconnect structure comprised of 2 spin-on dielectric materials
JP2002280379A (ja) * 2001-03-15 2002-09-27 Asahi Kasei Corp 絶縁薄膜用の多孔性シリカ薄膜
US6780499B2 (en) * 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
JP2003142476A (ja) * 2001-11-01 2003-05-16 Asahi Kasei Corp 絶縁薄膜用の多孔性シリカ薄膜
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US7122880B2 (en) * 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
WO2004053205A2 (en) * 2002-07-22 2004-06-24 Massachusetts Institute Of Technolgoy Porous material formation by chemical vapor deposition onto colloidal crystal templates
TWI273090B (en) * 2002-09-09 2007-02-11 Mitsui Chemicals Inc Method for modifying porous film, modified porous film and use of same
JP4231996B2 (ja) 2002-11-14 2009-03-04 信越化学工業株式会社 エアバッグ目止め材用シリコーンゴム組成物
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7479327B2 (en) * 2003-05-27 2009-01-20 Exxonmobil Chemical Patents Inc. Tie-layer materials for use with ionomer-based films and sheets as skins on other materials
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
JP4434146B2 (ja) * 2003-11-28 2010-03-17 日本電気株式会社 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050161060A1 (en) * 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7229934B2 (en) * 2004-10-18 2007-06-12 International Business Machines Corporation Porous organosilicates with improved mechanical properties
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US20070009673A1 (en) * 2005-07-06 2007-01-11 Asm Japan K.K. Insulation film and method for manufacturing same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101580410B (zh) * 2008-08-29 2012-08-29 广东大众农业科技股份有限公司 一种利用造纸污泥生产碱性有机肥的方法
CN103996654A (zh) * 2014-06-09 2014-08-20 苏州大学 多相低介电常数材料层的制造方法
CN103996654B (zh) * 2014-06-09 2017-01-25 苏州大学 多相低介电常数材料层的制造方法
CN110648961A (zh) * 2018-06-27 2020-01-03 台湾积体电路制造股份有限公司 半导体结构及其形成方法
US11749563B2 (en) 2018-06-27 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric layer

Also Published As

Publication number Publication date
JP2012109589A (ja) 2012-06-07
KR20050110649A (ko) 2005-11-23
JP2011082540A (ja) 2011-04-21
WO2004083495A2 (en) 2004-09-30
TWI281707B (en) 2007-05-21
EP1617957A2 (en) 2006-01-25
ATE479729T1 (de) 2010-09-15
TW200428493A (en) 2004-12-16
US7288292B2 (en) 2007-10-30
KR100724508B1 (ko) 2007-06-07
US20090297823A1 (en) 2009-12-03
US20080026203A1 (en) 2008-01-31
DE602004028922D1 (de) 2010-10-14
CN1787881B (zh) 2012-12-26
EP1617957A4 (en) 2007-03-28
JP2006521019A (ja) 2006-09-14
WO2004083495A3 (en) 2005-02-03
JP5511781B2 (ja) 2014-06-04
US20050276930A1 (en) 2005-12-15
EP1617957B1 (en) 2010-09-01

Similar Documents

Publication Publication Date Title
CN1787881B (zh) 超低介电常数的SiCOH薄膜及其制造方法
CN100386472C (zh) 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件
CN1950932A (zh) 用于制造在制成的半导体器件和电子器件内用作层内或层间电介质的超低介电常数材料的改进方法
CN100378990C (zh) 低k和超低k SiCOH介质膜及其制作方法
KR100702508B1 (ko) 유전 물질 및 beol 상호 접속 구조체
CN1311097C (zh) 制备低介电薄膜的方法、原料混合物及该薄膜
JP5065054B2 (ja) 制御された二軸応力を有する超低誘電率膜および該作製方法
CN1257547C (zh) 多相低介电常数材料及其沉积方法与应用
CN1782125A (zh) 形成介电膜的方法和介电膜
KR20130043096A (ko) 플라즈마 강화된 화학 기상 증착에 의한 유기 작용기들을 갖는 하이브리드 전구체들 함유 실리콘을 사용하는 초저 유전체 물질들
WO2005098925A1 (en) Techniques promoting adhesion of porous low k film to underlying barrier layer
TW200537644A (en) Deposition method and semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171201

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171201

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20121226