CN1812684A - 等离子反应器顶置源功率电极 - Google Patents

等离子反应器顶置源功率电极 Download PDF

Info

Publication number
CN1812684A
CN1812684A CNA2006100015479A CN200610001547A CN1812684A CN 1812684 A CN1812684 A CN 1812684A CN A2006100015479 A CNA2006100015479 A CN A2006100015479A CN 200610001547 A CN200610001547 A CN 200610001547A CN 1812684 A CN1812684 A CN 1812684A
Authority
CN
China
Prior art keywords
electrode
plasma
reactor
pressure
basal surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006100015479A
Other languages
English (en)
Inventor
道格拉斯·A·小布什伯格
丹尼尔·J·霍夫曼
欧加·莱格拉曼
詹姆斯·卡达希
堀冈启治
蒋国杨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1812684A publication Critical patent/CN1812684A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C5/00Pavings made of prefabricated single units
    • E01C5/22Pavings made of prefabricated single units made of units composed of a mixture of materials covered by two or more of groups E01C5/008, E01C5/02 - E01C5/20 except embedded reinforcing materials
    • E01C5/226Pavings made of prefabricated single units made of units composed of a mixture of materials covered by two or more of groups E01C5/008, E01C5/02 - E01C5/20 except embedded reinforcing materials having an upper layer of rubber, with or without inserts of other materials; with rubber inserts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C15/00Pavings specially adapted for footpaths, sidewalks or cycle tracks

Abstract

本发明公开了一种形成等离子反应器顶部至少一部分的顶置气体分布电极,其具有面对反应器处理区的底表面。该电极包括气体供应歧管和多个压降圆柱形孔,前者用于在所述电极顶部处接收供应压力下的处理气体,后者从每个孔的一端处的气体供应歧管相对于电极轴向延伸。电极内的径向气体分布歧管跨越电极径向延伸。多个轴向延伸高导通率气流通道将多个压降孔中各个的相对端耦合到径向气体分布歧管。多个高导通率圆柱形出气孔形成在电极的面对等离子的底表面中并轴向延伸到径向气体分布歧管。

Description

等离子反应器顶置源功率电极
技术领域
本发明涉及具有低电弧形成趋势、圆柱形气体出口和成形表面的等离子反应器顶置源功率电极。
背景技术
具有许多小进气孔的顶置喷气头在用作电容性耦合等离子源功率的电极时可能导致等离子电弧。等离子趋向于进入电极的许多出气孔中并在每个孔内形成电弧。电弧可能融化或溅射来自电极的金属原子,在等离子中产生污染并由此导致等离子处理(例如在半导体工件上进行的等离子增强反应离子刻蚀处理)失败。而且,这种电弧通过加宽不同的孔而损坏电极,由此使电极表面处的气流分布畸变。最后,如果电极是金属且覆盖有半导体保护层,则这种电弧通过攻击置于半导体保护层与金属电极之间的接合粘结剂而损坏电极或产生污染。为了防止等离子迁移进入出气孔而采用了非常窄的出气孔直径,但是这实际上加剧了电弧问题。这是因为由孔变窄导致的更大的压力促进了形成电弧。而且,这种窄孔难以清洁,使得来自等离子的残余物(例如聚合物)在出气孔内聚集。
我们避免在顶置VHF源功率电极/喷气头中形成电弧的努力导致了将顶置电极中的出气孔构造成窄环的概念,这在上面引用的本申请中公开。通过在径向上延伸的极窄的内部降压孔而使出气孔上的气压大大降低。每个环形出气孔的弧形或周向长度(在电极平面中)提高了孔内的导气流,这使得在电极表面处存在的高电场内的气压最小。该特征减小了孔中的气体形成电弧的趋势。每个环形孔的窄宽度增大了孔内电场作为轴向高度的函数下降的速率,以将高电场约束在出气孔底部附近并远离窄降压孔所在的电极上部区域。该特征使电极上部区域附近的电场最小化,其中在电极上部气压从非常高的压力最小化到非常低的压力,由此避免了高气压和高电场在相同位置同时出现,从而更好地抑制电弧形成。
这种环形出气孔需要复杂的机加工来进行制造,且不易适用于曲面外形。因此,这种气体分布电极实际上局限于平坦形状以避免过高的制造成本。这在以下情况下尤其如此,即半导体保护层覆盖电极底表面,从而要求在金属电极和半导体保护层中形成相互对准的环形出气孔。
我们已经发现在图1-30所公开的这种类型的反应器中,等离子离子密度分布可以在中心稍高,并在晶片周边处主要是低等离子密度和低刻蚀率。在某些情况下,晶片周边处的等离子离子密度可以是晶片中心处的等离子离子密度的55%或更小。刻蚀率类似地在晶片边缘处相对于晶片中心下降。需要一种能够在保持上述低电弧形成趋势的优点的同时提高等离子均匀性的顶置气体分布源功率电极。对中心高的等离子离子密度分布进行校正的一种方法是将电极表面构造成弧形,例如圆顶形或多倒角圆顶(multi-radius dome)形。但是,制造具有低的形成电弧趋势的电极(即具有上述环形出气孔的那种电极)所需的复杂机加工步骤无法在弧形中实现,或者至少对具有明显曲率的形状不行。例如,在直径为300mm量级的电极中,提供从中心到边缘具有大于几毫米偏离的曲率不会节省成本。这样的小曲率可能不足以校正或显著改善等离子离子密度不均匀性的55%偏离。问题在于如何在电极中提供足够的曲率,而不增大在出气孔内出现电弧的趋势。
本申请是Daniel J.Hoffman等人于2004年1月8日递交的题为“PLASMA REACTOR WITH OVERHEAD RF SOURCE POWERELECTRODE WITH LW LOSS,LOW ARCING TENDENCY AND LOWCONTAMINATION”的美国专利申请No.10/754,280的部分继续,后一申请No.10/754,280是Daniel J.Hoffman等人于2001年12月19日递交的题为“PLASMA REACTOR WITH OVERHEAD RF ELECTRODE TUNED TOTHE PLASMA”的美国专利申请No.10/028,922的部分继续,后一申请No.10/028,922是Daniel Hoffman等人于2000年3月17日递交的题为“PLASMA REACTOR WITH OVERHEAD RF ELECTRODE TUNED TOTHE PLASMA”的美国专利申请No.09/527,342的部分继续,后一申请现在授权为美国专利No.6,528,751,所有这些都转让给当前的受让人。
发明内容
形成等离子反应器顶部至少一部分的顶置气体分布电极具有面对反应器处理区的底表面。该电极包括气体供应歧管和多个压降圆柱形孔,前者用于在所述电极顶部处接收供应压力下的处理气体,后者从每个孔的一端处的气体供应歧管相对于电极轴向延伸。电极内的径向气体分布歧管跨越电极径向延伸。多个轴向延伸高导通率气流通道将多个压降孔中各个的相对端耦合到径向气体分布歧管。多个高导通率圆柱形出气孔形成在电极的面对等离子的底表面中并轴向延伸到径向气体分布歧管。
所述底表面可以是平面表面或非平面表面,后者是弯曲表面或台阶状表面,并且所述底表面可以是中心高的。所述非平面底表面可以具有在所述电极的直径的约20%与100%之间的中心-边缘高度差。
保护层可以覆盖所述底表面,并可以由与处理兼容的材料形成,所述出气孔延续穿过所述保护层。所述保护层可以是含半导体的材料,例如硅或碳化硅。
附图说明
图1是等离子反应器的剖开侧剖视图。
图2A和2B的视图分别图示图1的同轴短管以及作为沿同轴短管位置的函数的电压和电流驻波幅值。
图3图示了减去同轴短管上的输入功率接头点处的电流,这响应于高等离子负载阻抗而发生以在更大的匹配空间中保持更加恒定的传输VHF功率电平。
图4图示了增加同轴短管上的输入功率接头点处的电流,这响应于低等离子负载阻抗而发生以在更大的匹配空间中保持更加恒定的传输VHF功率电平。
图5的曲线图图示了图1实施例的低Q反射系数作为频率的函数。
图6的曲线图图示了同轴短管上的输入功率接头点处的电流贡献与沿短管长度的驻波电流和电压的相互作用。
图7图示了图1的同轴短管的另一实施例。
图8示出了另一实施例。
图9是对应于图8的放大视图。
图10是图9的放大视图。
图11是图8的另一放大视图。
图12示出了另一实施例。
图13是对应于图12的俯视图。
图14是对应于图13的反应器的另一实施例的俯视图。
图15图示了类似于图8的等离子反应器,但其中顶部电极包括具有相对不变RF损耗的保护性半导体涂层并抗电弧形成。
图16是图15的顶部电极的基座的平面图。
图17是图15的顶部电极的保护性半导体层的平面图。
图18是图15的顶部电极的一部分的放大平面图,其示出了在顶部电极内用作气体分布通道的弧形槽。
图19是图15的顶部电极的一部分的放大切开侧视图。
图20是图19的视图的放大。
图21是图15的顶部电极的冷却板的平面图。
图22是图15的顶部电极的加热板的平面图。
图23是包括这样的曲线的曲线图,该曲线示出电场强度和压力水平的阈值组合,这两者允许在图15的顶部电极的内部气体通道中的处理气体形成电弧。
图24图示了压降作为图15的顶部电极的弧形槽或气体通道内轴向高度的函数。
图25图示了电场分布作为图15的顶部电极的弧形槽或气体通道内轴向高度的函数。
图26的曲线图示出了图15的顶部电极的保护性半导体层中的RF功率损耗作为不同层厚的半导体层的损耗因数的函数。
图27的曲线图示出了对于半导体层的不同损耗因数值,保护性半导体层中的RF功率损耗随温度变化的变化。
图28的曲线图示出了对于半导体层的不同损耗因数值,保护性半导体层中的RF功率损耗随层厚变化的变化。
图29的曲线图示出了电极基座与保护性半导体层之间的接合层上剪切力方向和大小作为在不同温度下固化的接合层的温度的函数。
图30图示了用于清洁和简化图15的顶部电极的装置。
图31的曲线图图示了利用参考图1-30所公开类型的具有平坦表面的顶置VHF气体分布电极获得的半导体晶片上的刻蚀率径向分布。
图32示出了具有台阶状表面的顶置气体分布电极的实施例。
图33示出了具有弯曲表面的顶置气体分布电极的实施例。
图34的曲线图图示了利用图32或图33的成形电极获得的刻蚀率径向分布。
图35是图32或图33的电极的一部分的放大剖视图。
图36是图35的一部分的放大剖视图。
图37的曲线图图示了对于根据图33的成形电极的不同实施例的电极表面高度的径向分布。
图38的曲线图图示了利用图37所示各个实施例获得的刻蚀率的径向分布。
具体实施方式
参考图1,等离子反应器包括反应室100,其在室底部具有支撑半导体晶片110的晶片支撑105。半导体环115围绕晶片110。半导体环115由电介质(石英)环120支撑在接地室主体127上。在一个实施例中,该环120厚度为10mm且介电常数为4。室100在顶部处由盘形顶置铝电极限制,该电极由电介质(石英)密封件支撑在接地室主体127上的晶片110上方预定间隙长度处。顶置电极125也可以是可用半金属材料(例如Si或SiC)覆盖在其内表面上的金属(例如铝),或者其自身就可以是半金属材料。RF发生器150向电极125施加RF功率。来自发生器150的RF功率通过与发生器150匹配的同轴电缆162耦合进入连接到电极125的同轴短管135。短管135具有特征阻抗、谐振频率,并提供电极125与50欧姆同轴电缆162或RF功率发生器150的50欧姆输出之间的阻抗匹配,这将在下面更充分地进行描述。室主体连接到RF发生器150的RF回路(RF接地)。从顶置电极125到RF接地的RF电路受到半导体环115、电介质环120和电介质密封件130的电容的影响。晶片支撑105、晶片110和半导体环115为施加到电极125的RF功率提供了主要的RF回路。
包括电极125、电介质环120和电介质密封件130在内的顶置电极组件126相对于RF回路或地测量的电容可以是180皮法。电极组件电容受到电极面积、间隙长度(晶片支撑与顶置电极之间的距离)以及影响寄生电容的因素的影响,尤其是密封件130和电介质环120的介电值,这些介电值又受到所采用材料的介电常数和厚度的影响。更一般而言,电极组件的电容(无符号数或标量)等于或在大小上近似等于在特定源功率频率、等离子密度和工作压力下的等离子负电容(复数),这将在下面讨论。
影响以上关系的许多因素主要是由于需要由反应器进行的等离子处理要求的实际情况、晶片的尺寸、以及在晶片上均匀进行处理的要求而预定的。于是,等离子电容是等离子密度和源功率频率的函数,而电极电容是晶片支撑-电极间隙(高度)、电极直径、以及组件绝缘体的介电值的函数。等离子密度、工作压力、间隙和电极直径必须满足由反应器进行等离子处理的要求。特别地,离子密度必须在一定范围内。例如,硅和电介质等离子刻蚀处理一般需要等离子离子密度在109至1012离子/cc的范围内。晶片电极间隙对8英寸晶片提供了最优的等离子离子分布均匀性,例如如果间隙为约1.25至约2.0英寸。对于300mm直径的晶片,最优的间隙尺寸为约1.25英寸。电极直径如果不大于晶片直径,也至少与其一样大。工作压力对典型的刻蚀和其他等离子处理类似地具有实际的范围。
但是已经发现还可以选择其他因素来实现以上关系,特别是对源频率的选择和对顶置电极组件126的电容的选择。在以上对于电极的尺寸限制和对等离子的限制(例如密度范围)内,如果选择源功率频率为VHF频率,且如果合适选择电极组件126的绝缘体部件的介电值,则可以使电极电容匹配等离子的负电容的大小。这种选择可以实现源功率频率和等离子电极谐振频率之间的匹配或近似匹配。
所以在一方面,对于8英寸晶片,顶置电极直径约11英寸,间隙约2英寸,等离子密度和工作压力如上所述是刻蚀处理中的典型值,密封件130的电介质材料的介电常数为9且厚度在1英寸的量级,环115具有稍超过10英寸的内径和约13英寸的外径,环120的介电常数为4且厚度在10mm的量级,VHF源功率频率为210MHz(尽管其他VHF频率可能同样有效),并且源功率频率、等离子电极谐振频率和短管谐振频率全都匹配或近似匹配。对于300m直径的晶片,最优的源功率频率是162MHz,其中等离子电极谐振频率和短管谐振频率匹配或稍稍偏离162MHz。
更具体而言,这三个频率可以稍稍彼此偏离,其中源功率频率为162MHz(对300mm晶片最优的),电极等离子谐振频率稍低于162MHz,且短管频率稍高于162MHz,以便实现有利地减小系统Q的解谐效应。系统Q的这种减小使得反应器性能更不易受室内条件变化的影响,从而整个处理稳定得多并且可以在宽得多的处理窗口上进行。
同轴短管135具有特殊构造的设计,这进一步有利于整体的系统稳定性、其宽处理窗口能力以及许多其他重要优点。其包括内圆柱导体140和外同心圆柱导体145。相对介电常数例如为1的绝缘体147(由图1中的阴影线表示)填充内导体140和外导体145之间的空间。内导体140和外导体145由具有镍涂层的铝形成。外导体145可以具有约4英寸的直径,而内导体140可以具有约1.5英寸的直径。短管特征阻抗由内导体140和外导体145的半径以及绝缘体147的介电常数确定。一个实施例的短管135具有30欧姆的特征阻抗(其中对300mm晶片直径VHF源功率频率为162MHz)。更一般而言,短管特征阻抗超出源功率输出阻抗约20%-40%,一般约30%。短管135的轴向长度对应于稍高于162MHz的四分之一波长(例如接近170MHz),以从(高于)162MHz的VHF源功率频率稍稍偏离。
分接头160设置在沿着短管135轴向长度的特定点处,以从RF发生器150向短管135施加RF功率,这将在下面描述。发生器150的RF功率端子150b和RF回路端子150a在短管135上的分接头160处分别连接到内导体140和外同轴短管导体145。经由发生器-短管同轴电缆162以公知方式来进行这些连接,该同轴电缆162具有匹配发生器150输出阻抗的特征阻抗(通常为50欧姆)。在短管135的远端135a处的终端导体165将内导体140和外导体145短接在一起,使得短管135在其远端135a处被短接。在短管135的近端135b(未短接端)处,外导体145经由环形导电壳体或支撑175连接到室主体,而内导体140经由导电圆筒或支撑176连接到电极125的中心。电介质环180被保持在导电圆筒176和电极125之间并将其隔开。
内导体140可以为诸如处理气体和冷却剂之类的用途提供管道。该特征的主要优点在于不像一般的等离子反应器,气体管线170和冷却剂管线173不跨越大的电势差。因此其可以由金属构成,这对于这样的目的是一种更便宜且更可靠的材料。金属气体管线170将气体供应到顶置电极125中或与其相邻的入口172,而金属冷却剂管线173将冷却剂供应到顶置电极125内的通道或护套174。
由此通过RF发生器150同顶置电极组件126和处理等离子负载之间的该特别构造的短管匹配而提供了动态谐振阻抗变换,从而使反射功率最小并提供了允许负载阻抗宽范围变化的非常宽的阻抗匹配空间。结果,提供了宽处理窗口和处理灵活性以及前面不可得到的功率使用效率,在所有这些的同时最小化或避免对一般阻抗匹配装置的需求。如上所述,短管谐振频率也从理想匹配偏离,以进一步提高整体的系统Q、系统稳定性以及处理窗口和多处理能力。
匹配电极-等离子谐振频率和VHF源功率频率:
如上所概述的,主要的特征在于构造顶置电极组件126以在电极-等离子谐振频率处与等离子谐振且用于匹配(或近似匹配)源功率频率和电极-等离子频率。电极组件126具有主要为电容性的电抗,而等离子电抗是频率、等离子密度和其他参数的复函数。(如下面将更详细描述的,按照电抗来分析等离子,其中电抗是包括虚数项的复函数并且一般对应于负电容。)电极-等离子谐振频率由电极组件126和等离子的电抗确定(类似于电容器/电感器电路的谐振频率由电容器和电感器的电抗确定)。于是电极-等离子谐振频率可以不一定是源功率频率,与其依赖于等离子密度一样。因此,问题在于找到这样的源功率频率,在该源功率频率下,给定对等离子密度和电极尺寸的特定范围的实际约束时,等离子电抗使得电极-等离子谐振频率等于或近似等于源功率频率。该问题甚至更加困难,因为等离子密度(其影响等离子电抗)和电极尺寸(其影响电极电容)必须满足某些处理约束。具体而言,对于电介质和金属等离子刻蚀处理,等离子密度应该在109至1012离子/cc的范围内,这是对等离子电抗的约束。而且,通过约1.25英寸的晶片-电极间隙或高度以及在晶片直径量级上或更大的电极直径(这是对电极电容的约束),来实现例如用于处理300mm直径晶片的更均匀等离子离子密度分布。另一方面,可以使用不同的间隙长度来处理甚至更大的晶片。
所以在本实施例的一个特征中,通过将电极电容匹配(或近似匹配)到等离子的负电容的大小,至少近似匹配电极-等离子谐振频率和源功率频率。对于以上列举的一般金属和电介质刻蚀处理条件(即109至1012离子/cc之间的等离子密度、2英寸的间隙和在大约11英寸量级上的电极直径),如果源功率频率是VHF频率则匹配是可能的。其他条件(例如不同的晶片直径、不同的等离子密度等)可能指示不同的频率范围以实现在执行反应器的该特征时的这样一种匹配。如下面将详述的,在包括电介质和金属等离子刻蚀以及化学气相沉积在内的几种主要应用中处理8英寸晶片的优选等离子处理条件下,在具有如上所述等离子密度的一种典型加工示例中的等离子电容在-50和B400皮法之间。在采用210MHz源功率频率的加工实施例中,通过使用11英寸的电极直径、约2英寸的间隙长度(电极到底座间距),选择介电常数为9且厚度在1英寸量级的电介质材料作为密封件130、以及介电常数为4且厚度在10mm量级的电介质材料作为环120,而使顶置电极组件126的电容匹配到该负等离子电容的大小。对于300mm的晶片,源功率频率可以是约162MHz。
在假定其电容如所述地匹配的情况下,电极组件126与等离子的组合在至少近似匹配施加到电极125的源功率频率的电极-等离子谐振频率下谐振。我们已经发现:对于优化的刻蚀等离子处理方法、环境和等离子,该电极-等离子谐振频率和源功率频率可以在VHF频率下匹配或近似匹配;并且实现这样的频率匹配或近似匹配是非常有利的。在以上实施例中,与以上值的等离子负电容相对应的电极-等离子谐振频率可以稍稍在162MHz之下。源功率频率是162MHz,在此近似匹配中源功率频率稍稍高于电极-等离子谐振频率而偏离以实现下述其他优点。如果源功率频率是210MHz,则等离子谐振频率可以是200MHz且短管谐振频率可以是220MHz。
等离子电容是等离子电子密度(除其他之外)的函数。这与等离子离子密度相关,其中为了提供良好的等离子处理条件,等离子离子密度需要保持在一般109至1012离子/cc的范围中。该密度与源功率频率和其他参数一起确定了等离子负电容,因此该等离子负电容的选择受到优化等离子处理条件的需要的约束,这将在下面进一步详述。但是顶置电极组件电容受到许多物理因素的影响,例如间隙长度(电极125和晶片之间的间距)、电极125的面积、电极125和接地室主体127之间的电介质密封件130的介电常数的选择、半导体环115和室主体之间的电介质环120的介电常数的选择、以及密封件130和环120的电介质结构的厚度与环180的厚度和介电常数。这允许提供在影响顶置电极电容的这些和其他物理因素之中进行选择来对电极组件电容进行某种调节。我们已经发现该调节的范围足以实现将顶置电极组件电容匹配到负等离子电容大小的必要程度。具体而言,选择密封件130和环120的电介质材料和尺寸,以提供所期望的介电常数和所得到的介电值。于是可以实现电极电容和等离子电容的匹配,尽管影响电极电容的这些物理因素(特别是间隙长度)中的某些将由以下实际情况指示或限制:处理更大直径晶片的需要、在晶片的整个直径上具有良好均匀性的等离子离子密度分布、以及对离子密度与离子能量的关系具有良好控制。
所以,对于如上所述等离子刻蚀处理优选的等离子离子密度范围,以及对于适合于处理8英寸晶片的室尺寸,通过使用11英寸的电极直径、约2英寸的间隙长度、密封件130的材料的介电常数为9、以及环120的材料的介电常数为4,而实现了与-50到B400皮法的等离子电容匹配的电极组件126的电容。
给定等离子电容和匹配的顶置电极电容的以上范围,对于210MHz的源功率频率,电极-等离子谐振频率为约200MHz。可以调节上述值来对使用162MHz的源功率频率的300mm晶片的性能进行优化。
这样选择电极组件126的电容并随后匹配所得到的电极-等离子谐振频率和源功率频率的很大的优点在于,电极和等离子在源功率频率附近的谐振提供了更宽的阻抗匹配和更宽的处理窗口,从而对处理条件的变化提供了更高的免疫性,由此提供了更大的性能稳定性。使整个处理系统对例如等离子阻抗漂移的工作条件变化更不敏感,从而使其在具有更大范围的处理可应用性的同时更加可靠。如说明书后面将描述的,通过电极-等离子谐振频率和源功率频率之间的小偏差进一步加强了该优点。
等离子为什么具有负电容:
等离子电容按照以下等式由等离子的电容率ε(其是复数且是自由空间电容率ε0的函数)、等离子电子频率Tpe、源功率频率T和电子-中性粒子碰撞频率Oen决定:
其中i=(-1)1/2
(等离子电子频率Tpe是等离子电子密度的简单函数并在等离子处理的公知文献中定义)
在一个加工示例中,中性核素是氩,等离子电子频率稍低于约162MHz,RF源功率频率约为162MHz,其中室压在5mT到1000mT的范围中并施加足够的RF功率,使得等离子密度在109与1012cc-1之间。在等离子刻蚀处理优选条件中典型的这些条件下,等离子一般具有负电容,因为其由以上等式定义的有效电容率是负的。在这些条件下,等离子具有-50到B400皮法的负电容。然后如同我们在上面更一般的项中所看到的,作为等离子电子密度(以及源功率频率和电子-中性粒子碰撞频率)的函数的等离子电容趋向于被诸如电介质刻蚀、金属刻蚀核CVD之类的关键应用中的优选等离子处理实际情况限制到某个期望范围,并趋向于在VHF源功率频率下具有负值。通过利用等离子的这些特性,反应器的电极电容匹配和频率匹配特征实现了前所未有的处理窗口能力以及操作的灵活性和稳定性。
短管135提供的阻抗变换:
短管135提供了在RF发生器150的50欧姆输出阻抗与电极组件126和室内等离子的组合所表现出的负载阻抗之间的阻抗变换。对于这样一种阻抗匹配,在发生器-短管连接处以及短管-电极连接处必须很少有或没有RF功率的反射(至少没有超过RF发生器150的VSWR限值的反射)。现在将描述这是如何实现的。
在发生器150的期望VHF频率下以及用于等离子刻蚀处理优选的等离子密度和室压(即分别为109至1012离子/cm3和5mT至1000mT)下,等离子自身的阻抗为约(0.3+(i)7)欧姆,其中0.3是等离子阻抗的实部,i=(-1)1/2,并且7是等离子阻抗的虚部。由电极-等离子组合表现出的负载阻抗是等离子阻抗以及电极组件126的电容的函数。如上所述,选择电极组件126的电容以实现电极组件126与等离子之间的谐振,其中对于300mm晶片电极-等离子谐振频率为约162MHz或稍小于此。短管-电极界面处的RF功率反射被最小化或避免,因为短管135的谐振频率被设定为电极-等离子谐振频率或接近该频率,使得两者至少几乎在一起谐振。
同时,发生器-短管界面处的RF功率反射被最小化或避免,因为接头160沿短管135轴向长度的位置是这样的,即在接头160处,短管135中驻波电压对驻波电流的比值在发生器150的输出阻抗或电缆162的特征阻抗(两者都约为50欧姆)附近。现在将讨论如何定位接头160来实现这一点。
短管接头160的轴向位置:
共轴短管135的轴向长度可以是“短管”频率(例如稍高于162MHz)的四分之一波长的倍数,其中该频率如上所述在电极-等离子谐振频率附近。在一个实施例中,该倍数是两倍,使得共轴短管长度大约为“短管”频率的半波长。
接头160位于沿短管135长度的特定轴向位置处。在此位置处,在发生器150输出频率下RF信号的驻波电压与驻波电流之间的比值对应于与RF发生器150的输出阻抗(例如50欧姆)匹配的输入阻抗。这在图2A和2B中示出,其中短管135中的电压和电流驻波在短接的外短管端135a处分别为零和峰值。接头160的期望位置是在从短接端向内距离A处,在该处驻波电压和电流的比值对应于50欧姆。熟练工人通过从经验确定驻波比值为50欧姆之处可以很容易找到该位置。接头160对RF发生器输出阻抗(50欧姆)提供匹配的距离或位置A是短管135特征阻抗的函数,这将在本说明书的后面进行描述。当接头160精确地位于距离A处时,阻抗匹配空间容许负载阻抗实部中9∶1的变化,如果RF发生器是可以在3∶1的电压驻波比值(VSWR)上保持不变传输功率的典型类型。
阻抗匹配空间可以被大大扩展以容许负载阻抗实部中将近60∶1的变化。通过将接头160从位置A处的精确50欧姆点向着同轴短管135的短接外端135a稍稍偏移来实现这一惊人的结果。此偏移可以是例如波长的5%(即在用于30欧姆特征阻抗的162MHz下约7.5英寸)。我们的发现是在此稍稍偏移的接头位置处,接头160处的RF电流贡献减少或增加了短管中的电流(其总是变得合适)以补偿等离子负载阻抗的波动,这将在下面参考图3和4进行描述。该补偿足以将匹配空间从容许负载阻抗实部的9∶1的摆动增大到60∶1的摆动。
可以感到此表现是由于随着接头点从A处的位置移开,短管135中驻波电流的相位变得对与电极-等离子负载阻抗的阻抗不匹配更加敏感的趋势而导致的。如上所述,电极组件126被匹配到标称工作条件下等离子的负电容。该电容在VHF源功率频率下为B50至B400皮法。在此电容下等离子表现出(0.3+i7)欧姆的等离子阻抗。于是,0.3欧姆是系统所调谐到的等离子阻抗的实部。当等离子条件波动时,等离子电容和阻抗从其标称值波动离开。当等离子电容从电极125所匹配到的值波动时,电极-等离子谐振的相位变化,这就影响了短管135中电流的相位。当短管的驻波电流相位由此偏移时,取决于相位偏移的方向,供应到接头160的RF发生器电流将增加到短管驻波电流或从其减去。接头160从A处的50欧姆位置的移位被限制到波长的一小部分(例如5%)。
图3图示了当等离子阻抗的实部由于等离子波动而增大时短管135中的驻波电流。在图3中,电流驻波幅值被绘制为沿短管135的轴向位置的函数。水平轴上的位置0.1处驻波电流幅值的不连续对应于接头160的位置。在图3的曲线图中,出现阻抗不匹配,这是因为等离子阻抗的实部很高,高于系统所调谐到的标称等离子阻抗(即在该阻抗处电极电容匹配负等离子电容)。在此情况下,接头160处的电流从短管135中的驻波电流减去。该减去导致图3的曲线图中的不连续或无效,并减小了所传输的功率来抵消增大的负载。这避免了由于更高负载(R)导致的传输功率(I2R)的相应增大。
图4图示了当等离子阻抗的实部减小时短管135中的驻波电流。在图4中,电流驻波幅值被绘制为沿短管135的轴向位置的函数。位置0.1处驻波电流幅值的不连续标出了接头160的位置。在图4的曲线图中,等离子阻抗的实部很低,低于系统所调谐到的标称等离子阻抗。在此情况下,接头160处的电流增加到短管135中的驻波电流。该增加增大了所传输的功率来抵消降低的负载,以避免由于降低的负载R导致的传输功率I2R的相伴随降低。利用这样的补偿,可以容许负载阻抗大得多的变化,使得匹配空间显著增大。
匹配空间容许负载阻抗实部60∶1摆动的这种扩展提高了反应器的处理窗口和可靠性。这是因为当特定处理或应用期间工作条件偏移时,或者当对不同的应用利用不同的操作方法操作反应器时,等离子阻抗将改变,特别是阻抗的实部。在现有技术中,这样的改变可能很容易超出系统所采用传统匹配电路的范围,使得所传输的功率无法再被充分控制来支持变化的处理,从而该处理可能出现故障。在本反应器中,所传输功率可以保持在期望水平下的负载阻抗实部的范围已经被增大使得等离子阻抗的变化(其以前会导致处理故障)对于实现此方面反应器的反应器具有很小或没有影响。于是,反应器在具体处理或应用期间可以承受大得多的工作条件变化。或者,其使得反应器能够用于涉及更宽范围处理条件的许多不同应用中,这是一个显著的优点。
作为另一个优点,提供此加宽的阻抗匹配的同轴短管135是简单的无源器件,其没有传统阻抗匹配装置一般都有的诸如可变电容器/伺服器或可变频率/伺服器之类的“移动部分”。由此其比所代替的阻抗匹配装置便宜且可靠得多。
将工作频率和谐振频率解谐以加宽处理窗口:
根据另一方面,通过将短管谐振频率、电极-等离子谐振频率和等离子源功率频率彼此稍稍偏移来减小系统Q以加宽处理窗口。如上所述,短管谐振频率是短管135的轴向长度为半波长处的频率,而电极-等离子谐振频率是电极组件126和等离子一起谐振处的频率。在一个实施例中,对于300mm晶片,短管135被切割成其谐振频率稍高于162MHz的长度,选择RF源功率发生器150在162MHz下工作,并且所得到的电极-等离子谐振频率稍低于约162MHz。
通过对等离子谐振、短管谐振和源功率频率选择三种这样不同的频率而非对三者都选择相同频率,系统已经在某种程度上被“解谐”。其因此具有较低的“Q”。使用更高的VHF源功率频率也成比例地降低了Q(除了在刻蚀优选的工作条件下有助于电极和等离子电容的匹配)。
降低系统Q加宽了系统的阻抗匹配空间,使得其性能不易受到等离子条件变化或制造公差偏差的影响。例如,电极-等离子谐振可能由于等离子条件的波动而波动。在较小的Q下,阻抗匹配所必要的短管135和电极-等离子组合之间的谐振(如本说明书的前面所述的)对于电极-等离子谐振的给定变化改变较少。结果,等离子条件的波动对阻抗匹配具有较小的影响。具体而言,等离子工作条件中的给定偏差在RF发生器150的输出处导致VSWR的较小增加。于是,反应器可以在等离子处理条件(压力、源功率电平、源功率频率、等离子密度等)的更宽窗口中工作。而且,制造公差可以被放松以节省成本,并且实现了在相同模型设计的反应器中更均匀的性能,这是一个显著的优点。一个相关优点是相同的反应器可以具有足够宽的处理窗口,这对操作不同的处理方法和不同的应用是有用的,例如金属刻蚀、电介质刻蚀和/或化学气相沉积。
使短管特征阻抗最小化以加宽处理窗口:
加宽调谐空间或降低系统Q的另一个选择是降低短管135的特征阻抗。但是,短管特征阻抗可以超过发生器输出阻抗,以保留足够的匹配空间。因此,系统Q可以被减小到减小短管135的特征阻抗超过信号发生器150的输出阻抗的量的程度。
同轴短管135的特征阻抗是内导体140和外导体145的半径以及其间的绝缘体147的介电常数的函数。选择短管特征阻抗以在等离子源功率150的输出阻抗与电极125处的输入阻抗之间提供所需的阻抗变换。该特征阻抗在最小特征阻抗和最大特征阻抗之间。改变短管135的特征阻抗就改变了图2的波形,从而改变了接头160的期望位置(即其离短管135远端的位移A)。短管135的允许最小特征阻抗是这样的,即在该阻抗下图2的距离A为零,使得接头160必须位于同轴短管135与电极125相反的远端135a上,以得到驻波电流和电压之间的50欧姆比值。短管135的允许最大特征阻抗是这样的,即在该阻抗下图2的距离A等于短管135的长度,使得接头160必须靠近同轴短管135与电极125相邻的近端135b,以得到驻波电流和电压之间的50欧姆比值。
在初始实施例中,选择同轴短管特征阻抗大于(大出约30%)RF发生器150的输出阻抗,以提供足够的匹配空间。短管阻抗必须超过RF发生器输出阻抗,因为阻抗匹配条件是通过选择接头点160的位置满足下式来实现的:
Figure A20061000154700221
其中a由接头点的位置确定并在0和1之间变化。(量a对应于短管135在远端135b与接头160之间的小部分的电感对整个短管135的电感的比值。)因为a不可能超过1,所以为了使上式有解,短管特征阻抗必须超过发生器输出阻抗。但是,因为系统Q与短管特征阻抗成正比,所以短管特征阻抗超过发生器输出阻抗的量可以在某种程度上被最小化,以将Q保持得尽可能低。在示例性实施例中,短管特征阻抗仅仅超过发生器输出阻抗约15欧姆。
但是在其他实施例中,可以选择同轴短管特征阻抗小于等离子源功率(发生器)输出阻抗,以在某种程度上降低阻抗匹配的情况下实现更大的功率效率。
由短管的阻抗变换所提供的增大的功率效率:
如本说明书前面所讨论的,等离子刻蚀处理所优选的等离子工作条件(例如等离子密度)导致具有非常小的实(电阻)部(例如小于0.3欧姆)和小的虚(电抗)部(例如7欧姆)的等离子阻抗。电容性损耗在系统的组合电极-等离子区域中是主要的,因为电极电容是对该部分反应器中功率流的主要阻抗。因此,电极-等离子组合中的功率损耗与电极-等离子组合上的电压成比例。相反,电感和电阻损耗在短管135中是主要的,因为短管135的电感和电阻是对短管135中功率流的阻抗的主要成分。因此,短管135中的功率损耗与短管中的电流成比例。短管特征阻抗比电极-等离子组合所表现出的阻抗的实部大得多。因此,与电流较高且电压较低的较低阻抗等离子相比,在阻抗较高的短管135中,电压将较高且电流将较低。于是,短管135与等离子-电极组合之间的阻抗变换在短管135中(其中电阻和电感损耗是主要的并且其中这些现在是最小化的)产生较高的电压和较低的电流,并在等离子/电极处(其中电容损耗是主要的并且其中这些现在是最小化的)产生相应的较低的电压和较高的电流。这样就使系统中的整体功率损耗最小化,使得功率效率大大提高,这是一个显著优点。在上述实施例中,功率效率为约95%或更大。
于是,如上所述构造的短管135不仅用来在非常宽范围或窗口的工作条件上在发生器和电极-等离子阻抗之间提供阻抗匹配或变换,而且还提供功率效率的显著改善。
交叉接地:
可以独立于等离子密度/顶置电极功率来控制晶片表面处的离子能量。对离子能量的这种独立控制是通过向晶片施加HF频率偏压源功率实现的。该频率(通常为13.56MHz)明显低于施加到决定等离子密度的顶置电极上的VHF功率。由通过传统阻抗匹配电路210耦合到晶片支撑105的偏压功率HF信号发生器200向晶片施加偏压功率。偏压发生器200的功率电平控制晶片表面附近的离子能量,并且一般是等离子源功率发生器150的功率电平的一部分。
如上所述,同轴短管135包括外短管端处的短接导体165,其在内同轴短管导体140与外同轴短管导体145之间提供短路。短接导体165建立如图2中的VHF驻波电流峰值和VHF驻波电压零的位置。但是,短接导体165并不短路掉VHF施加功率,因为短管谐振与等离子/电极谐振的耦合,这两种谐振都在VHF源功率频率下或其附近。但是,导体165对其他频率确实表现为直接短路到地,例如(从HF偏压发生器200)施加到晶片的HF偏压源功率。其还短路掉更高的频率,例如等离子壳层中产生的VHF源功率频率的谐频。
晶片110和晶片支撑105、HF阻抗匹配电路210和连接到其的HF偏压源功率200的组合对施加到顶置电极125上的VHF功率提供了到地的非常低的阻抗或接近于短路。结果,系统被交叉接地,HF偏压信号提供顶置电极125和短接同轴短管135回到地,并且顶置电极125上的VHF功率信号经由通过晶片、HF偏压阻抗匹配210和HF偏压功率发生器200的非常低阻抗电路(对于VHF)回到地。
室侧壁位于晶片平面与顶置电极125平面之间的暴露部分很少或不用作施加到顶置电极125的VHF功率的直接回路,因为电极125的大面积和相对较短的电极-晶片间隙。实际上,可以使用磁隔离或电介质涂层或环形电介质插入件或可拆除衬垫来将室的侧壁与等离子隔离开来。
为了约束VHF等离子源功率在垂直的电极-底座路径内从顶置电极125发出并离开室100的诸如侧壁之类的其他部分的电流流动,晶片110平面中的有效地或回路电极面积被增大超出晶片或晶片支撑105的物理面积,使得其超过顶置电极125的面积。这是通过提供一般与晶片110共面且围绕晶片110的环形半导体环115而实现的。半导体环115向接地室主体提供了寄生电容,并由此延长了晶片110平面中用于来自顶置电极的VHF功率信号的“回路”电极的有效半径。由电介质环120将半导体环115与接地室主体绝缘开来。选择120的厚度和介电常数以实现通过晶片110和通过半导体环115的VHF接地电流的期望比值。在一个实施例中,电介质环120是介电常数为4的石英且厚度为10mm。
为了约束在晶片表面与电极125之间的垂直路径内从来自偏压发生器200的HF等离子偏压功率的电流流动,并避免到室其他部分(例如侧壁)的电流流动,顶置电极125提供了明显大于晶片或晶片支撑105面积的有效HF回路电极面积。晶片支撑105平面中的半导体环115对于将HF偏压功率耦合到室中并无很大作用,使得用于耦合HF偏压功率的有效电极面积基本上被约束为晶片和晶片支撑105的面积。
等离子稳定性的提高:
通过消除等离子到(跨越短管135背面处的内短管导体140和外短管导体145连接的)短接导体165的直流耦合来提高等离子稳定性。这是通过在同轴短管内导体140与电极125之间设置薄电容环180而实现的。在图1的实施例中,环180被夹在底部上的电极125与导电环形内壳体支撑176之间。在这里所描述的示例性实施例中,取决于所选择的约13MHz的偏压频率,电容环180具有约180皮法的电容。利用这样的电容值,电容环180并不妨碍上述交叉接地特征。在交叉接地特征中,晶片底座上的HF偏压信号经由短管135回到HF偏压发生器150的RF回路端子,而来自电极125的VHF源功率信号经由晶片底座回到VHF源功率发生器150的RF回路端子。
图5是图示VHF源功率与顶置电极125之间的反射系数作为频率函数的曲线图。该曲线图图示了其上反射系数低于6dB的非常宽的频带,这表示出上述非常有利的低系统Q。
图6图示了在接头160位于离短管短接端为图2B的距离A处的情况下驻波电流(实线)作为沿同轴短管135位置的函数。
图7图示了反应器的另一实施例,其中同轴短管135的内导体140是锥形的,其在与顶置电极125相邻的近短管端135b处具有较大的半径并在远短管端135a处具有较小的半径。该特征提供了由接头160处的同轴短管135表现出的低阻抗(例如50欧姆)与由顶置电极125处的同轴短管135表现出的较高阻抗(例如64欧姆)之间的过渡。另外,如图7所示,短管135不一定是弯曲的,而可以是直的。
期望提供顶置VHF源功率电极引入处理气体。为此,提供设置穿过顶置电极的小喷气嘴或端口的阵列,而对顶置电极赋予气体分布喷头的功能。处理气体通过同轴调谐短管的中心导体被供应到这些喷气端口。因为中心导体被耦合到顶置电极,所以完全保护了处理气体供应免受等离子和电场的影响。
通过特征的组合而在保持所有上述优点的同时避免了电弧形成和其他潜在问题,这些特征之一是通过将顶置电极与VHF调谐短管电容性隔离而将顶置电极置于浮动的直流电势。这是通过在同轴调谐短管与顶置电极之间布置电介质膜来实现的。该特征防止直流等离子电流经由顶置电极通过调谐短管返回,从而减少顶置电极中的喷气孔内的电弧形成。
减少电弧形成的另一特征是在等离子与顶置电极之间设置电容。为此在顶置电极面对等离子的电极表面上形成电介质层。这可以通过阳极电镀这样的电极表面来完成,特别是电极中喷气端口的内部表面。该特征有助于消除在顶置电极的喷气端口中形成等离子电弧。其原因之一是阳极电镀电极表面的电容提供了电荷存储能力,这允许存储来自等离子的RF电流的某种电荷,而非转到电极表面上。由此在一定程度上将电荷从顶置电极的进气端口的表面移开,避免了其中的等离子点火。
除了避免顶置电极的进气端口内的等离子电弧形成之外,将顶置电极电容性隔离的特征还延长了电极的使用寿命,因为其导致在等离子和电极之间不产生净直流电流,这是一个显著优点。
为了进一步减小进气端口中等离子电弧形成的危险,引入了另一特征,即位于同轴短管与电容层(位于电极与同轴调谐短管之间)之间的金属“泡沫材料”层。在一个实施例中,金属泡沫材料层的直径大体与顶置电极共同扩张。金属泡沫材料层是本领域中公知的商业可得到的类型,并通常包括具有随机单元结构的铝基体。金属泡沫材料层的优点在于其抑制了电极附近(即在顶置电极上方的增压器内)的电场,并由此减小等离子在顶置电极的喷气端口内形成电弧的趋势。
金属泡沫材料层还被用来阻碍进入的处理气体,以在顶置电极的喷气端口阵列上实现均匀的气体分布。顶部中的喷气孔或端口可以被分成径向内组和径向外组。一个金属泡沫材料层阻碍第一气体供应器与外组端口之间的气体,而另一个金属泡沫材料层阻碍第二气体供应器与内组端口之间的气体。处理气体流的径向分布可以通过独立调节两个气体供应器的气体流率来进行调节。
同轴调谐短管和顶置电极对于施加到晶片支撑底座的HF偏压功率提供了到地的低阻抗RF回路。但是,已经发现现在插入在同轴调谐短管与顶置电极之间的新电容电介质层可以用来将通过顶置电极的HF回路调谐到特定的HF频率。选择VHF源功率频率(在顶置电极上)的一个优点在于(顶置电极与调谐短管之间的)电容层如果被调谐用于HF频率,则不会影响施加到顶置电极的VHF信号,因为其对于宽带的VHF频率是电短路。
开始,由所增加的电容层将RF回路调谐到的窄HF频率通带c集中在施加到晶片支撑底座的HF偏压源功率的频率处。但是,壳层产生谐频的问题可以这样来解决,即另外选择该电容以将通过顶置电极的HF回路调谐到HF偏压功率信号的第二谐频。该选择的结果是顶置电极附近的等离子壳层中产生的HF第二谐频在其可以显著影响大量等离子之前就通过顶置电极转接到地。在一个实施例中发现刻蚀率由于此特征而提高10%到15%。在此情况下,认为HF偏压信号的主要部分通过其他可用的RF路径(例如室侧壁)回到地。
如下面将详细描述的,为所选HF频率处的谐振选择此增加的电容层(在顶置电极与调谐短管之间)的电容必须不仅考虑顶置电极处薄等离子壳层的电容,而且必须考虑晶片支撑底座处厚等离子壳层的电容。
本反应器的高效VHF等离子源能够保持足够高密度的等离子,使得其可以用来周期性地充分干洗室内部。如本说明书中所采用的,术语“干洗”指不需要应用液体化学剂而仅应用等离子的清洁过程,使得无需打开真空外罩。因为这样可以充分去掉室中的聚合物残余物,所以可以在晶片处理期间将其表面保持在足够高的温度下以连续蒸发其上的任何聚合物沉积,从而在整个处理期间使室保持至少几乎没有聚合物沉积。(相反,对于无法充分清洁的反应器,必须控制等离子条件以使得室壁表面上的聚合物沉积继续粘附而非被除去,从而避免处理污染。)为此,顶置电极组件包括引入用于加热或冷却顶置电极的流体的液体通道,使得能够对其外表面进行温度控制。一般而言,等离子条件(离子能量、壁温度等)使得在处理期间室表面上不聚集聚合物。在清洁期间充分去除了任何微小的聚集物。
这种特征的一个优点在于可以在顶置电极上或与其相邻设置光学窗口,因为其在处理期间将保持清洁或没有聚合物沉积。于是,可以光学监视反应器性能。所以,顶置电极在其中心附近可以包括光学窗口,其中光传输光缆向上延伸以连接到室外的传感器。可以采用等离子处理的光学监视来进行端点检测。例如,光学监视器可以使用传统的光学测量技术来测量等离子刻蚀处理中层厚的降低或等离子辅助化学气相沉积处理中层厚的增加。
为了解决来自顶置电极暴露表面材料的污染进入等离子并最终到达晶片或工件的问题,在顶置电极的底(面对等离子的)表面上引入附加的外层。该附加外层由与进行的特定处理兼容的材料形成。例如,在二氧化硅刻蚀处理中,顶置电极上的外层将是硅或碳化硅。一般而言,在布置此外层之前,顶置电极面对等离子的表面被阳极电镀,如上所述。
本反应器的另一发现是等离子可以表现出比以前期望的更大的电阻负载阻抗变化和更小的电抗负载阻抗变化。具体而言,电阻负载阻抗可以变化多达100∶1(而非60∶1),而电抗负载阻抗仅可以变化20%(而非35%)。该差别使得同轴调谐短管的特征阻抗能够从65欧姆(高于RF发生器的50欧姆输出阻抗)减小到30欧姆(低于RF发生器的输出阻抗)。该减小在对效率牺牲非常小的情况下实现了调谐空间的成比例增加。具体而言,可以由调谐短管匹配的等离子电阻负载阻抗的变化范围由于同轴短管特征阻抗的减小而从60∶1增大到100∶1。同轴短管的特征阻抗由其内外导体的半径确定。
为了减小同轴调谐短管的覆盖区域,代替使用等效的带状线(stripline)电路。同轴调谐短管的外导体变成作为盖住反应器的金属盖子的接地面表面,而同轴调谐短管的中心导体变成带状线导体。通过调节带状线导体与接地面(盖子)之间的间距来调节带状线导体的特征阻抗。调谐器件的覆盖区域减小,因为虽然同轴调谐短管沿着直线延伸,但带状线导体可以在盖子内环形缠绕,由此减小面积或覆盖区域。在带状线电路中保留了同轴调谐短管的所有特征。于是,以与上述同轴调谐短管的长度相同的方式确定带状线导体的长度。另外,沿用于(连接到VHF发生器的)供应点或接头的带状线导体长度的位置与接头到同轴调谐短管的相同。另外,带状线导体是中空的,并以与通过同轴调谐短管中心导体供应有用之物相同的方式,通过带状线导体供应有用之物。
通过顶置电极引入处理气体:
现在参考图8和9,顶置电极125是气体分布喷头,并因此在其面对工件支撑105的底表面125a中具有大量喷气端口或小孔300。在示例性实施例中,端口300的直径在0.01与0.03英寸之间,并且其中心均匀间隔开约3/8英寸。在图8所示实施例中,圆锥形金属壳体290的环形顶部290a支撑同轴短管内导体140的近端140a,并且其环形基座290b安放在铝制顶置电极125上。壳体290的圆锥形状在顶置电极125上限定出大的开口增压器,在顶置电极125内各种有用之物从中空同轴内导体140馈送到顶置电极125。如下面将更详细描述的,圆锥形壳体基座290b位于顶置电极125的外圆周附近,使得顶置电极125的几乎整个上表面都可达到。
一般而言,端口300包括直径0.020的径向外组端口302和直径0.010的径向内组端口304。一般而言,外组端口302延伸超出晶片周边约晶片半径的一半,以确保在晶片外周处的均匀气流。该特征的一个优点是处理气流的径向分布可以以这样的方式进行调节,以补偿图1-7的VHF电容性耦合反应器产生在晶片中心上较大而在晶片外周上较小的等离子密度的趋势。顶置电极125内的径向外金属泡沫材料层310覆盖端口302。覆盖外泡沫材料层310的径向外气体分布歧管或增压器315通过轴向气体通道320耦合到供气管线325,该供气管线325穿过同轴调谐短管135的内导体140。顶置电极125内的径向内金属泡沫材料层330覆盖端口304。覆盖内泡沫材料层330的径向内气体分布歧管或增压器335通过轴向气体通道340耦合到供气管线345,该供气管线345穿过同轴调谐短管135的内导体140。铝制泡沫材料层310和330阻碍进入的处理气体。通过独立选择供气管线325和345中每一个内的处理气体流率来调节处理气体流率的径向分布。
抑制喷气端口中的电弧形成:
为了在等离子和顶置电极之间提供某种电容作为减小电弧形成的手段,顶置电极底表面125a涂有电介质层。在一个实施例中,顶置电极125是铝制的并且电介质涂层通过阳极电镀电极底表面125a形成。这样的阳极电镀不仅在平坦的底表面125a上,而且在喷气端口300的内表面上形成非常薄的电介质涂层。该特征通过提供可以补偿流到顶置电极125的RF等离子电流的电荷存储能力而有助于抑制喷气端口内的电弧形成。图10是对应于图8的放大局部视图,其图示了在进气端口300之一附近所得到的精细结构。具体而言,通过阳极电镀形成的氧化铝层350覆盖电极底表面125a并覆盖喷气端口300的内表面。
为了抑制顶置电极125附近的电场,顶置电极125的顶表面125b用相对较厚(0.25英寸)的一层铝泡沫材料355覆盖。厚的铝泡沫材料355有助于保持顶置电极附近的电势在轴向(垂直)方向上不变,由此抑制该附近区域中的电场,否则此电场将促进喷气端口300内的等离子电弧形成。
为了阻止直流等离子电流通过顶置电极流到同轴短管中心导体140,在顶置电极125与导电壳体290的基座290b之间布置薄的绝缘层360,该导电壳体290将顶置电极125连接到同轴中心导体140。该特征允许顶置电极的直流电势浮动。由此在顶置电极125与导电壳体基座290b之间形成电容器。该电容器的电容由基座290b的面积以及薄绝缘层360的厚度和介电常数确定。可以选择该电容器的电容以在特定HF频率下提供窄的谐振或低阻抗路径,同时在整个VHF带上提供RF短路。这样,顶置电极125为施加到晶片支撑底座105的HF偏压功率提供了回路,但是并不影响顶置电极125在VHF源功率频率下的表现。通过这样阻止不然就会流到顶置电极的直流等离子电流,抑制了喷气端口300内的等离子电弧形成,因为这样的直流电流会促进电弧形成。
总而言之,通过在顶置电极125的底部上和喷气端口300的内表面上形成电介质涂层350,通过在顶置电极125的顶部上提供铝泡沫材料层355,并通过在顶置电极125与导电壳体290之间布置薄绝缘层360,抑制了喷气端口300内的等离子电弧形成。
抑制等离子壳层产生的谐频:
薄绝缘层360可以在抑制施加到晶片支撑底座105上的HF偏压信号的等离子壳层产生的谐频中起到重要作用。这种谐频的存在降低了处理性能,尤其是减小了刻蚀率。通过选择绝缘层360的电容确定特性(即介电常数和厚度),从等离子通过顶置电极125和同轴内导体140的回路被调谐到在特定HF频率下谐振(并因此具有非常高的导纳)。虽然该谐振频率的一个选择是施加到晶片支撑底座105上的HF偏压信号的主要成分,但该反应器的一个发现是通过将此谐振选择为偏压信号的第二谐频而将刻蚀率提高了10%到15%。实现这样有利的结果是因为,由等离子壳层所表现出的非线性负载产生的谐频由于电容性层360而通过顶置电极和同轴中心导体140所构成的低阻抗路径迅速返回到地。
选择电容性层360的厚度以将通过顶置电极125的回路调谐到特定HF频率受到许多因素的影响,包括顶置电极125处薄等离子壳层的电容、晶片支撑底座105处的厚等离子壳层的电容以及等离子自身的电容。熟练工人可以容易地采用许多传统技术来找到电容性层360的正确厚度,以在给定特定等离子工作条件(包括试验和误差)的情况下实现所选HF频率下的谐振。
电极表面温度控制:
在氧化刻蚀反应器中,聚合物沉积是一个严重的问题,因为处理气体必须能够在工件上的不含氧化物表面上形成聚合物层,以实现二氧化硅材料与不被刻蚀的其他材料之间的合适的刻蚀选择性。在使用氟碳气体的等离子处理期间,较简单的氟离子和基团进行刻蚀,而富碳物质在工件上的所有不含氧的材料以及反应室的所有内表面上沉积聚合物。为了避免从室内表面落入等离子中的聚合物粒子对工件的污染,这些表面必须保持在充分低的温度下,并且等离子电子能量必须保持得充分低,以避免将这些沉积物从室内表面上去掉。或者,室真空必须被中断,并进行化学清洁步骤以去除这些沉积物,该步骤会大大降低反应器的生产率。
参考图1所述的电容性耦合VHF源非常有效,并因此能够在非化学清洁步骤期间产生足够高的等离子密度以从室内表面完全去除在晶片处理期间沉积的任何聚合物残余。在这样的清洁步骤期间,通常的等离子处理气体可以由更不稳定的气体(例如易于产生具有非常高自由氟成分的等离子的一种气体)代替。因为不需要将液体化学物质引入室中,所以室保持封闭,使得可以快速且频繁进行清洁步骤来保持室中没有聚合物沉积。因此,图8的反应器的一种工作模式是这样的,其中室表面温度和等离子离子能量足够大,以避免内室表面上的聚合物聚集。
为此,图8的反应器包括在顶置电极125上的通道670(用于导热流体)。在图8的实现方案中,流体通道670形成在上铝泡沫材料层355与顶置电极125的上表面之间。或者,这样的通道可以完全形成在顶置电极125内部。温度控制流体或气体从穿过中空内同轴导体140的流体供应管线675馈送到流体通道670。于是,顶置电极125的温度可以被精确控制。通过这样控制电极温度并通过控制诸如等离子离子能量之类的其他等离子处理参数,反应器可以在沉积模式(其中表面充分冷以聚集聚合物)或耗尽模式(其中表面充分热以允许等离子离子将聚合物从表面上去掉并由此避免聚合物的聚集)下工作。一种期望的模式是耗尽模式,因为该模式避免了粒子污染。
等离子处理的光学监视:
因为图8的反应器可以工作,以在室内表面上没有聚合物沉积,所以光学窗口680可以设置在顶置电极125的底表面中。诸如光纤或光管685的光学通道在一端连接到光学窗口680,并穿过中空的内同轴导体140。光管685在外端连接到传统的光检测器687。
利用该特征,可以使用这样的光检测器来进行端点检测和其他测量。具体而言,检测器687使用公知的光学技术测量工件或半导体晶片110上所选层的厚度。例如,在刻蚀处理期间,在由检测器687测量的正在被刻蚀的材料的厚度减小到预定厚度之后,该处理将停止。
防止污染:
因为可以保持室内表面没有聚合物沉积,所以其保持暴露到等离子。具体而言,铝制顶置电极125的底表面连续受到来自等离子的撞击,并因此易于贡献铝物质进入等离子中,导致工件的污染并由此导致处理故障。为了防止这样的问题,顶置电极125的底表面(其可以阳极电镀)涂有例如硅或碳化硅的与处理兼容材料。于是如图10和11所示,薄的碳化硅膜690覆盖铝制顶置电极125的底阳极电镀表面。薄的硅或碳化硅膜690防止等离子撞击电极125的铝材料。对于等离子从含硅膜690去除材料的程度,由此引入等离子中的物质无法污染处理,因为这样的物质(硅和碳)在等离子和/或工件中已经存在并因此与处理兼容。在正在刻蚀氧化硅处的等离子中存在硅。碳存在于其中将氟碳气体用作处理刻蚀气体的等离子中。
在另一实施例中,顶置电极未被阳极电镀,并且在电极125的纯铝表面上形成碳化硅膜690。
增大同轴短管的调谐空间:
等离子可以表现出较大的电阻负载阻抗变化和较小的电抗负载阻抗变化。具体而言,等离子的电阻负载阻抗可以变化多达100∶1(而非60∶1),而电抗负载阻抗仅可以变化20%(而非35%)。该差别使得同轴调谐短管的特征阻抗能够从65欧姆(即高于RF发生器的50欧姆输出阻抗)减小到30欧姆(即低于RF发生器的输出阻抗)。该减小在对效率牺牲非常小的情况下实现了调谐空间的成比例增加。具体而言,可以由调谐短管匹配的等离子电阻负载阻抗的变化范围由于同轴短管特征阻抗的减小而从60∶1增大到100∶1。同轴短管的特征阻抗由其内外导体的半径确定。
结果:
反应器由此对工作条件变化和/或制造公差偏差更不敏感。认为包括工作条件缺少敏感性(即宽的用于阻抗匹配的调谐或频率空间)在内的这些大的优点是许多反应器特征结合在一起作用的结果,这些特征包括:利用匹配或近似匹配电极-等离子谐振频率的VHF源功率频率,在最期望处理等离子离子密度下,具有匹配或近似匹配等离子负电容大小的电容的顶置反应器电极;VHF源功率频率、等离子-电极谐振频率和短管谐振频率的密切关系;将等离子-电极谐振频率、短管谐振频率和源功率频率彼此偏移;以及例如利用从理想匹配位置稍稍偏移的源功率输入接头160,使用谐振短管匹配将源功率耦合到顶置电极。
认为将等离子、短管和源功率频率偏移通过实际上使系统解谐而加宽了系统的调谐空间。使用短管匹配通过在更宽频率范围上匹配而加宽了调谐空间。将短管接头点160从理想匹配点偏移进一步优化系统以加宽调谐空间,因为该特征具有在传输功率否则将降低时增加电流的效果,以及在传输功率否则将增大时减去电流的效果。使用更高的(VHF)源功率频率与源功率频率的增大成比例地提供系统Q的降低或调谐空间的增大。更重要的,该选择允许电极-等离子谐振在刻蚀处理优选的等离子密度下匹配到源功率频率。
因为反应器事实上对更宽处理窗口上的处理条件变化不受影响,所以其提供了具有三重优点的反应器,即其(a)可在更宽范围的处理条件偏差上工作,(b)在更宽范围的应用上有用(不同的处理方法)并且(c)其性能事实上在更宽范围的制造公差上不受影响,从而反应器-反应器特性是均匀的。
结果,已经得到了优异的结果。具体而言,在某些情况下系统Q已经最小化到约5,以在相同模型的不同反应器之中保持特性和性能的优异均匀程度,并改善处理窗口。与仅仅2kW的源功率相应地已经实现了在1012离子/cc量级上的高等离子密度。系统在低到10W的源功率电平下无跃迁地将等离子保持在10mT到200mT的压力范围上。在VHF等离子和源功率频率附近谐振的短接阻抗匹配同轴短管短路掉寄生的VHF等离子壳层谐频,同时实现超过95%的功率效率。系统容许60∶1的等离子电阻负载变化和1.3到0.75的电抗负载变化,同时保持源功率SWR低于3∶1。
认为此增大的容许负载变化的能力及由此扩展的处理窗口很大部分是由于:(a)如上所述通过合适选择电极125与其导电支撑之间的介电值以及合适选择VHF源功率频率所实现的在设计工作条件下的电极和等离子电容的匹配;以及(b)具有优化接头定位的特殊构造的同轴短管,通过该优化接头定位而在低负载条件下将接头电流增加到短管电流并在高负载条件下从其减去。认为非常高的功率效率很大部分是由于同轴短管所提供的阻抗变换,其最小化发生器连接及电极连接两处的反射损耗最小,这是由于在短管谐振频率与电极-等离子谐振频率之间得到匹配,以及优化的接头定位,该接头定位用于在电阻损耗占主要的同轴短管中实现低电流和高电压,并在电容损耗占主要的电极/等离子处实现高电流低电压。然而所有这些优点是在避免或最小化对传统阻抗匹配装置的需求的同时提供的。
虽然已经详细描述了适用于硅和金属刻蚀的反应器实施例,但该反应器还有利于选择除上述之外的等离子工作条件,包括不同的离子密度、不同的等离子源功率电平、不同的室压。这些变化将产生不同的等离子电容,要求不同的电极电容和不同的电极-等离子谐振频率,并因此从上述这些要求不同的等离子源功率频率和短管谐振频率。而且,不同的晶片直径和不同的等离子处理(例如化学气相沉积)也可以具有不同的源功率和室压的工作区域。然而认为在这些不同应用中,反应器一般将如同上述实施例那样改善处理窗口和稳定性。
紧凑的VHF固定调谐元件:
图1和8的同轴调谐短管是在很大调谐空间上提供阻抗匹配的固定调谐元件。但是,因为其细长的直线设计,其覆盖区域实际上大于等离子反应室的覆盖区域。在该方面不方便的那些情况下,图1和8的同轴调谐短管由等效的带状线电路代替,如图12、13和14所示。VHF发生器50欧姆同轴输出连接器的中心导体连接到带状线导体700,VHF发生器50欧姆同轴输出连接器的外导体连接到覆盖反应器顶部的壳体715的金属顶部710。导电顶部710用作带状线导体700所面对的接地面。带状线导体700的横截面一般为椭圆形,其较宽一侧面对接地面顶部710。带状线导体的特征阻抗由其距接地面顶部710的间距确定。带状线导体700可以沿其整个长度与接地面顶部710均匀地间隔开。
在示例性实施例中,带状线导体高0.125英寸、宽2.5英寸,并在接地面顶部710下移位0.5英寸。通过使带状线导体700的较宽(2.5英寸)侧面对接地面顶部710,电流流动更多地在带状线导体700的整个2.5英寸宽度上分布,由此减小了大部分电流流动出现的外表面中的电阻损耗。带状线导体700的长度以与同轴调谐短管135的长度相同的方式确定,如上参考图1详细所述的。另外,RF接头160沿带状线导体700长度的布置也以与RF接头沿同轴短管135长度的布置相同的方式确定,如参考图1所述的。最后,图12的带状线导体700离顶置电极125最远的端部被短接到地,就像图1的同轴短管内导体140的相应端部一样。在带状线导体700的情况下,通过在远端700a到接地面顶部710的连接实现对地的短接,如图13所示。
像图1-8的同轴调谐短管135一样,带状线导体700的长度等于固定调谐元件谐振频率的四分之一波长,在此情况下带状线电路包括带状线导体700和接地面顶部。由此,带状线导体700长度的选择正如同上面参考图1-7所述的对同轴调谐短管135长度的选择一样。在一个实施例中,该长度为约29英寸。图12的RF接头160在沿着带状线导体700长度的特定点处将VHF发生器连接到带状线电路,正如同图1的RF接头160沿着同轴调谐短管135的长度进行相应连接一样。在图12的情况下,VHF发生器输出同轴连接器的中心导体在接头160处连接到带状线导体,而VHF发生器输出同轴连接器的外导体在覆盖接头到带状线导体连接的点处连接到接地面顶部。接头点160在图12中沿带状线导体700长度的位置以与图1中接头沿同轴短管长度的位置相同的方式确定,如参考图1详细所述的。利用该特征,包括带状线导体700和接地面顶部的带状线电路以与图1的同轴调谐短管135相同的方式进行,包括参考图1所述的特征,其中通过将接头点160从理论最优值稍稍偏移,阻抗匹配空间可以容许负载电阻中多达100∶1的变化。如上参考图1所述,接头160的理论最优位置在沿着调谐短管135长度(或等价地沿着图12的带状线导体700长度)的这样一个点处,在该点处驻波电压与电流之间的比值等于VHF发生器的输出阻抗或其间所连接的同轴电缆的特征阻抗。参考图1所述的发现是通过将接头160从理论最优位置偏移约5%而令人惊讶地扩展了阻抗匹配空间。于是,图12的带状线导体电路提供了图1的同轴调谐短管的所有优点和功能,而且还增加了紧凑的优点。
像图8的同轴短管的内导体140一样,图12的带状线导体700是中空的以容纳连接到电极125的功用线,并连接到圆锥壳体290的顶表面290a。带状线导体700的优点(相对于图1和8的同轴调谐短管)是带状线导体700可以在壳体715内以环形方式延伸,使得在未延伸超出反应室“覆盖区域”的情况下实现其必要长度。
带状线导体700的长度以与同轴调谐短管的长度相同的方式确定,如上参考图1所述的。带状线导体700的阻抗通过调节其离接地面顶部710的位移而确定。如上所述,该阻抗最好选择为约30欧姆,或小于VHF发生器输出阻抗。以与以上参考图1所述的RF接头160在同轴调谐短管上的位置相同的方式,得到接头160沿带状线导体700长度离VHF发生器150的位置。带状线导体700结合接地面顶部710进行与图1或8的同轴调谐短管相同的功能,并提供如上参考图1所述的相同性能优点。
虽然图13的俯视图示出了其中带状线导体700沿着近似方形路径(具有倒圆角的角部)缠绕的实施例,但图14图示了其中带状线导体700环形缠绕的另一实施例。
通过调谐元件馈送有用之物:
如上针对图8和12所述,图8的同轴短管内导体140和图12的带状线导体700两者都是中空的,以容纳向顶置电极运载各种有用之物的管线。于是,如图8和12所示,外供气管线325连接到外气流控制器800,内供气管线345连接到内气流控制器810,光纤或光管685连接到光检测器687,并且加热/冷却管线675连接到加热/冷却源控制器830。
固定调谐元件135是同轴调谐短管(如图1和8的实施例中)或带状线电路(如图12和14的实施例中)。天线设计者将认识到两个实施例的固定调谐元件在RF发生器的50欧姆特征输出阻抗与电极/等离子组合的阻抗之间提供阻抗匹配时所进行的等效功能。两个实施例的固定调谐元件(或等价的固定阻抗匹配元件)共享共同的结构特征,包括使用中心导体(图12中的带状线导体或图8中的内同轴导体)和接地导体(图12的接地面顶部或图8的接地外同轴导体)。在两种情况下,阻抗匹配元件的特征阻抗都由两个导体之间的间距确定,而到阻抗匹配元件的输入阻抗由沿着连接的中心导体到RF发生器的位置确定。而且,中心导体是中空的,并因此用作用于气体馈送管线和导热流体馈送管线的RF屏蔽管道。并且最重要的共同特征是两个实施例的阻抗匹配元件在结构上是物理固定的,并因此不需要移动部件或智能控制器,这是一个显著的优点。其他相关优点已经进行了描述。两个实施例的固定阻抗匹配元件因此可以被一般地称为具有中空中心导体的固定两导体阻抗匹配元件。
RF损耗不变、抑制电弧且低污染的带涂层顶部电极:
顶部电极内的气体分布通道中形成电弧的问题通过结合以下特征解决:(a)趋向于具有良好气体流动或导气而且还趋向于沿着气体通道的轴向长度产生这样的电场分布的气体通道形状,在该电场分布中电场强度从电极底表面处的最大强度降低到电极顶部附近的最小强度;(b)馈送前述气体通道的孔,其具有足够的损耗以具有代表供气压力与反应室的真空水平之间几乎整个压差的压降,该孔位于气体通道的电场分布中最小场强区域内或上方。结果是实际上所有压降都出现在最小电场强度区域内,而所有电场下降都出现在几乎零压降的区域内。结果,沿着顶部电极轴向长度的所有位置处的气压和电场强度组合一般都在可能发生电弧的范围之外。该范围由帕邢曲线(Paschen curve)限定,如下面将详细讨论的。下面将详细描述实现以上结果的气体通道横截面形状、孔直径和孔位置的选择。
顶部电极内表面上的保护性半导体涂层中RF损耗的宽范围变化问题通过按照反应器具体选择半导体涂层的电特性来解决。半导体涂层或层的RF吸收的宽范围变化是由电极温度、电极厚度和杂质浓度的较小漂移引起的。在本反应器中通过这样来解决这个问题,即选择半导体层的掺杂浓度为这样的水平,其将半导体层的损耗因数置于其中RF吸收相对于材料损耗因数的变化至少基本不变的范围中。(损耗因数是材料的复介电常数的实部和虚部之间的比值。)损耗因数由掺杂或内在杂质浓度确定并随温度变化。而且,材料中RF吸收随损耗因数变化的敏感度或变化受到层厚的影响。结果是选择半导体涂层或层的损耗因数在RF功率吸收相对于损耗因数变化的变化最小的区域中,使得RF功率吸收近似于对温度、层厚和层成分(例如杂质浓度)的变化不敏感。
本顶部电极的一个方面是高度简化的结构,其中半导体层是形成有喷气通道的单个整体层,并且该结构直接接合到金属电极基座的底表面。实现最优接合的接合层厚很大,使得较大面积的接合材料暴露到处理室,这导致了污染。通过将接合层划分成单独的间隔开的区,并用或者从覆盖的金属电极基座向下延伸或(作为替代)从下面的半导体层向上延伸的唇缘围绕每个区,在不减小接合层厚度的情况下解决该问题。唇缘至少部分覆盖接合层的暴露厚度,以减少或防止处理气体在室中的污染。另一方面是采用具有非常小渗气特性的极高等级粘结材料。
现在参考图15。图15图示了类似于图8的等离子反应器,除了图8的顶部电极125由图15的顶部电极900代替。顶部电极900在图15中以横截面侧视图示出,并包括具有面对晶片支撑底座105的底表面的金属(例如铝)基座901、以及接合到金属基座901底表面的保护层(或喷头)902。保护层可以通过与处理兼容材料的化学气相沉积形成为大块的陶瓷构件。例如,这样的与处理兼容材料可以是根据本说明书后面将描述的某个特征的诸如碳化硅之类的陶瓷,其可以掺杂有杂质以使得该材料是半导体性的。顶部电极900还包括位于金属基座901顶表面上的加热板903和位于加热板903顶表面上的冷却板904。如图15中示意性示出,加热流体馈送管线675a和返回管线675b供应加热流体以在加热板903中循环,而冷却流体馈送管线675c和返回管线675d供应冷却流体以在冷却板904中循环。热电偶905向处理控制器906提供基座901的测量温度。
图16是金属基座901的俯视图,示出了孔907的阵列布置,每个孔907沿轴向延伸穿过基座901。图17是半导体保护层或喷头902的俯视图,示出了沿轴向延伸穿过喷头的成对弧形槽908的阵列布置。如图18的放大视图所示,每对弧形槽908可以是相对的“C”形908a、908b,形成圆形环面的近似半圆形截面,尽管也可以采用其他合适的形状。
参考图19的放大视图,金属电极基座901由两个单独的块形成,即具有多个向下延伸的圆柱形支柱923的上板901a、以及具有多个圆柱形孔922的下板901b,支柱923套入孔922中而形成环形气体通道914。多个气体分布歧管909(其中一个在图19的放大视图中示出)形成为基座901顶部中的空穴。孔907从各个歧管909的底部完全穿过上板901a和部分穿过下板901b延伸。塞子910被压入配合在每个孔907内以接触上板901a和下板901b两者。多个压入塞子910将上板901a和下板901b保持在一起。每个压入塞子910都具有四个径向孔912和开口到歧管909的中心轴向孔911,径向孔912从轴向孔911延伸到上基板901a中的径向空穴913。空穴913开口到基座901中的环形通道914之中,该环形通道914行进到基座901的底表面。环形通道914与半导体保护层902中的弧形槽908大体对齐。每个垂直通道914是与保护层902中相应一对的相对“C”形槽908的对称轴同轴的圆形(环形)弧形槽。气体从歧管909向下流过轴向塞孔911,沿径向向外穿过孔912,由此向下穿过环形垂直通道914并沿径向穿过基座901与保护层902之间的径向槽915,而穿过弧形槽908进入室中。
开槽通道908、914提供了与槽的方位长度(azimuthal length)成比例的高导气率,但以这样的速率降低了槽内的电场,该速率是槽908和环面914的宽度的反函数。结果是通过通道908、914,即从保护层902的底表面直到径向空穴913几乎没有压差。同时,槽908、914足够窄(例如在约0.012英寸的量级上),使得在保护层902的底表面与径向空穴913之间的距离内电场至少降低了几乎其峰值大小的一半(优选地更多)。
孔912是圆柱形的,并具有足够小的直径(例如在约0.010英寸的量级上),使得气体歧管909的高压与顶部电极900之下的室的真空压力之间的几乎所有压差在每个孔912的整个长度上降低。例如,在每个孔912的长度上压力可能下降十倍。如下面将更详细描述的,结果是最高的电压降(电场)出现最低压力的区域(即沿着槽908、914的轴向长度),而整个压降出现在最小电场的区域(即在径向孔912内)中。该特征使得顶部电极900几乎不受电弧形成的影响,这也将在下面更详细解释。
参考图20,基座901与保护层902之间的多个垫片和支柱916固定了间隙917的宽度,在该间隙917中布置接合粘结剂918来将保护层902紧固到基座901。间隙907的宽度足以确保最优接合。该宽度相对较大并因此向径向通道915中的处理气体暴露出接合粘结层918的非常大的区域,这通过从接合粘结层918释气而促进了污染。该问题无需对间隙917宽度的任何减小就可解决。相反,粘结层918被分成图19所示的多个间隔开的区或岛状部分918a、918b、918c等,每个岛状部分由覆盖每个接合粘结岛状部分918a、918b、918c等的否则就暴露出的垂直面921的约一半(如果不是全部)的轴向延伸唇缘920围绕。每个唇缘920或者覆盖每个接合粘结层区的整个垂直面921,或仅仅留下其向径向通道915中处理气体暴露的一小部分。唇缘920将径向通道915的宽度减小到小于间隙917的宽度。通过减小(或消除)接合粘结岛状部分918a、918b、918c暴露到处理气体的表面积,每个唇缘920减小(或消除)了由于从粘结接合材料释气所产生的室中的污染。每个唇缘920可以从金属基座901即从基座901的塞子923向下延伸(并因此与塞子923一体形成),或从保护层902向上延伸。在图20的图中,唇缘920从塞子923向下延伸并与塞子923一体形成。
为了进一步减小来自粘结接合层918的污染,在层918中采用超高等级接合粘结材料,其仅具有最小的释气趋势。优选的用于粘结层918的接合材料是由Dow Corning公司制造的Dow Corning空间级低挥发性粘结剂93-500。
图21是冷却板904的俯视图,示出了其中冷却流体循环的冷却流体套927。图22是加热板903的俯视图,示出了其中加热流体循环的加热流体套928。
图23、24和25的曲线图图示了可以如何选择图15-19的顶部电极中的气体通道和孔的形状以防止顶部电极内形成电弧。图23表示用于由顶部电极喷射到室中的处理气体的帕邢曲线,其中竖轴对应于电场,而横轴对应于气压。图23中曲线上方的区域表示场强-压力空间中在气体中出现电弧或放电的位置。在图23中的曲线下方不出现电弧,并且一个目标是在沿顶部电极的轴线(高度)的所有点处保持压力和电场强度的组合在图23的曲线下方。图24图示了气压作为沿顶部电极中轴向气体通道的高度的函数。图24中的虚线对应于小的圆柱形气体通道,而图24中实线的几乎水平部分对应于图15-19的顶部电极中的开槽气体通道908、914。图23的实线的几乎垂直部分对应于压入配合塞子910的小径向孔912中的压力分布。图25图示了开槽气体分布通道908、914中作为高度函数的电场分布。图25中标为“0.20”和“0.40”的曲线分别对应于0.20和0.40英寸的槽宽(间隙)。如应用于图19的弧形开槽气体通道908、914一样,术语“宽度”指槽的较小尺寸,而术语“长度”指槽的较大尺寸。一般而言,在图19中,长度几乎比宽度大一个量级(或更大)。
图25示出了开槽气体通道中的电场以由槽宽确定的速率随高度降低。因此采用相对较窄的槽宽(例如0.010英寸),以通过增大沿气体通道908、914的组合长度的电场降低来最小化气体通道914顶部处的电场强度。例如,气体通道914顶部处的电场可以是保护层902的底表面处电场的十分之一(或更小)。沿气体通道908、914的组合长度的电场降低是基座901和保护层902的组合厚度上的电场降低的主要部分(例如80%或几乎全部)。径向孔912的轴向位置足够高,使得其位于图25的电场分布中的最小电场区域中。每个径向孔912的直径足够小(例如0.010英寸),以实现在气体通道高度的顶部附近由图24的曲线的陡峭部分所表示的每个径向孔912上的非常高的压降。沿每个径向孔912长度的压降代表基座901和保护层902的整个组合厚度上的压降的主要部分(例如80%或几乎全部)。每个孔912的径向方向使其正交于在电极轴向厚度上的电场,使得沿每个孔912有最小的电场降低。结果,在径向孔912内(位于最大高度处),取决于开槽气体通道908、914的窄度,压力非常高(至少在每个孔912的径向内部附近),而电场最小(或零)。该高压和低电场强度的组合对应于图23中的位置930,这大大低于形成电弧的阈值。在轴向弧形槽908、914内,压力非常低(图24的曲线的浅部),而电场接近于其底部附近的最大值(图25的曲线的峰值)。于是,在轴向气体通道908、914中,电场很高但压力非常低,对应于图23的位置931,这也大大低于形成电弧的阈值。为了实现这一结果,气体通道908、914的槽长度必须足够大以将沿气体通道908、914组合长度的压降最小化到电极900的顶部与底部之间压降的很小一部分。结果是几乎所有的压降沿着每个径向孔912的长度出现,如上所述。槽长度(例如弧长)可以在0.070英寸的量级上,而槽宽可以在约0.010英寸的量级上。
现在将描述如何在各种变化的处理条件(温度、层厚、杂质含量)下使得保护层902中的RF损耗几乎不变。图26的曲线图图示了在碳化硅的保护层902中RF源功率损耗作为特定RF源功率频率(即在例如162MHz或210MHz的电极-等离子谐振频率下或其附近)下特定温度(例如90摄氏度的工作温度)下碳化硅材料的损耗因数的函数。损耗因数是材料的复介电常数的实部和虚部之间的比值,并确定材料所吸收的RF功率量。损耗因数等于材料的电导率除以材料的实介电常数和RF频率之积。可以通过改变半导体材料中掺入杂质的浓度来调节损耗因数。通过测量各种掺入杂质浓度(及由此不同损耗因数)的碳化硅层的损耗因数并测量其中每个所吸收的RF功率,可以容易得到图26所示的诸如碳化硅之类的半导体材料的表现。按照King的Transmission Line Theory,McGraw-Hill,1955,第8页和285-286页中给出的原理,熟练工人可以容易地进行这种测量。图27的曲线图示出了碳化硅层902中的RF损耗或吸收在损耗因数为1处达到峰值,并随着损耗因数的增大或减小而从该峰值落下。
电介质材料的损耗因数一般随着温度增大,从而温度变化将导致RF功率吸收的变化。在损耗因数为1处的峰值RF损耗附近,在损耗因数仅仅变化很小的情况下,RF功率损耗剧烈变化。如果保护层902的厚度增大,则RF损耗随损耗因数改变的变化变得甚至更加显著。这通过比较图26中表示薄层行为的实线曲线与图26中表示较厚层行为的虚线曲线来图示。于是,在图26的任一曲线的峰值附近(即在损耗因数为1处),RF功率损耗在温度或层厚仅仅改变很小的情况下变化很大。在远离峰值处(即在损耗因数值大于10或小于0.1处),虚线曲线几乎与实线曲线合并,从而层厚不同使得RF功率损耗产生几乎不可察觉的改变。而且,如果损耗因数高于10或低于0.1,则RF功率损耗在很宽范围的损耗因数值上几乎不变,使得对应于这些范围的损耗因数值的温度变化使RF功率损耗产生几乎不可察觉的改变。因此,通过在工作温度下将材料掺杂到或者大于10或者小于0.1的损耗因数,使得保护层902中的RF功率损耗随着温度、层厚和杂质浓度改变而几乎不变。在一个实施例中,选择损耗因数在工作温度下高于10以节省成本,因为0.1或更小的损耗因数要求较贵的几乎本征半导体材料。选择损耗因数值高于10允许与损耗因数值从约10到更高相对应的温度漂移,而半导体层中的RF损耗很少或没有可测量出的变化。温度控制以及层厚和掺杂浓度的设计容限非常宽,这对应于损耗因数值从10延伸到非常高的值(如图26中标为“最优范围”的横条所示)的范围。该选择减小(几乎最小化)了RF功率吸收(图26中的竖轴)相对于损耗因数的导数。如从下面将看到的,这样一种选择的结果是减小(几乎最小化)了RF功率吸收相对于温度、厚度和杂质浓度的导数。
图27图示了对于不同的损耗因数值,功率损耗(竖轴)如何随温度改变而变化的。图27中标为“tanΔδ峰值”的一条曲线对应于图26中损耗因数值为1,并表示RF功率损耗在给定温度范围上非常大的改变。图27中标为“tanΔδ>10”的另一曲线对应于损耗因数值超过10,并表示RF功率损耗在相同温度范围上非常小或零的改变。图28图示了功率损耗(竖轴)如何随(保护层902的)层厚在厚度范围上的改变而变化的。图28中标为“tanΔδ峰值”的一条曲线对应于图26中损耗因数值为1,并表示RF功率损耗在给定厚度范围上非常大的改变。图28中标为“tanΔδ>10”的另一曲线对应于损耗因数值超过10,并表示RF功率损耗在相同厚度范围上非常小或零的改变。因此图27和28示出了图26所示行为的结果,以及按照反应器正确选择损耗因数范围如何对温度控制和层厚两者加宽了设计窗口。
因此一般而言,过程是选择用于保护层902的半导体(或其他处理兼容的)材料,随后确定所选RF源功率频率下的RF损耗在材料的损耗因数值范围上的表现如何。具体而言,找到材料中对应于最大RF损耗的损耗因数值。这可以通过分析或利用相同材料的具有不同杂质浓度的不同采样进行一系列RF损耗测量来完成。一旦找到峰值RF损耗的损耗因数值,则选择比峰值损耗处的损耗因数值大(或小)一个量级的损耗因数值。然后将该材料掺杂到在材料中产生所选损耗因数值的杂质浓度水平,随后使用该材料来形成保护层902。控制顶部电极的温度以保持损耗因数值至少比峰值RF吸收的损耗因数值大(或小)一个量级。在碳化硅中,损耗因数值为10或更多的该温度范围从室温到高于250摄氏度。
如上参考图20所述的,每个唇缘920的覆盖各个粘结接合岛状部分918的暴露垂直面921的特征允许粘结接合层918的厚度对于最大强度的接合相当大,而不伴随着增加来自接合粘结材料的污染。但是,不管粘结接合层918的厚度如何,我们已经发现顶部电极900在一般使用期间(尤其是在清洁期间)遇到的温度漂移使得组件900由于金属基座901和保护层902热膨胀系数的不同所产生的剪切力而损坏。这样的温度漂移由图29的曲线图中标为940的曲线图示。假定粘结接合层918在约30摄氏度的温度处固化,顶部电极温度在等离子处理期间升高到约90摄氏度。此温度增加使得金属基座901和保护层902按照其各自的热膨胀系数以不同速率膨胀。这产生了剪切力(图29的竖轴),其随着顶部电极900温度而增大,直到该温度达到90摄氏度的工作温度。这个温度在进行反应器的烘干(bakeout)处理时更加严重,该处理要求顶部电极900被加热到大大高于工作温度,例如加热到约150摄氏度的烘干温度。如图29所示,当温度从工作温度升高到烘干温度时,顶部电极上的剪切力几乎翻倍。正是在这后一温度漂移期间(当剪切力几乎翻倍时)顶部电极900易于损坏。
这个问题通过在升高的温度下使粘结接合层918固化来解决,该升高温度优选地大约为顶部电极所承受的最大和最小温度极限之间的中值。在图29所示的情况下,接合粘结层在90摄氏度的工作温度附近的升高温度下固化。如图29中标为945的曲线所示,通过在中间温度下固化粘结接合层918,将顶部电极900所受的最大剪切力减小约二倍,即减小到室温下的负剪切力或烘干温度下的正剪切力,这两者大致相同但是方向相反,并且这两者都不大于在接合粘结剂在较低温度下固化的标为940的曲线情况中所产生的最大剪切力的大约一半。
在这样的中间温度下固化粘结接合层918的方法不仅接决了损坏的问题,而且还使得能够执行反应器的另一方法,此方法通过从粘结接合层918释气来防止或减少污染。根据此后一方法,在粘结接合层918已经被固化且冷却之后,但在顶部电极900被用于等离子处理之前,将其加热到最高可能温度(例如150摄氏度的烘干温度)达足够长的时间,以至少几乎从粘结接合层918烘干或蒸发所有的高挥发性成分。在该蒸发方法的一个实施例中,在顶部电极被用于制造晶片的等离子处理之前将其保持在150摄氏度下至少8小时。在顶部电极寿命内仅仅进行一次烘干处理,使得粘结层仅仅承受一次最大应力,由此避免粘结层在顶部电极寿命内的剪切应力疲劳。
反应器的一个特征是其结构使其可以进行周期性的湿式清洁过程,该过程去除在许多小时的晶片处理期间聚集的所有污染物。该特征提高了顶部电极的寿命,在其寿命足以通过有利的使用至少收回其制造成本的意义上使其在商业上实用。支持该特征的结构特征包括以下:
在适合于湿式清洁处理的升高温度下固化粘结接合层,使得在接合层上剪切应力最小(或零)的条件下进行该湿式清洁处理;
构成顶部电极的陶瓷碳化硅/铝材料,其可以浸入用于去除CF聚合物的溶剂中、用酒精冲洗并加热到110摄氏度;
大块的陶瓷碳化硅层,其可以浸入用于去除硅或二氧化硅的酸(例如HF和/或H2NO3)中或者用其擦洗;
完全延伸穿过顶部电极的流过的不可重入的气体通道,使得通过这些通道的高压气体净化最终可以去除所有的污染材料而不留下任何被截留的残余物。
湿式清洁处理以从等离子反应室拆下顶部电极和下述的将其安装在夹具上开始。然后,整个顶部电极在室温下被浸入例如丙酮的溶剂中达足够时间,以去除聚集在顶部电极上的所有碳氟聚合物。下一个步骤是将顶部电极的(仅)陶瓷碳化硅层暴露到酸(例如HF或硝酸或两者的混合物)达足够时间,以去除聚集在顶部电极上的所有二氧化硅或硅材料。这可以通过擦洗陶瓷层或通过仅仅将顶部电极的陶瓷部分浸入酸中来进行。该步骤也在室温下进行。通过压住顶部电极的一侧同时允许气体从顶部电极的另一侧逸出,而在室温下用氮气或干燥空气净化顶部电极。最后,通过将顶部电极加热到约粘结层固化温度(约100度)直到所有的湿气从顶部电极蒸发了,而从顶部电极去除湿气。该最后的步骤在整个粘结层上产生了最小量的剪切应力,因为该温度(100摄氏度)是剪切应力近似为零的粘结层的固化温度。结果,湿式清洁处理仅仅在粘结层上施加可忽略大小的剪切应力,并因此可以在顶部电极的寿命内多次重复而不会可观地减小其寿命或不适当地使粘结层疲劳。
图30中图示了用于进行烘干方法和湿式清洁方法中任一种或两种的夹具。密封室950具有支撑在侧壁954上的环952,环952能够接纳并紧固顶部电极900。加热和(可选的)冷却流体源955、956将加热和冷却流体供应到顶部电极900的加热和冷却板903、904,以进行将电极温度保持在约150摄氏度的温度控制。气体供应器958向泵959提供气体,泵959在顶部电极900顶部处(即在顶部电极900的气体分布歧管909中)产生高气压。排气端口960去除通过顶部电极900的底部喷射的气体。
图31图示了在图16至20公开的具有大体平坦顶置电极的反应器类型中,等离子离子密度作为夹持在晶片支撑底座上的晶片表面上的半径的函数。离子密度(竖轴)使用任意比例因子绘制,并从氧化处理中的含灰率(ash rate)推得。图31的曲线图示出了在晶片边缘处的等离子离子密度是晶片中心处的离子密度的55%或更小。
图32(具有在中心处比边缘处更高的台阶形状)或图33(具有在中心处比边缘处更高的弯曲形状)所示类型的气体分布顶置源功率顶部电极可以将离子分布不均匀性减小(提高均匀性)约二倍。这在图34的曲线图中示出,其示出了晶片表面处的刻蚀率作为由图32的台阶状喷头电极所产生的径向位置的函数。图34中的刻蚀率从功率密度分布推得。由图33的弯曲喷头电极产生类似的刻蚀率分布。在图32或33的弯曲或台阶状喷头电极中,刻蚀率的中心-边缘偏差被减小到20%,这小于平坦电极所产生的偏差(55%)的一半。这是因为图32和33的台阶状或弯曲的中心高的喷头电极在晶片边缘处比在中心处将等离子约束在更小的晶片-顶部间隙中,由此提高了边缘附近的等离子离子密度。这样的结果要求在电极底表面中相对较高的曲率或较陡的台阶。例如,在图32的台阶状电极的一种实现方案中,直径约为300mm并且晶片边缘处的晶片-顶部间隙为25mm,这是约12mm的中心-边缘间隙差。在图33的弯曲电极的一种实现方案中,中心-边缘间隙差为15mm。制造具有这样高曲率或陡台阶的图16-20的气体喷头电极是不实际的。具体而言,制造图18的大量窄环形喷气开口908、及采用大量压入配合塞子的图19的复杂组件将承受过高的成本。
本反应器与图16-20的方法不同以解决电弧形成的问题。将记住图18的每个气体开口908的窄环形形状是必要的,以提高每个开口中的径向电场随高度降低的速率来抑制电弧形成。在本反应器中,我们已经发现纯圆柱形孔中的径向电场的下降足以抑制电弧形成,假如在高径向电场区域上方足够的高度处实现了非常小孔中的供应-真空气压下降。因此,本反应器在电极表面处采用相对较大的圆柱形出气孔(以避免等离子附近的高径向电场区域中的高气压),其由出气孔上方的非常小的压降孔馈送(使得实际上所有的供应-真空气压下降都出现在高径向电场的区域上方)。采用圆柱形出气孔(代替图18的环形出气口)的一个优点是圆柱形孔可以容易地制成高度弯曲或陡峭的台阶表面,例如图32的台阶状电极的底表面或图33的弯曲电极的底表面。
有助于抑制每个出气孔内形成电弧或等离子放电的特征包括:(1)出气孔的高导气率(大直径),以避免在等离子处或其附近的高径向电场区域内的高压,(2)压降孔的低导气率(小直径),以在气体到达出气孔之前气压从供应压力下降到室真空压力,和(3)面对等离子的电极表面上方的压降孔的足够的轴向高度,以最小化压降孔中的径向电场。该轴向高度足以防止气压和径向电场强度的组合超过由图23的帕邢曲线定义的电弧形成阈值。具体而言,在压降孔内,面对等离子的电极表面上方的轴向高度足以在每个孔内产生这样的低径向电场,使得压力-电场组合对应于图23的帕邢曲线下方的高压-低电场位置930。压降孔的该轴向高度足以在高电平的等离子RF或VHF源功率(通常为上千瓦特)下满足该条件。在电极主要由例如铝的金属形成的情况下,压降孔的所需轴向高度可以在约50到70mm的量级上。(图23的帕邢曲线使用“压力”维度用于横轴,这对于沿着其整个长度具有均匀直径的气体孔是方便的。)但是,该维度对于直径沿孔的长度改变的孔实际上是“压力乘直径”。
图32图示了图15的反应器的一种修改方案,其中顶置电极具有面对等离子的中心高台阶表面。在图32的图中,为了简化省略了图15的加热和冷却板903、904。现在参考图32,与台阶状气体喷头电极3210的处理区相对的表面包括圆形中心平坦表面3210a以及相继从中心平坦表面3210a的高度逐步降低的平坦环形外表面3210b、3210c。虽然在图32的实施例中采用了三个台阶表面,但是可以采用任何合适的数量。台阶表面3210a、3210b由环形的斜弧形过渡表面3212a光滑地接合。台阶表面3210b、3210c由环形的斜弧形过渡表面3212b光滑地接合。
这些表面中的每一个都钻有大量出气孔3214,其孔直径相对较大,在约50密耳(1英寸的5万分之一)的量级上。现在参考图35的放大剖视图,每个出气孔3214扩展成更大的上孔3216。再次参考图32,薄的内外增压器3218-1、3218-2跨越电极3210的内外环形区径向延伸。内外增压器3218-1、3218-2(以下述方式)耦合到分开的内外组出气端口,使得可以通过调节分别到内外增压器的气流来调节气流的径向分布。图35的放大视图仅仅示出了内增压器3218-1。可以认为内外增压器3218-1、3218-2在一起定义了电极3210的上下部分3210-1、3210-2之间的边界。再次参考图35的放大视图,上电极部分3210-1顶部的气体歧管3220馈送非常小的压降孔3222,这些压降孔3222通过具有约200和300密耳之间大直径的高导气率通道3224耦合到径向延伸的增压器3218。压降孔3222是小的细长轴向延伸圆柱孔。其直径在一种实现方案中在10密耳量级上,这足够小到将来自供应器压力的气压至少降低到近似反应室中的真空压力,假定通过下电极部分3210-2中的出气孔3214、3216的气体导通率足够大。因此,出气孔3214、3216直径相对较大,在约50密耳的量级上。类似地,每个径向延伸的薄增压器3218-1、3218-2具有足够大的平面面积(其面积在顶置电极面积的一半的量级上),使得尽管每个增压器3218-1、3218-2的高度很小,但每个增压器3218-1、3218-2内的导气率类似地很高以避免其中的压力梯度。
为了确保小孔3222的高压区域与等离子之间的轴向间隔,压降孔3222在中心内电极表面3210a上方约70mm的量级上。该轴向位移(图35中的D)由于电极3210的台阶状构造而在晶片边缘附近的外电极表面3210c处大得多。为了容许台阶表面3210a、3210b、3210c中每一个的不同轴向高度,中间气体孔3216的轴向长度作为径向位置的函数变化,范围从最外面的表面3210c中的最大长度到内或中心表面3210a中的最小长度。为了确保电极面对等离子的表面上方的压降孔3222的足够的最小轴向位移,由孔3222馈送的大气体通道3224具有几乎与满足上述条件(用于防止出气口3214中的电弧形成或等离子崩溃)所需的最小位移一样长的轴向长度。该距离约为70mm。取决于施加到电极的RF或VHF源功率电平并取决于真空室内的气压,用于该距离的最期望选择可能变化。
例如,电极3210可以由诸如铝的金属形成。图35所示的薄碳化硅保护层902’可以附装到电极3210的底表面。碳化硅保护层902’对应于图20的碳化硅保护层902,除了图35的碳化硅保护层902’不具有环形出气口(图18),而作为替代具有用于出气口的圆柱形孔3310,其匹配电极3210的出气孔3214并与其对齐。正是碳化硅保护层902’的出气孔3310的简单圆柱形状使得其实用于制造具有图32的陡峭台阶或图33的尖锐曲率的碳化硅保护层902’。术语陡峭或尖锐指相对较大的中心-边缘间隙差,其在图32和33的示例中为对于300mm的直径在12mm的量级上,或约4%。
参考图36,碳化硅保护层902’通过参考图20所讨论类型的粘结材料3315接合到电极3210的底表面(即下电极部分3210-2的底表面)。轴向支柱3320从电极底部延伸并且其高度确定电极3210和保护层902’之间的间隔。电极3210可以具有轴向延伸肩部3325,其从底表面向下突出并围绕每个出气口3214以至少部分将粘结胶3315从等离子屏蔽。
图33图示了类似于图32的反应器,除了图33的顶置电极的底表面3210a’不是台阶状的,而是具有中心高的曲率的连续弯曲表面。如图37的曲线图所示,可以采用不同的曲率用于构造图33的电极。图37的曲线图示出了标为A和B的两个不同实施例的毫米单位的电极高度(在碳化硅保护层902’的底部处测量)作为毫米单位的半径的函数。标为A的曲线示出了具有不变曲率半径的实施例。其他实施例可以是多半径的圆顶。标为B的曲线示出了其中电极的最外面10%是平坦的实施例。图38是示出刻蚀率作为半径函数的曲线图。图38中标为C的曲线表示利用图37的弯曲电极构造A获得的结果,而标为D的曲线表示利用图37的具有平坦边缘环面的弯曲电极构造B获得的结果。图38指示平坦化电极边缘设计(图37的B)减少了晶片边缘附近的刻蚀率的不均匀性。图37中的两种构造A和B的曲率使得中心-边缘高度差为电极直径的约27%。例如,该高度差可以在电极直径的约20%到100%的范围中。
虽然已经通过具体参考优选实施例详细描述了反应器,但是应该理解可以进行变化和修改而不偏离该反应器的真正精神和范围。

Claims (48)

1.一种径向延伸气体分布电极,在用于对等离子反应器的真空室中支撑底座上的工件进行处理的所述反应器中,所述电极形成所述反应器顶部的至少一部分,所述电极是所述反应器的RF等离子源功率施加器并具有面对所述反应器处理区的底表面,所述电极包括:
气体供应歧管,其用于在所述电极顶部处接收供应压力下的处理气体;
多个压降圆柱形孔,其从每个孔的一端处的所述气体供应歧管相对于所述电极轴向延伸;
径向气体分布歧管,其在所述电极内沿所述电极径向延伸;
多个轴向延伸高导通率气流通道,其将所述多个压降孔中各个的相对端耦合到所述径向气体分布歧管;和
多个高导通率圆柱形出气孔,其形成在所述电极的所述底表面中并轴向延伸到所述径向气体分布歧管。
2.如权利要求1所述的电极,其中所述电极的所述底表面是包括以下之一的非平面表面:
(a)弯曲表面;
(b)台阶状表面。
3.如权利要求2所述的电极,其中所述非平面底表面是中心高的表面。
4.如权利要求3所述的电极,其中所述非平面底表面具有在所述电极的直径的约20%与100%之间的中心—边缘高度差。
5.如权利要求3所述的电极,还包括覆盖所述底表面的保护层,所述保护层由与处理兼容的材料形成,所述出气孔延续穿过所述保护层。
6.如权利要求5所述的电极,其中所述保护层包括含半导体的材料。
7.如权利要求6所述的电极,其中所述含半导体的材料包括碳化硅。
8.如权利要求6所述的电极,其中所述含半导体的材料包括以下至少之一:
(a)硅,
(b)碳,
(c)锗。
9.如权利要求1所述的电极,其中所述多个压降孔在出气通道的直径的五分之一的量级上。
10.如权利要求9所述的电极,其中所述压降孔的直径在10密耳的量级上。
11.如权利要求1所述的电极,其中所述高导通率气流通道的轴向长度限定了所述压降孔在所述底表面上方的最小轴向位移。
12.如权利要求11所述的电极,其中所述最小位移足以防止所述压降孔内的电弧形成或等离子崩溃。
13.如权利要求11所述的电极,其中所述最小位移足以将每个孔内的径向电场保持足够低,由此所述孔内的气压和径向电场值的组合不足以使等离子崩溃。
14.如权利要求1所述的电极,其中所述高导通率气流通道具有在约70mm量级上的轴向长度。
15.如权利要求1所述的电极,其中所述压降孔具有足够小的导气率并且出气孔具有足够高的导气率,使得通过每个所述压降孔的压降至少是所述供应压力和所述真空室之间的压力差的80%。
16.如权利要求15所述的电极,其中所述压降孔从所述底表面沿轴向充分移开,使得所述压降孔中的径向电场小于所述出气孔中的最大径向电场的10%。
17.如权利要求1所述的电极,其中所述底表面包括具有平坦环形外周的中心高的弯曲表面。
18.一种等离子反应器的气体分布金属电极,具有面对所述反应器低压处理区的底表面,所述电极包括:
多个压降圆柱形孔,其相对于所述电极轴向延伸并被耦合以在每个孔的一端处接收供应压力下的处理气体;和
多个轴向延伸高导通率圆柱形出气孔,其形成在所述底表面中并耦合到所述压降孔的低压侧。
19.如权利要求18所述的电极,其中所述电极的所述底表面是包括以下之一的非平面表面:
(a)弯曲表面;
(b)台阶状表面。
20.如权利要求19所述的电极,其中所述非平面底表面是中心高的表面。
21.如权利要求20所述的电极,其中所述非平面底表面具有在所述电极的直径的约20%与100%之间的中心—边缘高度差。
22.如权利要求21所述的电极,还包括覆盖所述底表面的保护层,所述保护层由与处理兼容的材料形成,所述出气孔延续穿过所述保护层。
23.如权利要求22所述的电极,其中所述保护层包括含半导体的材料。
24.如权利要求23所述的电极,其中所述含半导体的材料包括碳化硅。
25.如权利要求23所述的电极,其中所述含半导体的材料包括以下至少之一:
(a)硅,
(b)碳,
(c)锗。
26.如权利要求18所述的电极,其中所述多个压降孔在出气通道的直径的五分之一的量级上。
27.如权利要求26所述的电极,其中所述压降孔的直径在10密耳的量级上。
28.如权利要求18所述的电极,其中所述压降孔定位成离所述底表面足够远以相对于所述底表面具有减小的径向电场,并且所述压降孔足够窄以将所述出气孔中的压力限制到所述室的真空压力处或其附近的压力。
29.如权利要求28所述的电极,其中所述减小的径向电场足够小以防止所述压降孔内的电弧形成或等离子崩溃。
30.如权利要求18所述的电极,其中所述压降孔具有足够小的导气率并且所述出气孔具有足够高的导气率,使得通过每个所述压降孔的压降至少是所述供应压力和所述真空室之间的压力差的80%。
31.如权利要求30所述的电极,其中所述压降孔从所述底表面沿轴向充分移开,使得所述压降孔中的径向电场小于所述出气孔中的最大径向电场的10%。
32.如权利要求18所述的电极,其中所述底表面包括具有平坦环形外周的中心高的弯曲表面。
33.一种等离子反应器,包括:
真空室;
气体分布金属电极,所述电极形成所述室的顶部并具有电极电抗,所述电极具有面对所述反应器低压处理区的底表面,所述电极包括:
多个压降圆柱形孔,其相对于所述电极轴向延伸并被耦合以在每
个孔的一端处接收供应压力下的处理气体;
多个轴向延伸高导通率圆柱形出气孔,其形成在所述底表面中并
耦合到所述压降孔的低压侧;
VHF源功率发生器和将所述发生器耦合到所述电极的固定阻抗匹配元件;以及
VHF电极具有的阻抗在等离子谐振频率下与所述室中的等离子形成谐振,所述等离子谐振频率与所述VHF源功率发生器的频率相同或近似相同。
34.如权利要求33所述的反应器,其中所述固定阻抗匹配元件具有与所述谐振频率相同或近似相同的谐振频率。
35.如权利要求34所述的反应器,其中所述固定阻抗匹配元件包括调谐短管。
36.如权利要求34所述的反应器,其中所述固定阻抗匹配元件包括带状线电路。
37.如权利要求34所述的反应器,其中所述固定阻抗匹配元件的所述谐振频率与所述VHF源功率发生器的所述频率从所述等离子谐振频率偏移。
38.如权利要求33所述的反应器,其中所述电极的所述底表面是包括以下之一的非平面表面:
(a)弯曲表面;
(b)台阶状表面。
39.如权利要求38所述的反应器,其中所述非平面底表面是中心高的表面。
40.如权利要求39所述的反应器,其中所述非平面底表面具有在所述电极的直径的约20%与100%之间的中心—边缘高度差。
41.如权利要求33所述的反应器,还包括覆盖所述底表面的保护层,所述保护层由与处理兼容的材料形成,所述出气孔延续穿过所述保护层。
42.如权利要求41所述的反应器,其中所述保护层包括含半导体的材料。
43.如权利要求42所述的反应器,其中所述含半导体的材料包括碳化硅。
44.如权利要求42所述的反应器,其中所述含半导体的材料包括以下至少之一:
(a)硅,
(b)碳,
(c)锗。
45.如权利要求33所述的反应器,其中所述多个压降孔在出气通道的直径的五分之一的量级上。
46.如权利要求45所述的反应器,其中所述压降孔的直径在10密耳的量级上。
47.如权利要求33所述的反应器,其中所述压降孔定位成离所述底表面足够远以相对于所述底表面具有减小的径向电场,并且所述压降孔足够窄以将所述出气孔中的压力限制到所述室的真空压力处或其附近的压力。
48.如权利要求47所述的反应器,其中所述减小的径向电场足够小以防止所述压降孔内的电弧形成或等离子崩溃。
CNA2006100015479A 2005-01-28 2006-01-20 等离子反应器顶置源功率电极 Pending CN1812684A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/046,538 2005-01-28
US11/046,538 US7196283B2 (en) 2000-03-17 2005-01-28 Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface

Publications (1)

Publication Number Publication Date
CN1812684A true CN1812684A (zh) 2006-08-02

Family

ID=36608747

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006100015479A Pending CN1812684A (zh) 2005-01-28 2006-01-20 等离子反应器顶置源功率电极

Country Status (7)

Country Link
US (1) US7196283B2 (zh)
EP (1) EP1691396A3 (zh)
JP (2) JP4813908B2 (zh)
KR (1) KR100854620B1 (zh)
CN (1) CN1812684A (zh)
MY (1) MY137871A (zh)
TW (1) TWI348334B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101440485B (zh) * 2007-11-20 2013-05-22 周星工程股份有限公司 薄膜处理设备
CN105232144A (zh) * 2015-11-18 2016-01-13 南京亿高微波系统工程有限公司 一种用于耳鼻喉科手术的双极等离子系统及其控制方法
CN105637615A (zh) * 2013-10-11 2016-06-01 应用材料公司 促进用于多腔室的系统单点连接的紧密危险性气体线分配
CN109961999A (zh) * 2017-12-22 2019-07-02 中微半导体设备(上海)股份有限公司 一种气体喷淋头及防止聚合物积聚的方法
CN110291225A (zh) * 2017-02-16 2019-09-27 三菱综合材料株式会社 等离子体处理装置用电极板及等离子体处理装置用电极板的再生方法
CN111656475A (zh) * 2018-01-25 2020-09-11 日新电机株式会社 电容元件及等离子体处理装置
CN112740367A (zh) * 2018-09-27 2021-04-30 东京毅力科创株式会社 基片处理装置和基片处理方法
TWI828326B (zh) * 2021-12-31 2024-01-01 大陸商中微半導體設備(上海)股份有限公司 測溫結構、上電極組件及電漿處理裝置

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
JP3897620B2 (ja) * 2002-03-14 2007-03-28 三菱重工業株式会社 高周波電力供給構造およびそれを備えたプラズマcvd装置
US7507670B2 (en) * 2004-12-23 2009-03-24 Lam Research Corporation Silicon electrode assembly surface decontamination by acidic solution
ITMI20050962A1 (it) * 2005-05-25 2006-11-26 Lpe Spa Dispositivo per introurre gas di reazione in una camera di reazione e reattore epitassiale che lo utilizza
US20070029283A1 (en) * 2005-08-02 2007-02-08 Micron Technology, Inc. Etching processes and methods of forming semiconductor constructions
US7651585B2 (en) * 2005-09-26 2010-01-26 Lam Research Corporation Apparatus for the removal of an edge polymer from a substrate and methods therefor
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
KR101064354B1 (ko) * 2006-11-09 2011-09-14 가부시키가이샤 알박 장벽막 형성 방법
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
CN101647101B (zh) 2007-03-29 2012-06-20 东京毅力科创株式会社 等离子加工设备
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8435379B2 (en) 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
US7582265B2 (en) * 2007-06-28 2009-09-01 Plasma Waste Recycling, Inc. Gas conduit for plasma gasification reactors
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
WO2009043190A1 (en) * 2007-10-01 2009-04-09 Oc Oerlikon Balzers Ag Deposition of active films
US8418649B2 (en) * 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US20090162261A1 (en) * 2007-12-19 2009-06-25 Kallol Baera Plasma reactor gas distribution plate having a vertically stacked path splitting manifold
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
WO2009078923A2 (en) * 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8367965B2 (en) * 2008-08-28 2013-02-05 Hermes-Epitek Corp. Electrode design for plasma processing chamber
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
KR101587054B1 (ko) * 2009-11-23 2016-01-21 주성엔지니어링(주) 기판처리장치
US8920597B2 (en) * 2010-08-20 2014-12-30 Applied Materials, Inc. Symmetric VHF source for a plasma reactor
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9543123B2 (en) 2011-03-31 2017-01-10 Tokyo Electronics Limited Plasma processing apparatus and plasma generation antenna
TWI792087B (zh) 2011-05-05 2023-02-11 日商半導體能源研究所股份有限公司 半導體裝置及其製造方法
DE102011080620B4 (de) * 2011-08-08 2014-06-05 Siemens Aktiengesellschaft Verfahren für die Beschichtung eines Isolationsbauteils und Isolationsbauteil sowie elektrisch leitfähiges Heizkabel
TWI830183B (zh) * 2011-10-05 2024-01-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
KR101854738B1 (ko) * 2012-01-09 2018-06-20 주성엔지니어링(주) 박막 증착 장치, 플라즈마 발생 장치, 및 박막 증착 방법
TWI439700B (zh) 2012-01-19 2014-06-01 Ind Tech Res Inst 用於具有雙導線之電力電纜線的電力感測裝置
US8932429B2 (en) * 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US9745663B2 (en) 2012-07-20 2017-08-29 Applied Materials, Inc. Symmetrical inductively coupled plasma source with symmetrical flow chamber
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP5723397B2 (ja) * 2013-02-18 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置
KR102130061B1 (ko) * 2013-03-15 2020-07-03 어플라이드 머티어리얼스, 인코포레이티드 매우 대칭적인 4-폴드 가스 주입부를 갖는 플라즈마 반응기
US10456855B2 (en) 2013-11-13 2019-10-29 Hypertherm, Inc. Consumable cartridge for a plasma arc cutting system
US9981335B2 (en) 2013-11-13 2018-05-29 Hypertherm, Inc. Consumable cartridge for a plasma arc cutting system
US11432393B2 (en) 2013-11-13 2022-08-30 Hypertherm, Inc. Cost effective cartridge for a plasma arc torch
US11684995B2 (en) 2013-11-13 2023-06-27 Hypertherm, Inc. Cost effective cartridge for a plasma arc torch
US11278983B2 (en) 2013-11-13 2022-03-22 Hypertherm, Inc. Consumable cartridge for a plasma arc cutting system
CN111604576B (zh) * 2014-08-12 2023-07-18 海别得公司 用于等离子弧焊炬的成本有效的筒
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
JP6501493B2 (ja) * 2014-11-05 2019-04-17 東京エレクトロン株式会社 プラズマ処理装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9736920B2 (en) * 2015-02-06 2017-08-15 Mks Instruments, Inc. Apparatus and method for plasma ignition with a self-resonating device
JP6542053B2 (ja) * 2015-07-15 2019-07-10 株式会社東芝 プラズマ電極構造、およびプラズマ誘起流発生装置
AU2016301372B2 (en) 2015-08-04 2021-07-29 Hypertherm, Inc. Cartridge for a liquid-cooled plasma ARC torch
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR101798373B1 (ko) * 2016-05-03 2017-11-17 (주)브이앤아이솔루션 유도결합 플라즈마 처리장치의 유전체창 지지구조
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
CN108257840B (zh) * 2016-12-29 2021-03-30 中微半导体设备(上海)股份有限公司 一种等离子处理装置
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
JP7052796B2 (ja) * 2017-07-28 2022-04-12 住友電気工業株式会社 シャワーヘッド及びその製造方法
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102644960B1 (ko) 2017-11-29 2024-03-07 코멧 테크놀로지스 유에스에이, 인크. 임피던스 매칭 네트워크 제어를 위한 리튜닝
JP7026498B2 (ja) * 2017-12-12 2022-02-28 東京エレクトロン株式会社 アンテナ及びプラズマ成膜装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US11532464B2 (en) * 2018-02-15 2022-12-20 Applied Materials, Inc. Reactor design for large-area VHF plasma processing with improved uniformity
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
DE102018112938A1 (de) * 2018-05-30 2019-12-05 VON ARDENNE Asset GmbH & Co. KG Gasversorgung, Beschichtungsvorrichtung und Verfahren
CN112105759B (zh) * 2018-07-31 2023-11-24 应用材料公司 用于cvd腔室的气体箱
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) * 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11114279B2 (en) * 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
KR20210041354A (ko) * 2019-10-07 2021-04-15 삼성전자주식회사 가스 공급 유닛 및 이를 포함하는 기판 처리 장치
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
JP2022048825A (ja) 2020-09-15 2022-03-28 東京エレクトロン株式会社 プラズマ処理装置及び半導体デバイスの製造方法
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
US11515150B2 (en) * 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2967926A (en) 1958-03-10 1961-01-10 Union Carbide Corp Testing process and apparatus
US2951960A (en) 1959-03-24 1960-09-06 Tung Sol Electric Inc Gaseous discharge device
FR1402020A (fr) * 1964-04-27 1965-06-11 Csf Perfectionnements aux sources d'ions
US3610986A (en) 1970-05-01 1971-10-05 Union Carbide Corp Electron beam source including a pilot nonthermionic, electron source
US4570106A (en) 1982-02-18 1986-02-11 Elscint, Inc. Plasma electron source for cold-cathode discharge device or the like
US4458180A (en) 1982-02-18 1984-07-03 Elscint Ltd. Plasma electron source for cold-cathode discharge device or the like
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4859908A (en) 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US5006760A (en) 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
DE3708716C2 (de) 1987-03-18 1993-11-04 Hans Prof Dr Rer Nat Oechsner Hochfrequenz-ionenquelle
US4973883A (en) 1987-05-01 1990-11-27 Semiconductor Energy Laborator Co., Ltd. Plasma processing apparatus with a lisitano coil
US4888518A (en) 1987-11-16 1989-12-19 Itt Corporation Gas circulation apparatus for ceramic electron tubes
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
EP0334184B1 (en) 1988-03-16 1996-08-14 Hitachi, Ltd. Microwave ion source
US5115167A (en) 1988-04-05 1992-05-19 Mitsubishi Denki Kabushiki Kaisha Plasma processor
US5055853A (en) 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
US5107170A (en) 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5089083A (en) 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5225024A (en) 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
JPH02298024A (ja) 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
DE3923661A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung fuer die anpassung der impedanz einer plasmastrecke an einen hochfrequenzgenerator
US5300460A (en) 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5210466A (en) 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5032202A (en) 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5223457A (en) 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
JPH04901A (ja) 1990-04-18 1992-01-06 Mitsubishi Electric Corp プラズマ装置の高周波給電方法及び装置
EP0463408A3 (en) 1990-06-22 1992-07-08 Hauzer Techno Coating Europe Bv Plasma accelerator with closed electron drift
US5707486A (en) 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5274306A (en) 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5376211A (en) 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
FR2667980A1 (fr) 1990-10-12 1992-04-17 Sodern Source d'electrons presentant un dispositif de retention de matieres.
US5246532A (en) 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
JP2501948B2 (ja) 1990-10-26 1996-05-29 三菱電機株式会社 プラズマ処理方法及びプラズマ処理装置
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
CA2102201A1 (en) 1991-05-21 1992-11-22 Ebrahim Ghanbari Cluster tool soft etch module and ecr plasma generator therefor
US5432315A (en) * 1991-05-31 1995-07-11 Hitachi, Ltd. Plasma process apparatus including ground electrode with protection film
US5198725A (en) 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
KR0184675B1 (ko) * 1991-07-24 1999-04-15 이노우에 쥰이치 챔버내의 전극에 있어서의 실제의 rf파워를 검출 및 제어 가능한 플라즈마 처리장치
US5849136A (en) 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5325019A (en) 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
JP2972477B2 (ja) 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
US5537004A (en) 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
TW249313B (zh) 1993-03-06 1995-06-11 Tokyo Electron Co
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
EP0634778A1 (en) 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
US5849372A (en) 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
JPH07121862A (ja) 1993-10-27 1995-05-12 Sony Corp 塗布型磁気記録媒体
US5467013A (en) 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
JP3279038B2 (ja) 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5512130A (en) 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
CN1269196C (zh) 1994-06-15 2006-08-09 精工爱普生株式会社 薄膜半导体器件的制造方法
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
AU2003195A (en) 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
IT1269413B (it) 1994-10-21 1997-04-01 Proel Tecnologie Spa Sorgente di plasma a radiofrequenza
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
JP2956494B2 (ja) 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5576600A (en) 1994-12-23 1996-11-19 Dynatenn, Inc. Broad high current ion source
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3169337B2 (ja) 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6089182A (en) 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
KR100226366B1 (ko) 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
JPH09106898A (ja) 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5942074A (en) 1996-03-29 1999-08-24 Lam Research Corporation Single-piece gas director for plasma reactors
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5770922A (en) 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
US5866986A (en) 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
JPH10134996A (ja) 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
JP3650248B2 (ja) 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
JP2929284B2 (ja) 1997-09-10 1999-08-03 株式会社アドテック 高周波プラズマ処理装置のためのインピーダンス整合及び電力制御システム
US5971591A (en) 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US5929717A (en) 1998-01-09 1999-07-27 Lam Research Corporation Method of and apparatus for minimizing plasma instability in an RF processor
US6106663A (en) 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
JP4098711B2 (ja) * 2000-02-21 2008-06-11 株式会社日立製作所 プラズマ処理装置
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
JP3565774B2 (ja) * 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
JP4669137B2 (ja) * 2001-02-16 2011-04-13 東京エレクトロン株式会社 分割可能な電極及びこの電極を用いたプラズマ処理装置
US20020139477A1 (en) * 2001-03-30 2002-10-03 Lam Research Corporation Plasma processing method and apparatus with control of plasma excitation power

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101440485B (zh) * 2007-11-20 2013-05-22 周星工程股份有限公司 薄膜处理设备
CN105637615A (zh) * 2013-10-11 2016-06-01 应用材料公司 促进用于多腔室的系统单点连接的紧密危险性气体线分配
CN105232144A (zh) * 2015-11-18 2016-01-13 南京亿高微波系统工程有限公司 一种用于耳鼻喉科手术的双极等离子系统及其控制方法
CN105232144B (zh) * 2015-11-18 2017-07-21 南京亿高微波系统工程有限公司 一种用于耳鼻喉科手术的双极等离子系统及其控制方法
CN110291225A (zh) * 2017-02-16 2019-09-27 三菱综合材料株式会社 等离子体处理装置用电极板及等离子体处理装置用电极板的再生方法
CN109961999A (zh) * 2017-12-22 2019-07-02 中微半导体设备(上海)股份有限公司 一种气体喷淋头及防止聚合物积聚的方法
CN109961999B (zh) * 2017-12-22 2021-03-23 中微半导体设备(上海)股份有限公司 一种气体喷淋头及防止聚合物积聚的方法
CN111656475A (zh) * 2018-01-25 2020-09-11 日新电机株式会社 电容元件及等离子体处理装置
CN111656475B (zh) * 2018-01-25 2022-07-05 日新电机株式会社 电容元件及等离子体处理装置
US11615922B2 (en) 2018-01-25 2023-03-28 Nissin Electric Co., Ltd. Capacitive element and plasma processing device
CN112740367A (zh) * 2018-09-27 2021-04-30 东京毅力科创株式会社 基片处理装置和基片处理方法
TWI828326B (zh) * 2021-12-31 2024-01-01 大陸商中微半導體設備(上海)股份有限公司 測溫結構、上電極組件及電漿處理裝置

Also Published As

Publication number Publication date
KR20060087428A (ko) 2006-08-02
EP1691396A2 (en) 2006-08-16
US20050178748A1 (en) 2005-08-18
TWI348334B (en) 2011-09-01
MY137871A (en) 2009-03-31
JP2009004796A (ja) 2009-01-08
EP1691396A3 (en) 2009-05-06
KR100854620B1 (ko) 2008-08-27
US7196283B2 (en) 2007-03-27
JP4813908B2 (ja) 2011-11-09
JP2006210929A (ja) 2006-08-10
TW200628021A (en) 2006-08-01

Similar Documents

Publication Publication Date Title
CN1812684A (zh) 等离子反应器顶置源功率电极
CN1314072C (zh) 抑制电弧放电的对应等离子体喷头rf顶电极调谐的merie等离子体反应器
CN100341107C (zh) 抑制电弧放电的对应等离子体射频顶电极调谐的等离子体反应器
US11743973B2 (en) Placing table and plasma processing apparatus
US6308654B1 (en) Inductively coupled parallel-plate plasma reactor with a conical dome
CN100440422C (zh) 具有动态温度控制的基片支架
US10832931B2 (en) Electrostatic chuck with embossed top plate and cooling channels
CN1591793A (zh) 聚焦环和等离子体处理装置
CN1956143A (zh) 具有均匀温度分布晶片支撑的电容耦合等离子体反应装置
US20040027781A1 (en) Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20040040664A1 (en) Cathode pedestal for a plasma etch reactor
US20130155568A1 (en) Extended and independent rf powered cathode substrate for extreme edge tunability
CN1759473A (zh) 半导体处理用的基板保持结构和等离子体处理装置
CN1717788A (zh) 等离子体处理装置和方法
CN1717789A (zh) 等离子体处理装置和方法以及等离子体处理装置的电极板
CN101038859A (zh) 等离子体处理装置及其所使用的电极
CN1669108A (zh) 磁等离子体控制电容耦合等离子体反应器
CN1774787A (zh) 环形低场活性气体和具有绝缘真空容器的等离子体源
CN1240107C (zh) 晶片处理装置和晶片平台以及晶片处理方法
US20180082866A1 (en) Heater pedestal assembly for wide range temperature control
KR20210007032A (ko) 플라즈마 강화 화학 기상 증착 챔버에서 기생 플라즈마를 억제하기 위한 장치
CN1132962C (zh) 淀积膜形成系统和方法
CN101989543B (zh) 一种用于减少基片背面聚合物的装置
CN105990085B (zh) 等离子体刻蚀设备、聚焦环及其制作方法
TWI423368B (zh) A device for reducing the backside polymer of a substrate

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20060802