CN1860440A - 用于工具上半导体仿真的系统和方法 - Google Patents

用于工具上半导体仿真的系统和方法 Download PDF

Info

Publication number
CN1860440A
CN1860440A CNA2004800285180A CN200480028518A CN1860440A CN 1860440 A CN1860440 A CN 1860440A CN A2004800285180 A CNA2004800285180 A CN A2004800285180A CN 200480028518 A CN200480028518 A CN 200480028518A CN 1860440 A CN1860440 A CN 1860440A
Authority
CN
China
Prior art keywords
semiconductor processes
described semiconductor
instrument
input
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800285180A
Other languages
English (en)
Other versions
CN100476733C (zh
Inventor
安德杰·S·米托维克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1860440A publication Critical patent/CN1860440A/zh
Application granted granted Critical
Publication of CN100476733C publication Critical patent/CN100476733C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/44Arrangements for executing specific programs
    • G06F9/455Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/23Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/08Probabilistic or stochastic CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/08Thermal analysis or thermal optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

一种用于辅助半导体处理工具(102)所执行的处理的方法、系统和计算机可读介质。该方法包括输入与半导体处理工具(102)所执行的处理有关的数据(104),以及输入与半导体处理工具(102)有关的第一原理物理模型(106)。使用输入数据(104)和物理模型(106)来执行第一原理仿真(108),以提供与半导体处理工具所执行的处理有关的虚拟传感器测量,并且虚拟传感器测量被用来辅助半导体处理工具(102)所执行的处理,并且仿真结果被用作为表征半导体处理工具(102)所执行的处理的数据集合的一部分。

Description

用于工具上半导体仿真的系统和方法
技术领域
本发明一般地涉及制造半导体器件,更具体而言涉及在半导体制造过程中使用第一原理(first principles)仿真。
背景技术
半导体工业中的材料处理给集成电路(IC)的制造提出了巨大的挑战。对提高一般而言的IC(具体而言的存储器器件)速度的需求强迫半导体制造者将衬底表面上的器件做得越来越小。此外,为了降低制造成本,必须减少生产IC结构所需的步骤(例如刻蚀步骤、沉积步骤等)的数量,从而降低IC结构及其制造方法的整体复杂度。特征尺寸的减小和衬底尺寸的增大(即,200mm到300mm和更大)进一步加剧了这些需求,其更加强调对关键尺寸(CD)、处理速率和处理均匀性的精确控制,以最大化优良器件的产率。
在半导体制造中,IC演化期间的众多步骤被采用,包括真空处理、热处理、等离子体处理等。在每个处理步骤中都存在影响处理结果的众多变量。为了更精确地控制每个处理步骤的结果,各个处理工具配备了越来越多的诊断系统(电的、机械的和光的),以在处理期间测量数据,并提供用于通过过程控制器的动作来校正过程变化的智能基础。诊断系统的数量变得繁多并且成本高。但是,还是没有对整个过程控制在空间和时间上充分解析的数据。
这些工业和制造中的挑战引起了对在半导体制造工业中更多地使用基于计算机的建模和仿真的兴趣。基于计算机的建模和仿真正被越来越多地用于在半导体制造工具设计过程期间预测工具性能。建模的使用减少了工具开发周期中涉及的成本和时间。在诸如力、热、磁等很多方面的建模都已相当成熟,能够可信地为设计问题提供准确的答案。此外,随着新求解算法的发展,计算机能力已经迅速提高,二者都使得获得仿真结果所需的时间减少。事实上,本发明的发明人已经意识到,一般在工具设计阶段进行的大量仿真现在可与晶片或晶片盒处理时间相比而言及时地运行。这些趋势已经引起了这样的建议:一般仅用于工具设计的仿真能力可在工具本身上直接实现,以辅助工具执行的各种处理。例如,2001国际半导体科技进程(2001 International Technology Roadmap for Semiconductors)识别了阻碍作为用于使能制造未来半导体器件中很小特征的技术的在工具上集成的仿真能力的发展的问题。
事实上,工业上在实现工具上(on-tool)仿真以辅助工具处理方面的失败主要是由于需要能够在合理的时间内执行仿真的计算资源。具体而言,当前专用于半导体制造工具的处理器能力一般限于诊断和控制功能,因此仅能执行较简单的仿真。因此,半导体制造工业已经意识到需要提供强大的专用计算机,以实现有意义的工具上仿真能力。但是,将这样的计算机专用于半导体处理工具造成了在工具运行使用简单仿真或根本不使用仿真的处理时,计算资源的浪费。对昂贵的计算资源的这种低效使用已经成为在半导体处理工具上实现仿真能力的主要阻碍。
发明内容
本发明的一个目的是减少或解决现有技术的上述和/或其他问题。
本发明的另一个目的是将第一原理仿真能力与半导体制造工具集成,以辅助工具所执行的处理。
本发明的另一个目的是提供工具仿真能力而无需工具专用的强大计算资源。
本发明的另一个目的是使用现有的制造工厂中每种工具的专用计算资源来提供广泛适用的工具上仿真能力。
通过本发明的以下方面可提供这些和/或其他目的。
根据本发明的一个方面,一种用于辅助半导体处理工具所执行的处理的方法包括:输入与半导体处理工具所执行的处理有关的数据,以及输入与半导体处理工具有关的第一原理物理模型。使用输入的数据和物理模型来执行第一原理仿真,以提供与半导体处理工具所执行的处理有关的虚拟传感器测量,并且虚拟传感器测量被用来辅助半导体处理工具所执行的处理。
根据本发明的另一方面,一种系统包括被配置为执行处理的半导体处理工具,以及被配置为输入与半导体处理工具所执行的处理有关的数据的输入设备。第一原理仿真处理器被配置为输入与半导体处理工具有关的第一原理物理模型,并且使用输入的数据和物理模型来执行第一原理仿真,以提供与半导体处理工具所执行的处理有关的虚拟传感器测量。虚拟传感器测量被用来辅助半导体处理工具所执行的处理。
在本发明的另一方面中,一种用于辅助半导体处理工具所执行的处理的系统,包括用于输入与半导体处理工具所执行的处理有关的数据的装置,以及用于输入与半导体处理工具有关的第一原理物理模型的装置。还包括用于使用输入数据和物理模型来执行第一原理仿真,以提供与半导体处理工具所执行的处理有关的虚拟传感器测量的装置,以及用于使用虚拟传感器测量来辅助半导体处理工具所执行的处理的装置。
在本发明的另一方面中,提供了一种包含用于在处理器上执行的程序指令的计算机可读介质,当程序指令被计算机系统执行时,使得处理器执行以下步骤:输入与半导体处理工具所执行的处理有关的数据,以及输入与半导体处理工具有关的第一原理物理模型。处理器还被使得使用输入的数据和物理模型来执行第一原理仿真,以提供与半导体处理工具所执行的处理有关的虚拟传感器测量,以及使用虚拟传感器测量来辅助半导体处理工具所执行的处理。
本发明的另一方面是一种辅助半导体处理工具所执行的处理的方法,包括输入与半导体处理工具所执行的处理有关的数据,以及输入与半导体处理工具有关的第一原理物理模型。使用输入的数据和物理模型来执行第一原理仿真,以提供用于半导体处理工具所执行的处理的仿真结果,并且仿真结果被用作表征半导体处理工具所执行的处理的数据集合的一部分。
本发明的另一方面是一种系统,包括被配置为执行处理的半导体处理工具,以及被配置为输入与半导体处理工具所执行的处理有关的数据的输入设备。第一原理仿真处理器被配置为输入与半导体处理工具有关的第一原理物理模型,以及使用输入的数据和物理模型来执行第一原理仿真,以提供用于半导体处理工具所执行的处理的第一原理仿真结果。仿真结果被用作表征半导体处理工具所执行的处理的数据集合的一部分。
本发明的另一方面是一种用于辅助半导体处理工具所执行的处理的系统,该系统包括用于输入与半导体处理工具所执行的处理有关的数据的装置,以及用于输入与半导体处理工具有关的第一原理物理模型的装置。该系统还包括用于使用输入数据和物理模型来执行第一原理仿真,以提供用于半导体处理工具所执行的处理的仿真结果的装置,以及用于使用仿真结果作为表征半导体处理工具所执行的处理的数据集合的一部分的装置。
本发明的另一方面是一种包含用于在处理器上执行的程序指令的计算机可读介质,当程序指令被计算机系统执行时,使得处理器执行以下步骤:输入与半导体处理工具所执行的处理有关的数据,以及输入与半导体处理工具有关的第一原理物理模型。处理器还被使得使用输入数据和物理模型来执行第一原理仿真,以提供用于半导体处理工具所执行的处理的仿真结果,以及使用仿真结果作为表征半导体处理工具所执行的处理的数据集合的一部分。
附图说明
当结合附图考虑时,通过参考下面的详细描述,可以更好地理解并容易地获得对本发明及其优点的更完整的理解,附图中:
图1是根据本发明实施例的用于使用第一原理仿真技术来辅助半导体处理工具所执行的处理的系统的框图;
图2是示出了根据本发明实施例的用于使用第一原理仿真技术来辅助半导体处理工具所执行的处理的过程的流程图;
图3是根据本发明实施例的可被用来提供第一原理仿真技术以辅助半导体处理工具所执行的处理的网络体系结构的框图;
图4是根据本发明实施例的用于使用第一原理仿真技术来提供半导体处理工具上的虚拟传感器测量的系统的框图;
图5是根据本发明实施例的用于使用第一原理仿真技术来表征半导体处理工具上的处理的系统的框图;
图6是根据本发明实施例的用于使用第一原理仿真技术来控制半导体处理工具所执行的处理的系统的框图;
图7是根据本发明实施例的用于使用第一原理仿真技术来控制半导体处理工具所执行的处理的过程的流程图;
图8是根据本发明实施例的用于使用第一原理仿真技术和经验模型来控制半导体处理工具所执行的处理的系统的框图;
图9是根据本发明实施例的用于使用第一原理仿真技术和经验模型来控制半导体处理工具所执行的处理的过程的流程图;
图10是根据本发明实施例的用于使用第一原理仿真技术和故障检测器来控制半导体处理工具所执行的处理的系统的框图;
图11是对PLS分析的数据输入 X和 Y,以及相应的输出 T、 P、 U、C、 W、 E、 F、 H和变量投影重要性(VIP);
图12是示出了根据本发明实施例的用于使用第一原理仿真技术来检测故障并控制半导体处理工具所执行的处理的过程的流程图;
图13是可应用本发明的过程控制实施例的真空处理系统的框图;以及
图14示出了其上可实现本发明的实施例的计算机系统。
具体实施方式
现在参照附图,其中在所有图中,相似的标号标记相同或相应的部分。图1是根据本发明实施例的用于使用第一原理仿真技术来辅助半导体处理工具所执行的处理的系统的框图。如图1所示,该系统包括半导体处理工具102、数据输入设备104、第一原理物理模型106以及第一原理仿真处理器108。图1的系统还可包括如虚线框所示的工具级库110。
半导体处理工具102是用于执行与制造集成电路或半导体晶片有关的处理的工具。例如,半导体处理工具102可以被实现为材料处理系统、刻蚀系统、光刻胶旋涂系统、光刻系统、电介质涂敷系统(即旋涂玻璃(SOG)或旋涂电介质(SOD)系统)、沉积系统(即化学气相沉积(CVD)系统或物理气相沉积(PVD)系统)、用于热退火的快速热处理(RTP)系统、批扩散炉(batch diffusion furnace),或用于执行半导体制造处理的任何其他工具。
数据输入设备104是用于收集与半导体处理工具102所执行的处理有关的数据并将收集到的数据输入到第一原理仿真处理器106的设备。半导体处理工具102所执行的处理可以是表征处理(即工艺设计或开发)、清洁处理、生产处理或半导体处理工具所执行的任何其他处理。在一个实施例中,数据输入设备104可被实现为用于收集关于半导体处理工具102本身和/或工具的处理室内包含的环境的数据的物理传感器。这样的数据可包括:流体力学数据,例如处理室内各种位置处的气体速度和压力;电学数据,例如处理室的电学系统内各种位置处的电压、电流和阻抗;化学数据,例如处理室内各种位置处的物质浓度和反应化学性质;热数据,例如处理室内各种位置处的气体温度、表面温度和表面热通量;等离子体处理数据(当使用等离子体时),例如等离子体密度(例如从Langmuir探针获得)、离子能量(例如从离子能量谱分析仪获得);以及力学数据,例如处理室内各种位置处的压力、挠度、应力和应变。
除了工具和工具环境数据以外,数据输入设备104还可收集与处理本身有关的数据,或者在工具102对其执行处理的半导体晶片上获得的处理结果。在一个实施例中,数据输入设备104被实现为耦合到半导体处理工具102的度量工具。该度量工具可被配置为测量处理性能参数,例如:刻蚀速率、沉积速率、刻蚀选择性(第一材料被刻蚀的速率与第二材料被刻蚀的速率之比)、刻蚀关键尺寸(例如特征长度或特征宽度)、刻蚀特征各向异性(例如刻蚀特征侧壁轮廓)、膜性质(例如膜应力、孔隙度等)、掩模(例如光刻胶)膜厚度、掩模(例如光刻胶)图案关键尺寸,或半导体处理工具102所执行的处理的任何其他参数。
数据输入设备可直接耦合到处理工具102和第一原理仿真处理器106,以自动接收来自工具102的数据并将该数据转发到第一原理仿真处理器106,如图1所示。或者,数据输入设备104可被实现为用户输入设备,用来将与半导体处理工具102所执行的处理有关的数据间接地提供给仿真处理器106。例如,数据输入设备104可以是键盘,仿真操作者使用其将数据输入到第一原理仿真处理器106。或者,数据输入设备可以是数据库,用于存储与半导体处理工具102过去执行的处理有关的数据。在该实施例中,可以通过使用耦合到半导体处理工具102的物理传感器或度量工具来自动填充数据库,和/或者通过手工输入来填充数据库。数据库可以被第一原理仿真处理器108自动访问,以将数据输入到处理器。
第一原理物理模型106是工具和工具环境的物理属性的模型,并且是执行第一原理仿真和提供用于辅助半导体处理工具所执行的处理的仿真结果所必须的基本等式。因此,第一原理物理模型106在某种程度上依赖于被分析的半导体处理工具102的类型以及工具中执行的处理。例如,物理模型106可包括工具102的物理几何结构的空间解析模型,其例如对于化学气相沉积(CVD)室和扩散炉是不同的。类似地,计算流场所必需的第一原理等式与计算温度场所必需的那些等式有很大的不同。物理模型106可以是如以下的商业化软件中实现的模型,以计算流场、电磁场、温度场、化学性质、表面化学性质(即刻蚀表面化学性质或沉积表面化学性质),所述商业软件例如:ANSYS Inc.,Southpointe,275 TechnologyDrive Canonsburg,PA 15317的ANSYS;Fluent Inc.,10 Cavendish Ct.Centerra Park,Lebanon,NH 03766的FLUENT;或者CFD ResearchCorp.,215 Wynn Dr.,Huntsville,AL 35805的CFD-ACE+。但是,也可使用从第一原理开发的专用或定制模型来解析处理系统内的这些和其他细节。
第一原理仿真处理器108是这样的处理设备,其将来自数据输入设备104的数据输入应用到第一原理物理模型108,以执行第一原理仿真。具体而言,第一原理仿真处理器108可使用数据输入设备104提供的数据来设置用于第一原理物理模型106的初始条件和/或边界条件,其然后被仿真模块执行。本发明的第一原理仿真包括但不限于:从Maxwell等式导出的电磁场仿真;从连续性、Navier-Stokes等式和热力学第一定律导出的例如用于质量、动量和能量传输的连续(continuum)仿真;以及从Boltzmann等式导出的原子仿真,例如稀薄气体的蒙特卡洛(Monte Carlo)仿真(见Bird,G.A.1994. Molecular gas dynamics and the direct simulation of gas flows,Clarendon Press)。第一原理仿真处理器108可被实现为物理上与半导体处理工具102集成的处理器或工作站,或者被实现为诸如图14的计算机系统1401这样的通用计算机系统。第一原理仿真处理器108的输出是仿真结果,其被用来辅助半导体处理工具102所执行的处理。例如,仿真结果可被用来辅助工艺开发、过程控制和故障检测,并且提供辅助工具处理的虚拟传感器输出,如后面将要描述的那样。
如图1中虚线框所示,该系统还可包括工具级库110,用于存储仿真结果。该库实质上是对过去仿真的结果的汇编,其可用来在将来提供仿真结果。工具级库110可被存储在独立的存储设备中,或者存储在与第一原理仿真处理器108集成在一起的诸如硬盘之类的计算机存储设备中。
应当理解,图1中的系统仅是示例性的,本领域的普通技术人员将很容易知道对用于实现本发明的具体硬件和软件的很多改变。例如,第一原理物理模型106、第一原理仿真处理器108和工具级库110的功能可被组合在单个设备中。类似地,数据输入设备104的功能可与半导体处理工具102和/或第一原理仿真处理器108的功能进行组合。为了实现这些变化和其他变化,单个计算机(例如图14的计算机系统1401)可被编程以执行图1所示的设备的两个或更多个的专用功能。另一方面,两个或更多个被编程的计算机可以与图1所示的设备之一进行替换。诸如冗余和复制这样的分布式处理的原理和优点也可根据需要被实现,以例如提高系统的鲁棒性和性能。
图2是示出了根据本发明实施例的用于使用第一原理仿真技术来辅助半导体处理工具所执行的处理的过程的流程图。图2所示的过程例如可运行在图1的第一原理仿真处理器104上。如图2所示,过程开始于步骤201,其中半导体处理工具102执行与处理有关的数据输入。如上所述,输入数据可以是与工具/工具环境的物理属性有关的数据,和/或与工具在半导体晶片上执行的处理或这种处理的结果有关的数据。同样如上所述,输入数据可以从耦合到第一原理仿真处理器104的物理传感器或度量工具直接输入,或者可以从手工输入设备或数据库间接输入。当数据由手工输入设备或数据库间接输入时,数据可以是自先前运行的处理而记录的数据,例如来自先前运行的处理的传感器数据。或者,数据可以被仿真操作者设置为用于特定仿真的“最佳已知输入参数”,其可以与处理期间收集的数据有关或者可以不与处理期间收集的数据有关。处理工具输入的输入数据类型一般依赖于希望的仿真结果。
除了将输入数据输入以外,第一原理仿真处理器104还输入第一原理物理模型106,如步骤203所示。步骤203包括输入通过模型被建模的工具的物理属性,以及执行由半导体处理工具102所执行的处理的希望属性的第一原理仿真所必需的被编码在软件中的第一原理等式。第一原理物理模型106可从外部存储器或与处理器集成的内部存储器设备被输入处理器。此外,虽然在图2中步骤203被示为跟在步骤201之后,但是应当理解,第一原理仿真处理器104可同时执行这些步骤,或者以与图2所示顺序相反的顺序执行这些步骤。
在步骤205,第一原理仿真处理器108使用步骤201的输入数据和步骤203的第一原理物理模型,来执行第一原理仿真,并提供仿真结果。步骤205可以与半导体处理工具所执行的处理并发地执行,或者可以不与半导体处理工具所执行的处理并发地执行。例如,能以短的求解时间执行的仿真可与工具处理并发地运行,并且结果被用来控制该处理。计算较为密集的仿真可不与工具处理并发地执行,并且仿真结果可被存储在库中以便以后检索。在一个实施例中,步骤205包括使用步骤201的输入数据来设置步骤205中提供的物理模型的初始和/或边界条件。
一旦仿真被执行,仿真结果就被用来辅助半导体处理工具102所执行的处理。这里使用的术语“辅助半导体处理工具所执行的处理”包括例如使用仿真结果来检测处理中的故障、控制处理、表征制造过程的处理、提供与处理有关的虚拟传感器读数,或与辅助半导体处理工具102所执行的处理相结合的对仿真结果的其他使用。
图3是根据本发明实施例的可用来提供第一原理仿真技术以辅助半导体处理工具所执行的处理的网络体系结构的框图。如图所示,该网络体系结构包括经由因特网314连接到远程资源的设备制造工厂。该设备制造工厂包括连接到各自的仿真模块302的多个半导体处理工具102。如参考图1所描述的,各个半导体处理工具102是用于执行与制造诸如集成电路之类的半导体器件有关的处理的工具。各个仿真模块302是计算机、工作站或能够执行第一原理仿真技术以辅助半导体处理工具102所执行的处理的任何其他处理设备。因此,每个仿真模块302都包括参考图1描述的第一原理物理模型106和第一原理仿真处理器108,以及可能有助于执行第一原理仿真的任何其他硬件和/或软件。此外,仿真模块302被配置为使用任何已知的物理通信协议与工厂级的先进过程控制(APC)控制器通信。每个仿真模块302可被实现为诸如图14的计算机系统1401之类的通用计算机。
虽然未在图3中示出,但是每个仿真模块302与用于输入与工具102所执行的处理有关的数据的数据输入设备相关联。在图3的实施例中,仿真模块302直接耦合到各自的工具102,因此,数据输入设备被实现为物理上安装在各自的工具102上的物理传感器和/或度量工具。但是,如上所述,数据输入设备可以被实现为由仿真模块操作者使用的手工输入设备或者数据库。此外,每个仿真模块302可被配置为将信息存储在诸如库306这样的工具级库中并且从这样的库获取信息。再次如上所述,工具级库实质上是对将来的仿真可能有用的过去的仿真结果的汇编。
在本发明的一个实施例中,每个仿真模块302经由网络连接被连接到主工厂级APC控制器304。如图3所示,工厂级APC控制器304还可连接到独立仿真模块308和工厂级库310,并经由因特网314和通信服务器316连接到独立仿真模块312。
独立仿真模块308和312是可被用来帮助仿真模块302执行计算密集的第一原理仿真的计算资源,如下所述。工厂级库310是用于存储从网络系统的任何仿真模块获得的仿真结果的数据库。工厂级APC控制器304是任何合适的工作站、服务器或用于与仿真模块302、308和312通信并且在工厂级库310中存储信息和从工厂级库310获取信息的其他设备。工厂级APC控制器304还基于仿真模块302的仿真结果,辅助半导体处理工具102所执行的处理。例如,APC控制器可被配置为从仿真模块接收仿真结果,并使用仿真结果来实现用于工具102中任何一个的处理调整和/或校正的控制方法。工厂级APC控制器304使用任何合适的协议与仿真模块302、308和312以及工厂级库310通信,并且可例如使用图14的计算机系统1401来实现。
本发明的发明人发现,图3的网络配置提供了计算和存储资源共享,其允许合理的求解速度的很宽范围的第一原理仿真结果,从而提供了能够辅助工作所执行的处理的有意义的工具上仿真能力。具体而言,虽然简单仿真可由工具的专用仿真模块执行,但是需要更多计算资源的复杂仿真可使用代码并行化技术在网络中的可能是工具上的也可能是独立的多个仿真模块上执行。甚至当前正进行预防性维修的设备中的工具上仿真模块也可被用作为共享的计算资源,只要对该仿真模块供电即可。类似地,用于以后的查找的仿真结果可被存储在工厂网络中任意位置处的库(例如存储设备)中,并在进行对诊断或控制数据的查找时被所有工具访问。
本发明的发明人还发现,图3的网络体系结构提供了这样的能力,其将针对一个条件集合的在一个处理工具102处得到的模型结果分发到以后在相同或类似条件下工作的其他类似或等同的工具,从而消除了多余的仿真。仅在工具上和独立模块处针对唯一的处理条件运行仿真,并重新使用来自已经知道仿真的解的类似工具的结果,允许了快速开发包含可在很大范围处理条件上被用于诊断和控制的结果的查找库。此外,将已知解重新用作用于第一原理仿真的初始条件,减少了计算需要,并且辅助了在与在线控制一致的时间帧内产生仿真解。类似地,图3的网络体系结构还提供了如下能力:将对物理模型和模型输入参数作出的改变和细化从一个仿真模块传播到网络中的其他仿真模块。例如,如果在处理过程和模型的并行执行期间,确定某些输入参数需要改变,则这些改变可经由网络被传播到所有其他仿真模块和工具。
图3的网络体系结构还允许到远程计算资源的可选连接,所述远程计算资源包括可帮助执行仿真任务并将结果传送回设备制造者工厂的仿真模块。可通过诸如虚拟专用网络这样的安全连接来连接到远程资源。这样的安全连接还可被建立到提供计算资源以支持处理工具上的第一原理仿真的第三方。类似地,远程通信服务器可充当用于大多数最新软件、模型、输入参数和仿真结果的“交换所”,其可被多个客户使用,从而进一步提高创建准确的结果库的速度。这些更新后的模型可从客户站点被上载到远程资源,并且被分析,并且如果确定出细化适用于大多数客户,则使细化经由通信服务器和到其他客户的因特网连接而变得可用。
因此,本发明的发明人已经发现了有意义的工具上仿真能力,其可辅助工具所执行的处理,而不需要专用于工具的昂贵的计算机。基于这个发现,本发明的发明人还开发了新颖的工具上仿真系统,用于提供虚拟传感器读数、提供表征数据以用于开发工具所执行的处理,以及用于提供过程故障检测和过程控制能力。本发明的工具上仿真的这些辅助半导体处理工具所执行的处理的用途可被实现在单个工具和仿真模块上,或者被实现在诸如图3所示的计算和存储资源的互连网络上。
具体而言,工具上仿真结果可被用来增加来自物理传感器的测量数据集合。当代的半导体处理工具的缺点之一是用来表征当前运行的处理(尤其是生产工具上的处理)的传感器数量较少。如果所需传感器的数量很大,则在工具上安装更多的传感器成为很昂贵的建议,而且在很多情形下,在工具上没有用于修改和安装额外的传感器的剩余空间。然而,即使在生产工具中,也存在需要在不能安装传感器的位置进行“测量”的情况。假设存在使用其他实际测量值作为初始和/或边界条件来对测量进行预测的鲁棒的模型,本发明的工具上第一原理仿真能力提供了所需的“测量”,而无需任何额外硬件。在本文中,术语“虚拟传感器”被用来指这样的“传感器”,其中测量值实际上是由来自工具上仿真的预测提供的。
图4是示出了根据本发明实施例的用于使用第一原理仿真技术来提供可辅助半导体处理工具所执行的处理的虚拟传感器读数的过程的流程图。图4所示的过程例如可运行在图1的第一原理仿真处理器108上,或者使用图3的网络体系结构。如图4所示,过程开始于步骤401,其中输入数据以获得与半导体处理工具102所执行的处理有关的虚拟传感器读数。在步骤401输入的数据类型可以是参考图2的步骤201描述的任意数据类型,只要输入的数据使得第一原理仿真能够提供虚拟传感器仿真结果。因此,输入的数据可以是与工具/工具环境的物理属性、工具在半导体晶片上执行的处理,或者是这样的处理的结果有关的数据。此外,步骤401的输入数据可以从耦合到第一原理仿真处理器108的物理传感器或度量工具直接输入,或者从手工输入设备或数据库间接输入。
在使用度量数据作为用于获取虚拟传感器读数的输入数据的一个示例中,度量数据与刻蚀掩模图案有关,并且下层膜厚度可充当到第一原理刻蚀处理模型和后续执行的处理的输入。在执行刻蚀处理之前,对掩模图案的测量可被提供作为到刻蚀处理模型的输入,其中对掩模图案的测量包括给定衬底批次的给定衬底上的一个或多个位置(例如中心和边缘)处的(一个或多个)图案关键尺寸和掩模膜厚度。此外,对下层膜厚度(即,要被刻蚀的膜的膜厚度)的测量也可充当到刻蚀处理模型的输入。在执行针对特定处理配方和上述度量输入数据的第一原理刻蚀处理模型之后,例如在中心和边缘处完成刻蚀处理的时间可被计算作为输出,该输出可用来确定过度刻蚀时段和例如保持中心到边缘特征关键尺寸所必需的任何处理调整。然后,这些结果可被用来调整用于当前或即将处理的衬底批次的处理配方。
在数据通过手工输入设备或数据库被间接输入的情况下,数据可以是自先前运行的处理被记录的数据,例如来自先前运行的处理的传感器数据。或者,数据可以被仿真操作者设置为用于特定仿真的“最佳已知输入参数”,其可以与在处理期间收集的数据有关,或者可以不与在处理期间收集的数据有关。处理工具输入的输入数据类型一般依赖于要获得的希望的虚拟传感器测量。
除了输入输入数据以外,第一原理仿真处理器108还输入第一原理物理模型,以模拟物理传感器,如步骤403所示。步骤403包括输入通过模型被建模的工具的物理属性,以及执行第一原理仿真以获得虚拟传感器读数所必需的第一原理基本等式,其中虚拟传感器读数可代替与半导体处理工具102所执行的处理有关的物理传感器读数。步骤403的第一原理物理模型可从外部存储器或集成到处理器的内部存储器设备被输入到处理器。此外,虽然在图4中步骤403被示为跟在步骤401之后,但是应当理解,第一原理仿真处理器104可同时执行这些步骤,或者以与图4所示顺序相反的顺序执行这些步骤。
在步骤405,诸如图1的处理器108之类的第一原理仿真处理器使用步骤401的输入数据和步骤403的第一原理物理模型来执行第一原理仿真,并提供虚拟传感器测量。步骤405可以与半导体处理工具所执行的处理不同时执行,也可以与半导体处理工具所执行的处理并发执行。不与晶片处理并发运行的仿真可使用根据具有相同或相似处理条件的先前处理过程存储的初始和边界条件。如前面参考图2所述,这适用于仿真的运行比晶片处理慢的情形;例如,在晶片盒之间甚至用于预防性维修的工具停机期间的时间可被用来使仿真模块为所需测量求解。这些“测量”以后可在晶片处理期间被显示,就好像它们是与晶片处理并发地被求解的一样,而且好像处理是在与仿真过程相同的处理条件下被执行的一样。
当第一原理仿真与半导体工具所执行的处理并发运行时,在步骤401中输入的数据可以是来自安装在半导体处理工具上用于在工具所运行的处理期间感应预定参数的物理传感器的数据。在本实施例中,通过使用物理传感器测量来重复更新第一原理仿真模型的边界条件,稳定状态仿真与处理并发地重复运行。生成的虚拟测量数据对工具操作者进行监控是很有用的,并且与物理传感器进行的测量没有任何区别。但是,仿真优选地能够快速运行,从而虚拟测量可以以合理的速率(例如“采样速率”)被更新。第一原理仿真还可在不使用物理传感器输入数据的情况下被并发运行。在本实施例中,仿真的初始和边界条件基于工具处理之前的工具的初始设置和运行之前的物理传感器的读数而被设置;然后,全部依赖于时间的仿真在工具处理期间但是独立于工具处理而被运行。获得的虚拟测量值可被显示给操作者并被操作者分析,就像任何其他被实际测量的工具参数一样。如果仿真运行得比晶片处理快,则仿真结果在晶片处理期间进行的相应的实际测量之前就已知了。提前知道测量值允许实现基于这些测量的各种前馈控制功能,如下所述。
在图4的过程的另一实施例中,第一原理仿真可通过比较虚拟传感器测量值和相应的物理传感器测量值来以自校正模式执行。例如,在利用某种处理配方/工具条件的第一次运行期间,工具操作者将使用模型的“当时最佳已知输入参数”。在每个仿真过程期间和之后,(一个或多个)仿真模块可在由物理传感器进行实际测量的位置处将预测的“测量值”与实际测量值进行比较。如果检测到明显差别,则优化和统计方法可被用来改变输入数据和/或第一原理物理模型本身,直到预测的和实际的测量的数据达到较好的一致。依赖于情况,这些额外的细化的仿真过程可与下一晶片/晶片盒并发地进行,或者在工具离线时运行。一旦知道了细化的输入参数,它们就可被存储在库中以便以后使用,使得不再需要用于相同处理条件的后续输入参数和模型细化。此外,模型和输入数据的细化可经由图3的网络设置被分发到其他工具,使得不再需要在其他这些工具中运行自校正。
一旦仿真被执行以提供虚拟传感器测量,虚拟传感器测量就被用来辅助半导体处理工具102所执行的处理。例如,虚拟传感器测量可被用作到工具控制系统的输入用于各种目的,例如与实际传感器测量的比较、处理中的配方改变、故障检测和操作者警告、处理条件数据库生成、模型和输入数据的细化等等。这些是工具控制系统基于物理传感器进行的测量而执行的典型动作。虚拟传感器测量的使用可以被用来表征或控制处理,如下所述。此外,虚拟传感器测量可被存储在计算机存储介质上的库中,以便以后使用,使得不再需要重复具有相同输入条件的仿真过程,除非模型或输入条件已经有所改变(例如在细化期间)。
除了提供虚拟传感器读数以外,本发明的工具上第一原理仿真能力还辅助半导体工艺的开发。更具体而言,通过使用当前的实验设计工艺开发手段来表征工具上的处理需要用于操作参数的每种变化的不同处理过程,这导致时间耗费以及昂贵的表征过程。本发明的工具上第一原理仿真能力允许在工具本身上的参数变化和假设分析,而无需包括被第一原理仿真很好地建模的那些处理参数的变化的实际处理过程。这允许大大减少表征工具上的处理所需的实验次数。
图5是示出了根据本发明实施例的用于使用第一原理仿真技术来表征半导体处理工具所执行的处理的过程的流程图。图5所示的过程例如可运行在图1的第一原理仿真处理器108上,或者使用图3的体系结构。如图5所示,过程开始于步骤501,其中输入用于获得与半导体处理工具102所执行的处理有关的表征信息的数据。在步骤501中输入的数据可以是参考图2的步骤201描述的任何数据类型,只要输入数据使得第一原理仿真能够提供用于表征半导体处理工具所执行的处理的仿真结果。因此,该输入数据可以是与工具/工具环境的物理属性、工具在半导体晶片上执行的处理或者这种处理的结果有关的数据。此外,步骤501的输入数据可以从耦合到第一原理仿真处理器104的物理传感器或度量工具直接输入,或者从手工输入设备或数据库间接输入。数据还可以从如参考图4所述的提供虚拟传感器读数的仿真模块输入。在数据通过手工输入设备或数据库间接输入的情况下,数据可以是从先前运行的处理记录的数据,例如来自先前运行的处理的传感器数据。或者,数据可以被仿真操作者设置为用于特定仿真的“最佳已知输入参数”,其可以与处理期间收集的数据有关,或者可以不与处理期间收集的数据有关。处理工具输入的输入数据类型一般依赖于要获得的希望的表征数据。
除了输入输入数据以外,第一原理仿真处理器108还输入用于表征处理的第一原理物理模型,如步骤503所示。步骤203包括输入通过模型被建模的工具的物理属性,以及执行第一原理仿真以获得用于半导体处理工具102所执行的处理的表征数据所必需的一般被编码在软件中的第一原理基本等式。步骤503的第一原理物理模型可从外部存储器或集成到处理器的内部存储器设备被输入到处理器。此外,虽然在图5中步骤503被示为跟在步骤501之后,但是应当理解,第一原理仿真处理器108可同时执行这些步骤,或者以与图5所示顺序相反的顺序执行这些步骤。
在步骤505,例如图1的处理器108之类的第一原理仿真处理器使用步骤501的输入数据和步骤503的第一原理物理模型,来执行第一原理仿真,并提供用来表征处理的仿真结果。步骤505可以与半导体处理工具所执行的处理不同时地被执行,也可与半导体处理工具所执行的处理并发地被执行。不与工具处理并发运行的仿真可使用根据具有相同或相似处理条件的先前的处理过程存储的初始和边界条件。如上面参照图2所述,这适用于仿真运行得比晶片处理慢的情形,并且在晶片盒之间甚至用于预防性维修的工具停工期间的时间可被用来使仿真模块为所需仿真结果求解。
在第一原理仿真与半导体处理工具所执行的处理并发运行的情况下,第一原理仿真可提供对与通过仿真所执行的实验处理所测试的参数相同或不同的参数的表征数据。例如,第一原理仿真可被执行,以提供通过半导体处理工具所执行的实验处理的设计而被测试的参数的变化。或者,第一原理仿真可提供与在半导体处理工具上执行的实验中被测试的参数不同的参数的表征数据。
一旦在步骤505执行仿真,仿真结果就被用作用于表征半导体处理工具所执行的处理的数据集合的一部分,如步骤507所示。如上所述,将仿真结果用作表征数据可显著减少或消除表征处理的实验设计手段所需的昂贵的实验和时间的消耗。该表征数据集合可被存储在库中,以便在工具执行的以后的处理中使用。
本发明的工具上第一原理仿真能力还可被用来提供故障检测和过程控制。半导体处理工具所执行的处理的故障检测和过程控制的现有方法在本质上大多是统计性的。这些方法所需的实验设计方法具有在改变工具的所有操作参数的同时执行多个处理过程的负担。这些处理过程的结果被记录在用于查找、内插、外插、灵敏度分析等的数据库中,以便感应或控制半导体处理工具的处理。
但是,为了使这些统计方法能够在变化很大的操作条件下可靠地感应和控制工具,数据库必须足够大,以覆盖所有操作条件,而这使得数据库的产生相当麻烦。本发明的工具上第一原理仿真能力不需要创建任何这种数据库,因为给定准确的工作模型和准确的输入数据,对处理条件的工具响应从物理第一原理被直接和准确地预测。但是,随着在不同操作条件下的更多运行时信息变得可用,统计方法还是可被用来细化工作模型和输入数据,但是本发明并不要求具有这样的信息来用于处理感应和控制能力。事实上,处理模型可提供这样的基础,在该基础上,可通过使用处理模型来将那些已知的经验解扩展到在物理上尚未得到经验结果的“解”,来对处理进行经验性控制。因此,本发明在一个实施例中通过用第一原理仿真模块解来补充已知(即在物理上观察到的)解,从而经验性地表征处理工具,其中仿真模块解与已知解一致。最后,随着更好的统计进展,仿真模块解可被经验解的数据库取代。
在本发明的一个实施例中,工具上第一原理仿真不需要创建或访问数据库,因为对处理条件的工具响应是从第一原理直接预测的。随着在不同操作条件下的更多运行时信息变为可用,统计方法还是可被用来细化工作模型和输入数据,但是本实施例并不要求具有这样的信息来用于处理感应和控制以及故障检测。
图6是根据本发明实施例的用于使用第一原理仿真技术来控制半导体处理工具所执行的处理的系统的框图。如图所示,该系统包括耦合到先进过程控制(APC)基础设施604的处理工具602,APC基础设施604包括仿真模块606、APC控制器608和库610。度量工具612和远程控制器614也耦合到APC基础设施604。如图6所示,库610可包括解数据库616和栅格(grid)数据库618。
处理工具602可被实现为参考图1描述的半导体处理工具102。从而,处理工具602例如可以是材料处理系统、刻蚀系统、光刻胶旋涂系统、光刻系统、电介质涂敷系统、沉积系统、用于热退火的快速热处理(RTP)系统,和/或批扩散炉或其他合适的半导体制造处理系统。如图6所示,处理工具602提供工具数据到仿真模块606并从APC控制器608接收控制数据,如下所述。处理工具602还耦合到度量工具612,其提供处理结果信息到仿真模块606。
仿真模块606是计算机、工作站或能够执行第一原理仿真技术以控制工具602所执行的处理的其他处理设备,因此可被实现为参考图3描述的仿真模块302。因此,仿真工具602包括参考图1描述的第一原理物理模型106和第一原理仿真处理器108,以及可能有助于执行第一原理仿真以控制处理的任何其他硬件和/或软件。在图6的实施例中,仿真模块606被配置为接收来自工具602上的一个或多个诊断的工具数据,以用于仿真模型执行期间的处理和后续使用。工具数据可包括上述流体力学数据、电学数据、化学数据、热和机械数据,或者上面参考图1和图2描述的任何输入数据。在图6的实施例中,工具数据可被用来确定用于要在仿真模块606上执行的模型的边界条件和初始条件。模型例如可包括上述ANSYS、FLUENT或CFD-ACE+代码,以计算流场、电磁场、温度场、化学性质、表面化学性质(即刻蚀表面化学性质或沉积表面化学性质)等。从第一原理开发的模型可解析处理系统内的细节,以提供用于工具的过程控制的输入。
APC控制器608耦合到仿真模块606,以接收来自仿真模块606的仿真结果,以及使用该仿真结果来实现用于工具602上所执行的处理的处理的过程调整/校正的控制方法。例如,可进行调整来校正处理不均匀性。在本发明的一个实施例中,以当前在处理工具602上运行的处理的过程解为中心,在仿真模块606上执行一个或多个扰动解。然后,扰动解可例如利用非线性优化方案而被使用,以确定用于应用校正的n维空间内的方向,其中非线性优化方案例如是最速下降方法(Numerical Methods,Dahlquist &Bjorck,Prentice-Hall,Inc.,Englewood Cliffs,NJ,1974,p.441;NumericalRecipes,Press et al.,Cambridge University Press,Cambridge,1989,pp.289-306)。然后,校正可被APC控制器608实现在处理工具602上。例如,给定当前初始/边界条件,至少一个工具数据(即物理传感器数据)或来自仿真的当前执行的结果可表明处理系统呈现出衬底上的非均匀静压场。非均匀性又可引起用于量化衬底处理的性能的衬底上的观察到的一个度量(即关键尺寸、特征深度、膜厚度等)的非均匀性,所述度量由度量工具测量。通过扰动到当前的仿真执行的输入参数,可获得一组扰动解,以便确定为了去除或减小静压不均匀性而应采取的最佳“路径”。例如,用于处理的输入参数可包括压力、功率(被提供给电极以产生等离子体)、气体流率,等等。在一次扰动一个输入参数并保持所有其他输入参数恒定的同时,灵敏度矩阵可被形成,其可与上述优化方案一起用来导出适于校正处理非均匀性的校正。
在本发明的其他实施例中,仿真结果结合公式化的主元分析(PCA)模型一起使用,PCA模型在题为“Method of detecting,identifying,andcorrecting process performance”的未决美国专利申请No.60/343174中有所描述,该申请的全部内容通过引用被包含于此。其中,使用多变量分析(即PCA),可以确定被仿真的特征(signature)(即仿真模型结果的空间分量)和一组(至少一个)可控处理参数之间的关系。该关系可被用来细化与处理性能参数(即模型结果)相对应的数据配置(data profile)。主元分析确定半导体处理工具的仿真的结果(或预测的输出)的空间分量和一组(至少一个)控制变量(或输入参数)之间的关系。确定出的关系被用来确定对所述至少一个控制变量(或输入参数)的校正,以最小化空间分量的大小,从而改善(或降低)仿真结果(或者测量结果,如果可用的话)的不均匀性。
如上所述,图6中耦合到仿真模块606的库610被配置为包括解数据库616和栅格数据库618。解数据库616可包括解的粗n维数据库,n维空间的阶数n由给定的求解算法的独立参数的数目决定。当仿真模块606获取用于给定的处理过程的工具数据时,库610可基于模型输入而被搜索,以确定最接近的拟合解。根据本发明,该解可被用作为后续的第一原理仿真的初始条件,从而减少了仿真模块为了提供仿真结果而需要执行的迭代次数。利用每次模型执行,新的解可被添加到解数据库616。此外,栅格数据库618可包括一个或多个栅格集合,每个栅格集合针对一个给定处理工具或处理工具度量。每个栅格集合可包括一个或多个具有从粗到细的不同栅格分辨率的栅格。通过执行多栅格求解技术(即在粗栅格上对仿真结果求解,然后在更细的栅格上求解,然后用最细的栅格,等等),可以利用对栅格的选择来减少求解时间。
度量工具612可被配置为测量处理性能参数,例如:刻蚀速率、沉积速率、刻蚀选择性(第一材料被刻蚀的速率与第二材料被刻蚀的速率之比)、刻蚀关键尺寸(例如特征长度或宽度)、刻蚀特征各向异性(例如刻蚀特征的侧壁轮廓)、膜特性(例如膜应力、孔隙率等)、掩模(例如光刻胶)膜厚度、掩模(例如光刻胶)图案关键尺寸,或者半导体处理工具所执行的处理的任何其他参数。远程控制器612与仿真模块606交换信息,包括模型解算机参数(即解算机参数更新)、解状态、模型解和解收敛历史。
图7是示出根据本发明实施例的用于使用第一原理仿真技术来控制半导体处理工具所执行的处理的过程的流程图。该流程图开始于步骤702,用于在例如处理工具602这样的处理工具内处理一块或一批衬底。在步骤704,工具数据被测量并且被提供作为到例如仿真模块606这样的仿真模块的输入。然后,边界条件和初始条件被加在仿真模块的第一原理物理模型上,以设置模型,如步骤706所示。在步骤708,第一原理物理模型被执行,以提供第一原理仿真结果,其被输出到例如图6的APC控制器608这样的控制器。然后,控制器从仿真结果确定控制信号,如步骤710所示。在任意时刻,例如从一个过程到另一过程或从一个批次到另一批次的时刻,操作者都有机会选择要在APC控制器608内采用的控制算法。例如,APC控制器可利用处理模型扰动结果或PCA模型结果。在过程间或批次间,使用仿真结果,处理可被控制器调整/校正,如步骤712所示。
在本发明的另一实施例中,经验模型可与第一原理仿真结合使用,以提供对处理工具所执行的处理的控制。图8是根据本发明实施例的用于使用第一原理仿真技术和经验模型来控制半导体处理工具所执行的处理的系统的框图。如图所示,该系统包括耦合到先进过程控制(APC)基础设施804的处理工具802,APC基础设施804包括仿真模块806和APC控制器808。度量工具812和远程控制器814也耦合到APC基础设施804。这些部件类似于参考图6描述的相应部件,但是图8的部件被进一步配置为在考虑经验模型的情况下工作。因此,这些相似的部件将不再参考图8进行描述。
如图8所示,系统包括模型分析处理器840,其耦合到仿真模块806,并被配置为接收来自模型806的仿真结果。在图8的实施例中,模型分析包括从没被维度化的仿真结果构建经验模型。随着仿真结果在过程间或批次间的基础上被接收,经验模型被构建并存储在经验模型库842中。例如,处理工具802经历了经过产量的逐渐增加而从处理开发到量产的处理周期历史。在这些处理周期中,工具的处理室通过室熟化(qualification)和陈化(seasoning)而从“干净的”室演化为室清洁和维修前的“老化(aged)”室。在若干维修周期之后,经验模型可演化为包括与特定处理工具及其相关处理相对应的参数空间的在统计上足够的样本。换言之,通过清洁周期、处理周期和维修周期,工具802(在仿真模块的帮助下)固有地确定了参数空间的边界。最后,存储在库842中的经演化的经验模型可取代基于第一原理仿真的一般是更密集的处理模型,并且可提供到APC控制器的输入以用于处理调整/校正。
如图8所示,远程控制器814可被耦合到经验模型库842,以监控经验模型的演化,并且执行判决以推翻仿真模块控制器输入并选择经验模型控制器输入。此外,度量工具814可被耦合到经验模型库(该连接未被示出),以类似地提供输入到经验模型库以用于校准。
图9是示出了根据本发明实施例的用于使用第一原理仿真技术和经验模型来控制半导体处理工具所执行的处理的过程的流程图。该流程图开始于步骤902,其中在例如处理工具802这样的处理工具内处理一块或一批衬底。在步骤904,工具数据被测量并且被提供作为到例如仿真模块806这样的仿真模块的输入。然后,边界条件和初始条件被施加在仿真模块的第一原理物理模型上,以设置模型,如步骤906所示。在步骤908,第一原理物理模型被执行,以提供第一原理仿真结果,其被输出用于分析和构建经验模型,如步骤910所示。
在任意时刻,例如从一个过程到另一过程或从一个批次到另一批次的时刻,操作者都有机会基于第一原理仿真或经验模型来选择过程控制。在建立经验模型的某些时候,操作者可选择推翻第一原理仿真,并赞同使用经验模型,该经验模型此时可使用数据的库和内插/外插方案,来迅速提取用于给定工具数据集合的控制器输入。因此,判断块912确定是使用第一原理仿真还是经验模型来控制处理。如果在步骤912确定不推翻,则处理进行到步骤914,使用APC控制器从仿真结果确定控制信号。当选择模型推翻时,APC控制器从经验模型确定控制信号,如步骤916所示。在另一实施例中,第一原理仿真结果与经验建模的组合可被APC控制器用来控制处理。如步骤918所示,使用步骤914所示的模型输出或步骤916所示的经验模型输出,处理可被控制器调节/校正。因此,图9的过程示出了原位(in-situ)构建经验模型的方法,并且一旦变得在统计上明显,经验模型就可推翻计算密集的仿真处理模型。在过程控制期间,可以采用例如指数加权移动平均(EWMA)滤波器这样的滤波器,以便仅给予被请求的校正的一部分。例如,滤波器的应用可采用形式Xnew=(1-λ)Xold+λ(Xpredicted-Xold),其中Xnew是给定的输入参数(控制变量)的新值,Xold是给定的输入参数的旧(或先前用过的值),Xpredicted是基于上述技术之一的输入参数的预测值,λ是范围为0到1的滤波器系数。
在本发明的另一实施例中,故障检测器/分类器可与第一原理仿真一起使用,以提供对处理工具所执行的处理的控制。图10是根据本发明实施例的用于使用第一原理仿真技术和故障检测器来控制半导体处理工具所执行的处理的系统的框图。如图所示,该系统包括耦合到先进过程控制(APC)基础设施1004的处理工具1002,该APC基础设施1004包括仿真模块1006和APC控制器1008以及库1010。虽然未在图10中示出,但是库1010包括解数据库和栅格数据库。度量工具1012和远程控制器1014也耦合到APC基础设施1004。这些部件与参考图6描述的相应部件类似,只是图10的部件被进一步配置为在考虑到故障检测的情况下工作。因此,这些类似的部件不再参考图10进行描述。
如图10所示,该系统包括耦合到仿真模块1006的故障检测器1040,其被配置为接收来自模块1006的仿真结果。例如,仿真模块1006的输出可包括数据配置。然后,该数据配置可作为到例如在故障检测设备1040中执行的部分最小二乘(PLS)这样的多变量分析的输入。在PSL分析中,一组载荷(相关)系数可被定义,该系数将工具扰动数据( X)与处理性能数据( Y)联系起来,从而描述仿真结果Ysim和实际结果Yreal之间的差别。
例如,使用PLS,工具扰动数据的观测值集合被故障检测器1040从仿真模块接收。工具扰动数据以当前模型解为中心在原位确定,或者使用处理模型在n维解空间内被预先确定。n维参数空间的阶数(n)与解空间中的独立参数(即压力、质量流率、温度等;见下)的数目有关。
对于给定的扰动集合,各扰动的微分(即Y/v1、Y/v2、Y/v3;其中v1、v2、v3是不同的独立参数)被存储在矩阵 X内。对于每个观测集合,工具扰动数据可被存储为矩阵 X中的列,而性能数据(即Ysim-Yreal)可被存储为矩阵 Y中的列。因此,一旦矩阵 X被形成,则每一行代表不同的扰动观测值,每一列代表不同的工具数据参数。一旦矩阵 Y被形成,则每一行代表不同的观测值,每一列代表不同的处理性能参数。一般地,矩阵 X可以是m×n矩阵,而矩阵 Y可以是m×p矩阵。一旦所有数据都被存储在矩阵中,则数据可根据需要以平均值为中心和/或被归一化。存储在矩阵列中的数据的以平均值为中心的处理涉及计算列元素的平均值并从每个元素减去该平均值。此外,矩阵的列中的数据可通过列中数据的标准差归一化。
一般地,对于多变量分析,工具数据和处理性能数据之间的关系可被表达如下:
XB= Y;(1)
其中 X代表上述m×n矩阵, B代表n×p(p<n)载荷(或相关)矩阵, Y代表上述m×p矩阵。一旦数据矩阵 X和 Y被形成,就使用PLS分析来建立被设计为最好地近似 X和 Y空间并最大化 X与 Y之间的相关性的关系。
在PLS分析模型中,矩阵 X和 Y被分解如下:
X= TPT+ E  (2a)
Y= UCT+ F  (2b)
以及
U= TT+ H   (2c)
其中 T是总结 X变量的得分(score)的矩阵, P是用于矩阵 X的载荷的矩阵, U是总结 Y变量的得分的矩阵, C是表达 Y和 T( X)之间的相关性的权值矩阵, E、 F和 H是残差矩阵。此外,在PLS分析模型中,存在将 U和 X进行相关的被称为权值的额外载荷 W,其被用于计算 T。
总的来说,PLS分析在几何上对应于对表现为多维空间中的点的 X和Y数据两者进行直线、平面或超平面拟合,其目的是紧密近似原始数据表X和 Y,并最大化超平面上的观测位置之间的协方差。
图11提供了到PLS分析的数据输入 X和 Y的示意表示和相应的输出T、 P、 U、 C、 W、 E、 F、 H和变量投影重要性(VIP)。可购买到的支持PLS分析建模的软件的一个示例是与MATLAB一起提供的PLS Toolbox(可从Mathworks,Inc.,Natick,MA购买),或SIMCA-P8.0(可从Umertrics,Kinnelon,NJ购买)。例如,关于该软件的进一步细节在SIMCA-P8.0的用户手册中提供:多变量数据分析中的一个新标准是Umetrics AB,Version8.0,其也适用于本发明。一旦矩阵被形成,矩阵 X就针对每个仿真结果被确定。仿真结果与实际结果之间的任何差别都可被确定,并使用PLS分析和VIP结果而被归因于特定的(独立的)处理参数。例如,从PLS模型输出的最大VIP值对应于最有可能造成该差别的处理参数。
图12是示出了根据本发明实施例的用于使用第一原理仿真技术来检测故障和控制半导体处理工具所执行的处理的过程的流程图。该流程图开始于步骤1202,其中在例如处理工具1002这样的处理工具内处理一块或一批衬底。在步骤1204,工具数据被测量并被提供作为到例如仿真模块1006这样的仿真模块的输入。然后,边界条件和初始条件被施加在仿真模块的物理模型上,以设置模型,如步骤1026所示。在步骤1208,第一原理物理模型被执行,以执行第一原理仿真结果,该结果是到例如图10的APC控制器1008这样的控制器的输出。在任何时刻,例如在从一个过程到另一个过程或从一个批次到另一批次的任意时刻,操作者都有机会选择要在APC控制器内采用的控制模型。例如,APC控制器可使用处理模型扰动结果或PCA模型结果。在过程间或批次间,控制器可使用模型输出来对处理进行调整/校正。在步骤1010,处理模型的输出充当到故障检测器1040中的PLS模型的输入,允许故障在步骤1012被检测和分类。例如,如上所述,实际处理性能Yreal和用于给定的处理条件(即一组输出控制变量)的仿真(或预测)的处理性能Ysim之间的差别可被用来确定过程故障的存在,其中Yreal是使用物理传感器或度量工具测量得到的,而Ysim是通过执行被提供了用于当前处理条件的输入的仿真来确定的。如果实际结果和仿真结果之间的差别(或方差、均方根或其他统计量)超过了预定阈值,则可预测出已发生了故障。预定阈值例如可以包括特定数据的平均值的百分比,即5%、10%、15%,或可以是数据的均方根的倍数,即1σ、2σ、3σ。一旦检测到故障,就可以使用PLS分析进行对其分类。例如,已针对给定的输入条件(即一组输入控制变量)确定了灵敏度矩阵X(并且可能已被存储在库1010中)。工具扰动数据(灵敏度矩阵)以当前模型解为中心被在原位确定,或者使用处理模型在n维解空间内被预先确定。使用灵敏度矩阵和实际结果与仿真结果之间的差别,可使用PLS分析来对等式(1)求解,以识别那些与观察到的实际结果和仿真结果之间的差别呈最大相关性的控制变量(输入参数)。使用上面提供的示例,可通过衬底上的空间的静压分布,来总结处理性能。实际结果Yreal代表测量得到的压力分布,而Ysim代表仿真得到的压力分布。假设气体流率被设定,但是质量流控制器使流率加倍(但是报告设置值)。可以预期会看到仿真的和测量的(实际)压力分布之间有差别;即在实际情形和仿真情形之间,流率被除以因子2。实际结果和仿真结果之间的差别可能大到足够超过预定阈值。使用PLS分析,可以识别出那些趋于对压力分布产生最大影响的参数,例如气体流率。故障的存在及其特性可作为处理工具故障状态被报告给操作者,或者可以使得APC控制器响应于故障检测来执行对处理工具的控制(例如关机)。
图13是可以应用本发明的过程控制实施例的真空处理系统的框图。图13所示的真空处理系统是示例性的,其不以任何方式限制本发明的范围。真空处理系统包括处理工具1302,其具有用于支撑衬底1305的衬底固定器1304、充气系统1306和真空泵浦系统。充气系统1306可包括充气板、充气压力间(gas injection plenum)和充气压力间中的一个或多个充气隔板。充气压力间可被耦合到一个或多个气源,例如气体A和气体B,其中气体A和气体B进入处理系统的质量流率受两个质量流控制器MFCA1308和MFCB1310的影响。此外,用于测量压力P1的压力传感器1312可被耦合到充气压力间。衬底固定器例如可包括多个组件,这些组件包括但不限于用于提高衬底和衬底固定器之间的气隙导热性的氦气源、静电夹持系统、包括冷却元件和加热元件的温度控制元件,以及用于将衬底移至衬底固定器表面和从该表面移开的顶针(lift pin)。此外,衬底固定器可包括用于测量衬底固定器温度(T1)或衬底温度的温度传感器(T1),以及用于测量冷却剂温度(T3)的温度传感器1316。如上所述,氦气被提供给衬底背面,其中气隙压力(P(He))可能在一个或多个位置有所变化。此外,另一压力传感器1318可被耦合到处理工具,以测量处理室压力(P2),另一温度传感器1320可被耦合到处理工具,以测量表面温度(T2),另一压力传感器1322可被耦合到真空泵系统的入口,以测量入口压力(P3)。
诊断控制器1324可被耦合到上述每个传感器,并且可被配置为将来自这些传感器的测量提供给上述仿真模块。对于图13的示例性系统,在仿真模块上执行的模型例如可包括3个组件,即热组件、气体动力组件和化学组件。在第一组件中,气隙压力场可被确定,然后气隙导热性被计算。然后,通过适当地设置边界条件(和内部条件),衬底(以及衬底固定器)的空间解析温度场可被确定,所述边界条件(和内部条件)例如是边界温度或边界热通量、电阻加热元件中积聚的功率、冷却元件中移除的功率、由于等离子体的存在而导致的衬底表面的热通量,等等。
在本发明的一个实施例中,ANSYS被用来计算温度场。利用处理模型的第二组件(即气体动力组件),可使用在热组件中计算的表面温度以及若干前述测量,来确定气体压力场和速度场。例如,质量流率和压力(P1)可被用来确定入口条件,而压力(P3)可被用来确定出口条件,CFD-ACE+可被用来计算气体压力和速度场。使用化学模型(即第三组件),先前计算的速度、压力和温度场可被用作到化学模型的输入,以例如计算刻蚀速率。依赖于处理工具几何复杂度,这些模型组件中的每一个可在批次间处理周期内的时间刻度上执行。这些组件中的任何一个例如都可被用来提供空间均匀性数据,作为到过程控制、方法论、过程表征和/或故障检测/分类的输入。
从获得的模型和响应于对处理条件中的改变和/或例如反应器老化这样的影响而对处理进行的分析,经验模型可随着时间的流逝被相似化。这样,当在反应器上重复的次数在统计上变得很大(由标准统计分析程序确定)时,对于那些基本上是先前运行的操作的“重复”的处理来说,过程控制演化为基于经验的控制。但是,根据本发明,如果有必要,则过程控制返回执行第一原理仿真的能力,以适应新处理或处理几何结果的改变。
图14示出了其上可实现本发明的实施例的计算机系统1401。计算机系统1401可被用作为第一原理仿真处理器108,以执行上述第一原理仿真处理器的任何或全部功能,或者可被用作为任何其他设备,或者执行参考图1~图13所述的任何过程步骤。计算机系统1401包括总线1402或其他用于传输信息的通信机制,以及与总线1402耦合的用于处理信息的处理器1403。计算机系统1401还包括耦合到总线1402用于存储要被处理器1403执行的信息和指令的主存储器1404,例如随机访问存储器(RAM)或其他动态存储器件(例如动态RAM(DRAM)、静态RAM(SRAM)和同步DRAM(SDRAM))。此外,主存储器1404可被用来存储处理器1403执行指令期间的临时变量或其他中间信息。计算机系统1401还包括只读存储器(ROM)1405或其他静态存储器件(例如可编程ROM(PROM)、可擦除PROM(EPROM)和电可擦除PROM(EEPROM)),其耦合到总线1402,用于存储静态信息和用于处理器1403的指令。
计算机系统1401还包括耦合到总线1402用于控制一个或多个用于存储信息和指令的存储器件的盘控制器1406,所述存储器件例如是磁硬盘1407以及可移动介质驱动器1408(例如软盘驱动器、只读压缩盘驱动器、读/写压缩盘驱动器、压缩盘唱片机、磁带驱动器和可移动磁光驱动器)。存储器件可使用适当的器件接口(例如小计算机系统接口(SCSI)、集成器件电子系统(IDE)、增强型IDE(E-IDE)、直接存储器访问(DMA)或ultra-DMA)被添加到计算机系统1401。
计算机系统1401还可包括专用逻辑器件(例如专用集成电路(ASIC))或可配置逻辑器件(例如简单可编程逻辑器件(SPLD))、复杂可编程逻辑器件(CPLD),以及现场可编程门阵列(FPGA)。
计算机系统1401还可包括耦合到总线1402用于控制显示器1410的显示控制器1409,显示器1410例如是阴极射线管(CRT),用于向计算机用户显示信息。计算机系统包括输入设备,例如键盘1411和点选设备1412,用于与计算机用户交互并向处理器1403提供信息。点选设备1412例如可以是鼠标、轨迹球或点选杆,用于向处理器1403传送直接信息和命令选择,还用于控制显示器1410上的光标移动。此外,打印机可以提供计算机系统1401存储和/或生成的数据的打印列表。
响应于处理器1403执行例如主存储器1404这样的存储器中包含的一个或多个指令的一个或多个序列,计算机系统1401执行本发明的处理步骤中的部分或全部。这样的指令可从诸如硬盘1407或可移动介质驱动器1408这样的另一计算机可读介质被读入主存储器1404。也可以使用多处理布置中的一个或多个处理器来执行主存储器1404中包含的指令序列。在替换的实施例中,硬连线电路可被用来代替软件指令或与软件指令组合使用。因此,实施例并不限于硬件电路和软件的任何特定组合。
如上所述,计算机系统1401包括至少一个计算机可读介质或存储器,用于保存根据本发明教导而编程的指令和用于包含数据结构、表、记录或这里描述的其他数据。计算机可读介质的示例是压缩盘、硬盘、软盘、磁带、磁光盘、PROM(EPROM、EEPROM、快闪EPROM)、DRAM、SRAM、SDRAM或任何其他磁介质、压缩盘(例如CD-ROM)或任何其他光介质、打孔卡、纸带,或任何其他具有孔图案的物理介质、载波(见下述)、或计算机可读的任何其他介质。
本发明包括被存储在任何计算机可读介质或其组合上用于控制计算机系统1401的软件,其用于驱动一个或多个设备来执行本发明,并使得计算机系统1401与人类用户(例如打印生产人员)交互。这种软件可包括但不限于设备驱动器、操作系统、开发工具和应用软件。这种计算机可读介质还包括用于执行在实现本发明时所执行的处理的全部或部分(如果处理是分布式的)的本发明的计算机程序产品。
本发明的计算机代码设备可以是任何可解释或可执行的代码机制,包括但不限于脚本、可解释程序、动态链接库(DLL)、Java类和完全可执行程序。此外,本发明的处理的各个部分可被分布以获得更好的性能、可靠性和/或成本。
这里使用的术语“计算机可读介质”是指参与向处理器1403提供指令用于执行的任意介质。计算机可读介质可采取多种形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质例如包括光、磁盘和磁光盘,例如硬盘1407或可移动介质驱动器1408。易失性介质包括动态存储器,例如主存储器1404。传输介质包括同轴电缆、铜线和光纤,包括构成总线1402的线路。传输介质还可采取声或光波的形式,例如在无线电波和红外线数据通信期间生成的波。
在向处理器1403运送一个或多个指令的一个或多个序列时可涉及各种形式的计算机可读介质。例如,指令最初可被承载在远程计算机的磁盘上。远程计算机可将实现本发明的全部或部分的指令远程加载到动态存储器,并使用调制解调器在电话线路上发送指令。计算机系统1401本地的调制解调器可接收电话线路上的数据,并使用红外线发射器将数据转换为红外线信号。耦合到总线1402的红外线检测器可接收红外线信号中承载的数据,并将数据放在总线1402上。总线1402将数据运送到主存储器1404,处理器1403从主存储器1404获取并执行指令。主存储器1404接收的指令可以可选地在被处理器1403执行之前或者之后被存储在存储器件1407或1408上。
计算机系统1401还包括耦合到总线1402的通信接口1413。通信接口1413提供耦合到网络链路1414的双向数据通信,网络链路1414例如连接到局域网(LAN)1415,或连接到另一通信网络1416,例如因特网。例如,通信接口1413可以是附接到任何分组交换LAN的网络接口卡。作为另一示例,通信接口1413可以是非对称数字订户线路(ADSL)卡、综合服务数字网络(ISDN)卡或调制解调器,以提供到相应类型的通信线路的数据通信连接。也可实现无线链路。在这种实现方式中,通信接口1413发送和接收承载代表各种信息的数字数据流的电、电磁或光信号。
网络链路1414一般提供通过一个或多个网络到其他数据设备的数据通信。例如,网络链路1414可通过本地网络1415(例如LAN)或通过由服务提供商操作的设备来提供到另一计算机的连接,其中设备提供商通过通信网络1416来提供通信服务。本地网络1414和通信网络1416例如使用承载数字数据流的电、电磁或光信号以及相关的物理层(例如CAT5电缆、同轴电缆、光纤等等)。通过各种网络的信号和通过通信接口1413在网络链路1414上的信号(这些信号承载去往和来自计算机系统1401的数字数据)可被实现为基带信号或基于载波的信号。基带信号将数字数据传达为未调制的电脉冲,这些电脉冲描述数字数据比特流,其中术语“比特”应被广义地理解为意思是符号,其中每个符号传达至少一个或多个信息比特。数字数据还可被用来调制载波,例如用通过导电介质传播或通过传播介质作为电磁波传输的幅度、相位和/或频移键控信号进行调制。因此,数字信号可以作为未调制的基带数据,通过“有线”通信信道被发送,和/或通过调制载波,在与基带不同的预定频带内被发送。计算机系统1401可通过(一个或多个)网络1415和1416、网络链路1414和通信接口1413发送和接收数据,包括程序代码。此外,网络链路1414可提供通过LAN 1415到移动设备1417的连接,移动设备1417例如是个人数字助理(PDA)膝上型计算机,或蜂窝电话。
根据上述教导可对本发明作出各种修改和改变。因此应当理解,在所附权利要求的范围内,本发明可以这里描述的方式之外的方式实现。例如,这里所述和权利要求中叙述的处理步骤可以同时执行或与它们被描述或列举的顺序不同的顺序执行。本领域的普通技术人员应当理解,在较后的处理步骤被执行以前,仅那些较后的处理步骤的性能必需的处理步骤需要被执行。

Claims (110)

1.一种用于辅助半导体处理工具所执行的处理的方法,包括:
输入与所述半导体处理工具所执行的处理有关的数据;
输入与所述半导体处理工具有关的第一原理物理模型;
使用所述输入数据和所述物理模型来执行第一原理仿真,以提供与所述半导体处理工具所执行的处理有关的虚拟传感器测量;以及
使用所述虚拟传感器测量来辅助所述半导体处理工具所执行的处理。
2.如权利要求1所述的方法,其中所述输入操作包括从被物理地安装在所述半导体处理工具上的物理传感器和度量工具中的至少一个直接输入与所述半导体处理工具所执行的处理有关的数据。
3.如权利要求1所述的方法,其中所述输入操作包括从手工输入设备和数据库中的至少一个间接输入与所述半导体处理工具所执行的处理有关的数据。
4.如权利要求3所述的方法,其中所述间接输入操作包括输入从所述半导体处理工具先前执行的处理而记录的数据。
5.如权利要求3所述的方法,其中所述间接输入操作包括输入由仿真操作者设置的数据。
6.如权利要求1所述的方法,其中所述输入数据的操作包括输入与所述半导体工具环境和所述半导体处理工具的物理特性中的至少一个有关的数据。
7.如权利要求1所述的方法,其中所述输入数据的操作包括输入与所述半导体处理工具所执行的处理的特性和结果中的至少一个有关的数据。
8.如权利要求1所述的方法,其中所述输入第一原理物理模型包括输入所述半导体处理工具的几何的空间解析模型。
9.如权利要求1所述的方法,其中所述输入第一原理物理模型包括输入执行第一原理仿真以获得虚拟传感器读数所需的基本等式。
10.如权利要求1所述的方法,其中所述执行第一原理仿真包括与所述半导体处理工具所执行的处理并发地执行第一原理仿真。
11.如权利要求10所述的方法,还包括:
在所述半导体处理期间反复地更新来自所述物理传感器或度量工具的数据;
在所述半导体处理期间反复地使用更新后的数据执行所述第一原理仿真;以及
基于在所述半导体处理期间获得的虚拟传感器测量,与运行所述半导体处理并发地辅助所述半导体处理。
12.如权利要求10所述的方法,还包括:
在所述半导体处理开始之前,设置用于所述第一原理仿真的边界条件;
在所述半导体处理期间并且在没有来自所述半导体处理的直接输入的情况下,执行对所述半导体处理的时间相关仿真;以及
基于在所述半导体处理期间获得的虚拟传感器测量,与运行所述半导体处理并发地辅助所述半导体处理。
13.如权利要求1所述的方法,其中所述执行第一原理仿真包括不与所述半导体处理工具所执行的处理并发地来执行第一原理仿真。
14.如权利要求13所述的方法,其中所述输入数据的操作包括输入从先前执行的处理而记录的所述第一原理仿真的初始和边界条件中的至少一个。
15.如权利要求3所述的方法,其中所述间接输入操作包括输入用于所述物理模型的最佳已知输入参数。
16.如权利要求15所述的方法,还包括:
将所述虚拟传感器测量与实际传感器测量进行比较;以及
细化所述最佳已知输入参数和所述物理模型中的至少一个,以获得所述虚拟传感器测量与实际传感器测量之间更好的一致。
17.如权利要求1所述的方法,其中所述使用所述虚拟传感器测量包括使用所述虚拟传感器测量来表征所述半导体处理工具所执行的处理。
18.如权利要求1所述的方法,其中所述使用所述虚拟传感器测量包括使用所述虚拟传感器测量来控制所述半导体处理工具所执行的处理。
19.如权利要求1所述的方法,其中所述使用所述虚拟传感器测量包括使用所述虚拟传感器测量来检测所述半导体处理工具所执行的处理中的故障。
20.如权利要求1所述的方法,还包括将所述虚拟传感器测量存储在库中以便以后在第一原理仿真中使用。
21.如权利要求1所述的方法,还包括使用互连资源的网络来执行权利要求1所述的处理步骤中的至少一个。
22.如权利要求21所述的方法,还包括使用互连计算资源之间的代码并行化来分摊所述第一原理仿真的计算负荷。
23.如权利要求21所述的方法,还包括在互连资源之间共享仿真信息以辅助所述半导体处理工具所执行的处理。
24.如权利要求23所述的方法,其中所述共享仿真信息包括在所述互连资源之间分发仿真结果,以减少不同资源对基本类似的第一原理仿真的冗余执行。
25.如权利要求23所述的方法,其中所述共享仿真信息包括在所述互连资源之间分发模型改变,以减少不同资源对第一原理仿真的冗余细化。
26.如权利要求21所述的方法,还包括经由广域网使用远程资源以辅助所述半导体处理工具所执行的半导体处理。
27.如权利要求26所述的方法,其中所述使用远程资源包括经由广域网使用远程计算和存储资源中的至少一个来辅助所述半导体处理工具所执行的半导体处理。
28.一种系统,包括:
被配置为执行处理的半导体处理工具;
被配置为输入与所述半导体处理工具所执行的处理有关的数据的输入设备;以及
被配置为执行以下操作的第一原理仿真处理器:
输入与所述半导体处理工具有关的第一原理物理模型;
使用所述输入数据和所述物理模型来执行第一原理仿真,以提供与所述半导体处理工具所执行的处理有关的虚拟传感器测量,其中所述虚拟传感器测量被用来辅助所述半导体处理工具所执行的处理。
29.如权利要求28所述的系统,其中所述输入设备包括被物理地安装在所述半导体处理工具上的物理传感器和度量工具中的至少一个。
30.如权利要求28所述的系统,其中所述输入设备包括手工输入设备和数据库中的至少一个。
31.如权利要求30所述的系统,其中所述输入设备被配置为输入从所述半导体处理工具先前执行的处理而记录的数据。
32.如权利要求30所述的系统,其中所述输入设备被配置为输入由仿真操作者设置的数据。
33.如权利要求28所述的系统,其中所述输入设备被配置为输入与所述半导体工具环境和所述半导体处理工具的物理特性中的至少一个有关的数据。
34.如权利要求28所述的系统,其中所述输入设备被配置为输入与所述半导体处理工具所执行的处理的特性和结果中的至少一个有关的数据。
35.如权利要求28所述的系统,其中所述处理器被配置为输入包括所述半导体处理工具的几何的空间解析模型的第一原理物理模型。
36.如权利要求28所述的系统,其中所述处理器被配置为输入包括执行第一原理仿真以获得虚拟传感器读数所需的基本等式的第一原理物理模型。
37.如权利要求28所述的系统,其中所述处理器被配置为与所述半导体处理工具所执行的处理并发地执行所述第一原理仿真。
38.如权利要求37所述的系统,其中所述处理器还被配置为:
在所述半导体处理期间反复地更新来自所述物理传感器或度量工具的数据;以及
在所述半导体处理期间反复地使用更新后的数据执行所述第一原理仿真,其中基于在所述半导体处理期间获得的虚拟传感器测量,所述半导体处理与运行所述半导体处理并发地被辅助。
39.如权利要求37所述的系统,其中所述处理器还被配置为:
在所述半导体处理开始之前,设置用于所述第一原理仿真的边界条件;
在所述半导体处理期间并且在没有来自所述半导体处理的直接输入的情况下,执行对所述半导体处理的时间相关仿真,其中基于在所述半导体处理期间获得的虚拟传感器测量,所述半导体处理与运行所述半导体处理并发地被辅助。
40.如权利要求28所述的系统,其中所述处理器被配置为不与所述半导体处理工具所执行的处理并发地来执行所述第一原理仿真。
41.如权利要求40所述的系统,其中所述处理器被配置为至少通过使用所述输入数据来设置从先前执行的处理而记录的所述第一原理仿真的初始和边界条件中的至少一个,来执行所述第一原理仿真。
42.如权利要求30所述的系统,其中所述输入设备被配置为输入用于所述物理模型的最佳已知输入参数。
43.如权利要求42所述的系统,其中所述处理器被配置为:
将所述虚拟传感器测量与实际传感器测量进行比较;以及
细化所述最佳已知输入参数和所述物理模型中的至少一个,以获得所述虚拟传感器测量与实际传感器测量之间更好的一致。
44.如权利要求28所述的系统,其中所述虚拟传感器测量被用来表征所述半导体处理工具所执行的处理。
45.如权利要求28所述的系统,其中所述虚拟传感器测量被用来控制所述半导体处理工具所执行的处理。
46.如权利要求28所述的系统,其中所述虚拟传感器测量被用来检测所述半导体处理工具所执行的处理中的故障。
47.如权利要求28所述的系统,其中所述处理器还被配置为将所述虚拟传感器测量存储在库中以便以后在第一原理仿真中使用。
48.如权利要求28所述的系统,还包括连接到所述处理器的互连资源的网络,所述互连资源的网络被配置为帮助所述处理器执行输入第一原理仿真模型和执行第一原理仿真中的至少一个。
49.如权利要求48所述的系统,其中所述互连资源的网络被配置为使用与所述处理器的代码并行化来分摊所述第一原理仿真的计算负荷。
50.如权利要求48所述的系统,其中所述互连资源的网络被配置为与所述处理器共享仿真信息,以辅助所述半导体处理工具所执行的所述处理。
51.如权利要求50所述的系统,其中所述互连资源的网络被配置为将仿真结果分发到所述处理器,以减少对基本类似的第一原理仿真的冗余执行。
52.如权利要求50所述的系统,其中所述互连资源的网络被配置为将模型改变分发到所述处理器,以减少对第一原理仿真的冗余细化。
53.如权利要求48所述的系统,还包括经由广域网连接到所述处理器的远程资源,所述远程资源被配置为辅助所述半导体处理工具所执行的半导体处理。
54.如权利要求53所述的系统,其中所述远程资源包括计算和存储资源中的至少一种。
55.一种用于辅助半导体处理工具所执行的处理的系统,包括:
用于输入与所述半导体处理工具所执行的处理有关的数据的装置;
用于输入与所述半导体处理工具有关的第一原理物理模型的装置;
用于使用所述输入数据和所述物理模型来执行第一原理仿真,以提供与所述半导体处理工具所执行的处理有关的虚拟传感器测量的装置;以及
用于使用所述虚拟传感器测量来辅助所述半导体处理工具所执行的处理的装置。
56.如权利要求55所述的系统,其中所述用于执行第一原理仿真的装置包括用于与所述半导体处理工具所执行的处理并行地执行第一原理仿真的装置。
57.如权利要求56所述的系统,还包括:
用于在所述半导体处理期间反复地更新来自所述物理传感器或度量工具的数据的装置;
用于在所述半导体处理期间反复地使用更新后的数据执行所述第一原理仿真的装置;以及
用于基于在所述半导体处理期间获得的虚拟传感器测量,与运行所述半导体处理并行地辅助所述半导体处理的装置。
58.如权利要求56所述的系统,还包括:
用于在所述半导体处理开始之前设置用于所述第一原理仿真的边界条件的装置;
用于在所述半导体处理期间并且在没有来自所述半导体处理的直接输入的情况下,执行对所述半导体处理的时间相关仿真的装置;以及
用于基于在所述半导体处理期间获得的虚拟传感器测量,与运行所述半导体处理并行地辅助所述半导体处理的装置。
59.如权利要求55所述的系统,还包括用于输入用于所述物理模型的最佳已知输入参数的装置,所述系统还包括:
用于将所述虚拟传感器测量与实际传感器测量相比较的装置;以及
用于细化所述最佳已知输入参数和所述物理模型中的至少一个,以获得所述虚拟传感器测量与实际传感器测量之间的更好的一致的装置。
60.如权利要求55所述的系统,还包括用于分摊所述第一原理仿真的计算负荷的装置。
61.如权利要求41所述的系统,还包括用于在互连资源之间共享仿真信息以辅助所述半导体处理工具所执行的处理的装置。
62.一种包含用于在处理器上执行的程序指令的计算机可读介质,当所述程序指令被计算机系统执行时,使得所述处理器执行以下步骤:
输入与半导体处理工具所执行的处理有关的数据;
输入与所述半导体处理工具有关的第一原理物理模型;
使用所述输入数据和所述物理模型来执行第一原理仿真,以提供与所述半导体处理工具所执行的处理有关的虚拟传感器测量;以及
使用所述虚拟传感器测量来辅助所述半导体处理工具所执行的处理。
63.一种辅助半导体处理工具所执行的处理的方法,包括:
输入与所述半导体处理工具所执行的处理有关的数据;
输入与所述半导体处理工具有关的第一原理物理模型;
使用所述输入数据和所述物理模型来执行第一原理仿真,以提供用于所述半导体处理工具所执行的处理的仿真结果;以及
使用所述仿真结果作为表征所述半导体处理工具所执行的处理的数据集合的一部分。
64.如权利要求63所述的方法,其中所述输入操作包括从被物理地安装在所述半导体处理工具上的物理传感器和度量工具中的至少一个直接输入与所述半导体处理工具所执行的处理有关的数据。
65.如权利要求63所述的方法,其中所述输入操作包括从手工输入设备和数据库中的至少一个间接输入与所述半导体处理工具所执行的处理有关的数据。
66.如权利要求65所述的方法,其中所述间接输入操作包括输入从所述半导体处理工具先前执行的处理而记录的数据。
67.如权利要求65所述的方法,其中所述间接输入操作包括输入由仿真操作者设置的数据。
68.如权利要求63所述的方法,其中所述输入操作包括输入与所述半导体处理工具所执行的处理有关的数据作为来自仿真模块的虚拟传感器数据。
69.如权利要求63所述的方法,其中所述输入数据的操作包括输入与所述半导体工具环境和所述半导体处理工具的物理特性中的至少一个有关的数据。
70.如权利要求63所述的方法,其中所述输入数据的操作包括输入与所述半导体处理工具所执行的处理的特性和结果中的至少一个有关的数据。
71.如权利要求63所述的方法,其中所述输入第一原理物理模型包括输入所述半导体处理工具的几何的空间解析模型。
72.如权利要求63所述的方法,其中所述输入第一原理物理模型包括输入执行第一原理仿真以获得仿真结果所需的基本等式,其中所述仿真结果可形成表征所述半导体处理工具所执行的处理的数据集合的一部分。
73.如权利要求63所述的方法,其中所述执行第一原理仿真包括与所述半导体处理工具所执行的处理并发地执行第一原理仿真。
74.如权利要求73所述的方法,其中所述执行第一原理仿真包括执行第一原理仿真以提供这样的仿真结果,所述仿真结果是通过所述半导体处理工具所执行的并发处理所测试的参数的变化。
75.如权利要求73所述的方法,其中所述执行第一原理仿真包括执行第一原理仿真来提供这样的仿真结果,所述仿真结果与和通过所述半导体处理工具所执行的并发处理所测试的参数不同的参数有关。
76.如权利要求63所述的方法,其中所述执行第一原理仿真包括不与所述半导体处理工具所执行的处理并发地来执行第一原理仿真。
77.如权利要求63所述的方法,还包括将所述数据集合存储在库中,以便以后在所述半导体处理工具所执行的处理中使用。
78.如权利要求63所述的方法,还包括使用互连资源的网络来执行权利要求1所述的处理步骤中的至少一个。
79.如权利要求78所述的方法,还包括使用互连计算资源之间的代码并行化来分摊所述第一原理仿真的计算负荷。
80.如权利要求78所述的方法,还包括在互连资源之间共享仿真信息以辅助所述半导体处理工具所执行的处理。
81.如权利要求80所述的方法,其中所述共享仿真信息包括在所述互连资源之间分发仿真结果,以减少不同资源对基本类似的第一原理仿真的冗余执行。
82.如权利要求80所述的方法,其中所述共享仿真信息包括在所述互连资源之间分发模型改变,以减少不同资源对第一原理仿真的冗余细化。
83.如权利要求80所述的方法,还包括经由广域网使用远程资源以辅助所述半导体处理工具所执行的半导体处理。
84.如权利要求83所述的方法,其中所述使用远程资源包括经由广域网使用远程计算和存储资源中的至少一个来辅助所述半导体处理工具所执行的半导体处理。
85.一种系统,包括:
被配置为执行处理的半导体处理工具;
被配置为输入与所述半导体处理工具所执行的处理有关的数据的输入设备;以及
被配置为执行以下操作的第一原理仿真处理器:
输入与所述半导体处理工具有关的第一原理物理模型,以及
使用所述输入数据和所述物理模型来执行第一原理仿真,以提供用于所述半导体处理工具所执行的处理的第一原理仿真结果,其中所述仿真结果被用作为表征所述半导体处理工具所执行的处理的数据集合的一部分。
86.如权利要求85所述的系统,其中所述输入设备包括被物理地安装在所述半导体处理工具上的物理传感器和度量工具中的至少一个。
87.如权利要求85所述的系统,其中所述输入设备包括手工输入设备和数据库中的至少一个。
88.如权利要求87所述的方法,其中所述输入设备被配置为输入从所述半导体处理工具先前执行的处理而记录的数据。
89.如权利要求87所述的系统,其中所述输入设备被配置为输入由仿真操作者设置的数据。
90.如权利要求85所述的系统,其中所述输入设备被配置为输入与所述半导体处理工具所执行的处理有关的数据作为来自仿真模块的虚拟传感器数据。
91.如权利要求85所述的系统,其中所述输入设备被配置为输入与所述半导体工具环境和所述半导体处理工具的物理特性中的至少一个有关的数据。
92.如权利要求85所述的方法,其中所述输入设备被配置为输入与所述半导体处理工具所执行的处理的特性和结果中的至少一个有关的数据。
93.如权利要求85所述的系统,其中所述处理器被配置为输入包括所述半导体处理工具的几何的空间解析模型的第一原理物理模型。
94.如权利要求85所述的系统,其中所述处理器被配置为输入包括执行第一原理仿真以获得仿真结果所需的基本等式的第一原理物理模型,其中所述仿真结果可形成表征所述半导体处理工具所执行的处理的数据集合的一部分。
95.如权利要求85所述的系统,其中所述处理器被配置为与所述半导体处理工具所执行的处理并发地执行所述第一原理仿真。
96.如权利要求95所述的系统,其中所述处理器被配置为执行所述第一原理仿真来提供这样的仿真结果,所述仿真结果是通过所述半导体处理工具所执行的并发处理所测试的参数的变化。
97.如权利要求95所述的系统,其中所述处理器被配置为执行所述第一原理仿真来提供这样的仿真结果,所述仿真结果与和通过所述半导体处理工具所执行的并发处理所测试的参数笔筒的参数有关。
98.如权利要求85所述的系统,其中所述处理器被配置为不与所述半导体处理工具所执行的处理并发地来执行所述第一原理仿真。
99.如权利要求85所述的系统,其中所述处理器还被配置为将所述数据集合存储在库中,以便以后在所述半导体处理工具所执行的处理中使用。
100.如权利要求85所述的系统,还包括连接到所述处理器的互连资源的网络,所述互连资源的网络被配置为帮助所述处理器执行所述输入第一原理仿真模型和执行第一原理仿真中的至少一个。
101.如权利要求100所述的系统,其中所述互连资源的网络被配置为使用与所述处理器的代码并行化来分摊所述第一原理仿真的计算负荷。
102.如权利要求100所述的系统,其中所述互连资源的网络被配置为与所述处理器共享仿真信息,以辅助所述半导体处理工具所执行的处理。
103.如权利要求102所述的系统,其中所述互连资源的网络被配置为将仿真结果分发给所述处理器,以减少对基本类似的第一原理仿真的冗余执行。
104.如权利要求102所述的系统,其中所述互连资源的网络被配置为将模型改变分发给所述处理器,以减少第一原理仿真的冗余细化。
105.如权利要求100所述的系统,还包括经由广域网连接到所述处理器的远程资源,所述远程资源被配置为辅助所述半导体处理工具所执行的半导体处理。
106.如权利要求105所述的系统,其中所述远程资源包括计算和存储资源中的至少一个。
107.一种用于辅助半导体处理工具所执行的处理的系统,包括:
用于输入与所述半导体处理工具所执行的处理有关的数据的装置;
用于输入与所述半导体处理工具有关的第一原理物理模型的装置;
用于使用所述输入数据和所述物理模型来执行第一原理仿真,以提供用于半导体处理工具所执行的处理的仿真结果的装置;以及
用于使用所述仿真结果作为表征所述半导体处理工具所执行的处理的数据集合的一部分的装置。
108.如权利要求105所述的装置,还包括用于分摊所述第一原理仿真的计算负荷的装置。
109.如权利要求105所述的系统,还包括用于在互连资源之间共享仿真信息以辅助所述半导体处理工具所执行的处理的装置。
110.一种包含用于在处理器上执行的程序指令的计算机可读介质,当所述程序指令被计算机系统执行时,使得所述处理器执行以下步骤:
输入与半导体处理工具所执行的处理有关的数据;
输入与所述半导体处理工具有关的第一原理物理模型;
使用所述输入数据和所述物理模型来执行第一原理仿真,以提供用于所述半导体处理工具所执行的处理的仿真结果;以及
使用所述仿真结果作为表征所述半导体处理工具所执行的处理的数据集合的一部分。
CNB2004800285180A 2003-09-30 2004-09-30 用于工具上半导体仿真的系统和方法 Expired - Fee Related CN100476733C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/673,583 2003-09-30
US10/673,501 2003-09-30
US10/673,501 US8014991B2 (en) 2003-09-30 2003-09-30 System and method for using first-principles simulation to characterize a semiconductor manufacturing process
US10/673,583 US8050900B2 (en) 2003-09-30 2003-09-30 System and method for using first-principles simulation to provide virtual sensors that facilitate a semiconductor manufacturing process

Publications (2)

Publication Number Publication Date
CN1860440A true CN1860440A (zh) 2006-11-08
CN100476733C CN100476733C (zh) 2009-04-08

Family

ID=34426369

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800285180A Expired - Fee Related CN100476733C (zh) 2003-09-30 2004-09-30 用于工具上半导体仿真的系统和方法

Country Status (5)

Country Link
US (2) US8014991B2 (zh)
JP (1) JP5032118B2 (zh)
KR (1) KR101094620B1 (zh)
CN (1) CN100476733C (zh)
WO (1) WO2005034185A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108445777A (zh) * 2017-01-14 2018-08-24 费德姆技术公司 虚拟资产的数据转换
CN112997274A (zh) * 2018-11-21 2021-06-18 东京毅力科创株式会社 基片处理的条件设定辅助方法、基片处理系统、存储介质和学习模型

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8014991B2 (en) * 2003-09-30 2011-09-06 Tokyo Electron Limited System and method for using first-principles simulation to characterize a semiconductor manufacturing process
US8036869B2 (en) 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US8073667B2 (en) 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
US8032348B2 (en) * 2003-09-30 2011-10-04 Tokyo Electron Limited System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
US8296687B2 (en) * 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US7251535B2 (en) * 2004-02-06 2007-07-31 Rockwell Automation Technologies, Inc. Location based diagnostics method and apparatus
US7127358B2 (en) * 2004-03-30 2006-10-24 Tokyo Electron Limited Method and system for run-to-run control
TWI267012B (en) * 2004-06-03 2006-11-21 Univ Nat Cheng Kung Quality prognostics system and method for manufacturing processes
TWI336823B (en) * 2004-07-10 2011-02-01 Onwafer Technologies Inc Methods of and apparatuses for maintenance, diagnosis, and optimization of processes
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
US8676538B2 (en) * 2004-11-02 2014-03-18 Advanced Micro Devices, Inc. Adjusting weighting of a parameter relating to fault detection based on a detected fault
US20060129257A1 (en) * 2004-12-13 2006-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Novel method and apparatus for integrating fault detection and real-time virtual metrology in an advanced process control framework
DE102005030586A1 (de) * 2005-06-30 2007-01-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung der Messunsicherheit als Steuerungseingang
US20070027669A1 (en) * 2005-07-13 2007-02-01 International Business Machines Corporation System and method for the offline development of passive simulation clients
DE102005046972A1 (de) 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung einer Kombination aus gewichteten relativen Voreinstellungswerten
US7359759B2 (en) * 2005-10-31 2008-04-15 Taiwan Semiconductor Manufacturing Company Method and system for virtual metrology in semiconductor manufacturing
US8026113B2 (en) * 2006-03-24 2011-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
US8688049B2 (en) * 2006-10-05 2014-04-01 Telefonaktiebolaget L M Ericsson (Publ) Method for predicting channel quality indicator (CQI) values
US8544064B2 (en) * 2007-02-09 2013-09-24 Sony Corporation Techniques for automatic registration of appliances
TWI485642B (zh) * 2008-02-26 2015-05-21 Epistar Corp 光電元件之客製化製造方法
US8103990B2 (en) * 2008-02-28 2012-01-24 Arm Limited Characterising circuit cell performance variability in response to perturbations in manufacturing process parameters
JP2009224374A (ja) * 2008-03-13 2009-10-01 Oki Semiconductor Co Ltd Peb装置及びその制御方法
JP4555881B2 (ja) * 2008-03-18 2010-10-06 株式会社日立国際電気 基板処理装置及び表示方法
US8989879B2 (en) * 2008-09-27 2015-03-24 Jda Software Group, Inc. System and method for a demand driven lean production control system
US8965539B2 (en) * 2008-09-27 2015-02-24 Jda Software Group, Inc. System and method for a demand driven lean production control system
JP5465954B2 (ja) * 2008-09-29 2014-04-09 株式会社日立国際電気 基板処理装置及び判断プログラムを格納する記憶媒体及び基板処理装置の表示方法
NL2003702A (en) 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
SG10201403275UA (en) 2009-06-30 2014-09-26 Lam Res Corp Automatic fault detection and classification in a plasma processing system and methods thereof
US8538572B2 (en) * 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
US8618807B2 (en) * 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8473089B2 (en) * 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
TWI427722B (zh) * 2010-08-02 2014-02-21 Univ Nat Cheng Kung 使用具有信心指標之虛擬量測的先進製程控制系統與方法及其電腦程式產品
US20120084068A1 (en) * 2010-09-30 2012-04-05 University Of Utah Research Foundation Incorporation of Uncertainty in Simulation Analysis
US8938314B2 (en) * 2010-11-16 2015-01-20 International Business Machines Corporation Smart energy consumption management
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US8577489B2 (en) * 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US9594367B2 (en) * 2011-10-31 2017-03-14 Rockwell Automation Technologies, Inc. Systems and methods for process control including process-initiated workflow
US9031684B2 (en) * 2011-11-01 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-factor advanced process control method and system for integrated circuit fabrication
US9002498B2 (en) * 2012-02-02 2015-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Tool function to improve fab process in semiconductor manufacturing
CN103809582B (zh) * 2012-11-14 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 半导体制造工艺中控制系统仿真测试方法和系统及装置
EP2784704A1 (en) * 2013-03-26 2014-10-01 Fujitsu Limited Multi-component computational fluid dynamics simulations
NL2013417A (en) 2013-10-02 2015-04-07 Asml Netherlands Bv Methods & apparatus for obtaining diagnostic information relating to an industrial process.
US9420639B2 (en) * 2013-11-11 2016-08-16 Applied Materials, Inc. Smart device fabrication via precision patterning
KR102238648B1 (ko) 2014-06-03 2021-04-09 삼성전자주식회사 반도체 공정 관리 시스템, 이를 포함하는 반도체 제조 시스템 및 반도체 제조 방법
TWI690009B (zh) 2015-11-20 2020-04-01 財團法人工業技術研究院 設備的故障評估方法與故障評估裝置
US10962886B2 (en) 2015-12-31 2021-03-30 Asml Netherlands B.V. Selection of measurement locations for patterning processes
WO2017138948A1 (en) * 2016-02-11 2017-08-17 Intel Corporation Equipment process monitoring system with automatic configuration of control limits and alert zones
US20190100615A1 (en) 2016-03-29 2019-04-04 Asahi Kasei Kabushiki Kaisha Blocked polyisocyanate composition, one-component coating composition, coating film, and coated article
CN107807539B (zh) * 2017-10-17 2018-08-31 广东工业大学 一种玻璃深加工生产线分布式集成方法及其系统
US11024522B2 (en) 2018-05-24 2021-06-01 Applied Materials, Inc. Virtual sensor for spatially resolved wafer temperature control
CN108984918B (zh) * 2018-07-20 2023-04-18 辽宁石油化工大学 一种电渣重熔自耗电极熔化速率的预测方法
US11295969B2 (en) 2018-11-27 2022-04-05 International Business Machines Corporation Hybridization for characterization and metrology
US11480868B2 (en) 2019-03-22 2022-10-25 International Business Machines Corporation Determination of optical roughness in EUV structures
WO2021040706A1 (en) * 2019-08-28 2021-03-04 Siemens Aktiengesellschaft Qualitative mechanics based system modeling
IT201900015953A1 (it) * 2019-09-10 2021-03-10 Gd Spa Procedimento per la risoluzione di un malfunzionamento ignoto di almeno una parte di una macchina automatica per la produzione o l’impacchettamento di prodotti di consumo
JP7325356B2 (ja) * 2020-02-20 2023-08-14 東京エレクトロン株式会社 情報処理システム及びシミュレーション方法
US20220084842A1 (en) * 2020-09-11 2022-03-17 Applied Materials, Inc. Antifragile systems for semiconductor processing equipment using multiple special sensors and algorithms
US20220207223A1 (en) * 2020-12-31 2022-06-30 Applied Materials, Inc. Systems and methods for predicting film thickness using virtual metrology
US20230315953A1 (en) * 2022-04-05 2023-10-05 Applied Materials, Inc. Using deep reinforcement learning for time constraint management at a manufacturing system

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5377116A (en) * 1991-07-01 1994-12-27 Valenite Inc. Method and system for designing a cutting tool
JP3001351B2 (ja) * 1993-06-24 2000-01-24 日本電気株式会社 シミュレーション方法
US5474381A (en) * 1993-11-30 1995-12-12 Texas Instruments Incorporated Method for real-time semiconductor wafer temperature measurement based on a surface roughness characteristic of the wafer
US5526293A (en) * 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
US5555474A (en) 1994-12-21 1996-09-10 Integrated Process Equipment Corp. Automatic rejection of diffraction effects in thin film metrology
US5583780A (en) * 1994-12-30 1996-12-10 Kee; Robert J. Method and device for predicting wavelength dependent radiation influences in thermal systems
US5539652A (en) * 1995-02-07 1996-07-23 Hewlett-Packard Company Method for manufacturing test simulation in electronic circuit design
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US6185472B1 (en) 1995-12-28 2001-02-06 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method, manufacturing apparatus, simulation method and simulator
US6628809B1 (en) * 1999-10-08 2003-09-30 Lumidigm, Inc. Apparatus and method for identification of individuals by near-infrared spectrum
US7107571B2 (en) * 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US5866437A (en) * 1997-12-05 1999-02-02 Advanced Micro Devices, Inc. Dynamic process window control using simulated wet data from current and previous layer data
JPH11176906A (ja) 1997-12-16 1999-07-02 Toshiba Corp 電子部品の製造方法、製造システム、設計方法、及び記録媒体
US6161051A (en) * 1998-05-08 2000-12-12 Rockwell Technologies, Llc System, method and article of manufacture for utilizing external models for enterprise wide control
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
JPH11330449A (ja) 1998-05-20 1999-11-30 Toshiba Corp 半導体装置の製造方法、シミュレーション装置、シミュレーション方法、シミュレーションプログラムを記録した記録媒体、及びシミュレーション用データを記録した記録媒体
JP3660137B2 (ja) * 1998-09-25 2005-06-15 株式会社東芝 シミュレーション方法、シミュレータ、シミュレーションプログラムを記録した記録媒体および半導体装置の製造方法
US6198980B1 (en) * 1998-11-06 2001-03-06 John Costanza Institute Of Technology System and method for designing a mixed-model manufacturing process
JP2000269105A (ja) 1999-03-12 2000-09-29 Toshiba Corp プロセスシミュレータ、プロセスシミュレーション方法、デバイスシミュレータおよびデバイスシミュレーション方法
US6581029B1 (en) * 1999-05-11 2003-06-17 International Business Machines Corporation Method and system for optimizing execution of a collection of related module sequences by eliminating redundant modules
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
EP1200885A1 (en) 1999-06-22 2002-05-02 Brooks Automation, Inc. Run-to-run controller for use in microelectronic fabrication
US6560503B1 (en) * 1999-10-05 2003-05-06 Advanced Micro Devices, Inc. Method and apparatus for monitoring controller performance using statistical process control
US6643616B1 (en) * 1999-12-07 2003-11-04 Yuri Granik Integrated device structure prediction based on model curvature
AU6384901A (en) * 2000-04-12 2001-10-23 Janssen Pharmaceutica Nv Method and apparatus for detecting outliers in biological/pharmaceutical screening experiments
JP2001297955A (ja) * 2000-04-14 2001-10-26 Toshiba Corp シミュレーション方法、シミュレータ及びシミュレーションプログラムを記録した記録媒体
JP2002023823A (ja) * 2000-07-12 2002-01-25 Mitsubishi Electric Corp 生産管理システム
US6410351B1 (en) 2000-07-13 2002-06-25 Advanced Micro Devices, Inc. Method and apparatus for modeling thickness profiles and controlling subsequent etch process
CN1154045C (zh) 2000-07-25 2004-06-16 华为技术有限公司 一种跨平台的联合仿真系统
US6937967B2 (en) * 2001-02-28 2005-08-30 Tdk Corporation Method and system for finite element modeling and simulation of enhanced magnetoresistance in thin film semiconductors with metallic inclusions
US6812045B1 (en) * 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6819963B2 (en) * 2000-12-06 2004-11-16 Advanced Micro Devices, Inc. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US6571371B1 (en) * 2000-12-27 2003-05-27 Advanced Micro Devices, Inc. Method and apparatus for using latency time as a run-to-run control parameter
JP4191484B2 (ja) 2001-02-14 2008-12-03 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド エッチング選択度を制御するための方法
US6615098B1 (en) 2001-02-21 2003-09-02 Advanced Micro Devices, Inc. Method and apparatus for controlling a tool using a baseline control script
KR100912748B1 (ko) 2001-03-23 2009-08-18 도쿄엘렉트론가부시키가이샤 부분최소제곱을 사용한 종단점검출을 위한 방법 및 장치
JP3993396B2 (ja) * 2001-03-30 2007-10-17 株式会社東芝 半導体装置の製造方法
US6802045B1 (en) * 2001-04-19 2004-10-05 Advanced Micro Devices, Inc. Method and apparatus for incorporating control simulation environment
JP2002367875A (ja) 2001-06-07 2002-12-20 Matsushita Electric Ind Co Ltd プロセス工程管理システムおよびプロセス工程管理方法
JP3708031B2 (ja) 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US7337019B2 (en) 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US6763277B1 (en) * 2001-07-16 2004-07-13 Advanced Micro Devices, Inc. Method and apparatus for proactive dispatch system to improve line balancing
US6728591B1 (en) * 2001-08-01 2004-04-27 Advanced Micro Devices, Inc. Method and apparatus for run-to-run control of trench profiles
US20030101251A1 (en) * 2001-11-27 2003-05-29 Varros Telecom Customizable element management system and method using element modeling and protocol adapters
AU2002359577A1 (en) * 2001-12-04 2003-06-17 Ravi Shankar Method of concurrent visualization of process module outputs
US6774998B1 (en) * 2001-12-27 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for identifying misregistration in a complimentary phase shift mask process
WO2003058515A1 (en) * 2001-12-28 2003-07-17 Electro Scientific Industries, Inc. Method for automatically defining a part model
TWI315091B (en) 2001-12-31 2009-09-21 Tokyo Electron Limite Method of fault detection for material process system
AU2002343588A1 (en) 2002-01-10 2003-07-30 Advanced Micro Devices, Inc. Agent-based control architecture
US6678581B2 (en) * 2002-01-14 2004-01-13 Taiwan Semiconductor Manufacturing Co. Ltd Method of calibrating a wafer edge gripping end effector
US6905895B1 (en) * 2002-06-28 2005-06-14 Advanced Micro Devices, Inc. Predicting process excursions based upon tool state variables
JP2004094738A (ja) * 2002-09-02 2004-03-25 Toshiba Corp 分散型シミュレーションシステム
US7184850B1 (en) * 2002-09-06 2007-02-27 National Semiconductor Corporation System and method for allocating multi-function resources for a wetdeck process in semiconductor wafer fabrication
US6893800B2 (en) * 2002-09-24 2005-05-17 Agere Systems, Inc. Substrate topography compensation at mask design: 3D OPC topography anchored
US6810296B2 (en) * 2002-09-25 2004-10-26 Advanced Micro Devices, Inc. Correlating an inline parameter to a device operation parameter
US7752099B2 (en) * 2002-10-17 2010-07-06 Itg Software Solutions, Inc. Factor risk model based system, method, and computer program product for generating risk forecasts
US7457736B2 (en) * 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
US7047095B2 (en) * 2002-12-06 2006-05-16 Tokyo Electron Limited Process control system and process control method
US7333871B2 (en) * 2003-01-21 2008-02-19 Applied Materials, Inc. Automated design and execution of experiments with integrated model creation for semiconductor manufacturing tools
US20050010319A1 (en) * 2003-07-09 2005-01-13 Sukesh Patel System and method for validating and visualizing APC assisted semiconductor manufacturing processes
US8032348B2 (en) 2003-09-30 2011-10-04 Tokyo Electron Limited System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
US8014991B2 (en) * 2003-09-30 2011-09-06 Tokyo Electron Limited System and method for using first-principles simulation to characterize a semiconductor manufacturing process
US8296687B2 (en) * 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US8073667B2 (en) * 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
US8036869B2 (en) * 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
JP3828104B2 (ja) * 2003-10-02 2006-10-04 株式会社東芝 模擬回路パターン評価方法、半導体集積回路の製造方法、テスト基板、及びテスト基板群
US7356377B2 (en) * 2004-01-29 2008-04-08 Applied Materials, Inc. System, method, and medium for monitoring performance of an advanced process control system
JP2007053166A (ja) * 2005-08-16 2007-03-01 Toshiba Corp 出来栄え予測装置、出来栄え予測方法及び半導体装置の製造方法
JP2009021378A (ja) * 2007-07-11 2009-01-29 Nec Electronics Corp 半導体集積回路の生産方法、設計方法及び設計システム
US7622308B2 (en) * 2008-03-07 2009-11-24 Mks Instruments, Inc. Process control using process data and yield data
US8117568B2 (en) * 2008-09-25 2012-02-14 International Business Machines Corporation Apparatus, method and computer program product for fast simulation of manufacturing effects during integrated circuit design

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108445777A (zh) * 2017-01-14 2018-08-24 费德姆技术公司 虚拟资产的数据转换
CN112997274A (zh) * 2018-11-21 2021-06-18 东京毅力科创株式会社 基片处理的条件设定辅助方法、基片处理系统、存储介质和学习模型

Also Published As

Publication number Publication date
CN100476733C (zh) 2009-04-08
US20050071039A1 (en) 2005-03-31
KR20070001872A (ko) 2007-01-04
WO2005034185A3 (en) 2005-10-20
WO2005034185A2 (en) 2005-04-14
US8050900B2 (en) 2011-11-01
KR101094620B1 (ko) 2011-12-15
US20050071036A1 (en) 2005-03-31
JP2007507890A (ja) 2007-03-29
US8014991B2 (en) 2011-09-06
JP5032118B2 (ja) 2012-09-26

Similar Documents

Publication Publication Date Title
CN1860440A (zh) 用于工具上半导体仿真的系统和方法
CN1860487A (zh) 使用第一原理仿真分析半导体处理工具执行的处理的系统和方法
CN1867896A (zh) 用于工具上半导体仿真的系统和方法
CN100568249C (zh) 用基本原理仿真辅助半导体制造过程的系统和方法
US8036869B2 (en) System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
TWI672599B (zh) 探索裝置及探索方法
Pan et al. A virtual metrology system for predicting end-of-line electrical properties using a MANCOVA model with tools clustering
KR20230104540A (ko) 반도체 제작 장비를 위한 예측 유지 보수
CN110889260A (zh) 用于侦测工艺参数的方法及装置、电子设备和计算机可读介质
Tin et al. A realizable overlay virtual metrology system in semiconductor manufacturing: Proposal, challenges and future perspective
US20240096713A1 (en) Machine-learning in multi-step semiconductor fabrication processes

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090408

Termination date: 20160930

CF01 Termination of patent right due to non-payment of annual fee