CN1871103A - 用于刻印平版印刷工艺的放大和变形矫正的系统 - Google Patents

用于刻印平版印刷工艺的放大和变形矫正的系统 Download PDF

Info

Publication number
CN1871103A
CN1871103A CNA2004800230671A CN200480023067A CN1871103A CN 1871103 A CN1871103 A CN 1871103A CN A2004800230671 A CNA2004800230671 A CN A2004800230671A CN 200480023067 A CN200480023067 A CN 200480023067A CN 1871103 A CN1871103 A CN 1871103A
Authority
CN
China
Prior art keywords
actuating arm
contact
pair
main body
additional
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004800230671A
Other languages
English (en)
Inventor
崔炳镇
S·V·斯里尼瓦桑
M·J·美斯尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of CN1871103A publication Critical patent/CN1871103A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/003Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • B29C2043/023Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
    • B29C2043/025Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning

Abstract

本发明旨在提供一种改变一模板的尺寸、从而减弱(如果不能避免的话)由模板形成的底层图案变形的系统。为此,该系统具有一压缩装置,该压缩装置包括一对间隔开的接触件以压缩该对间隔开的接触件之间的模板周边表面。压缩装置包括第一和第二主体,它们每个都具有一接触件和一个作动臂。作动臂之一与第一主体连接以应毗邻该作动臂放置的汽圈的体积变化而绕一轴线往复运动。这样,可改变两个接触件之间的距离。

Description

用于刻印平版印刷工艺的放大和变形矫正的系统
技术领域
本发明的领域主要涉及刻印平版印刷(imprint lithography)工艺。更具体地说,本发明旨在减少在刻印平版印刷工艺过程中的图案变形。
背景技术
显微制造包括对十分小的结构,例如具有微米级或更小的图案特征的结构的制造。显微制造具有相当大影响力的一个领域是在集成电路加工中。随着半导体加工行业持续追求更大的产量并增加形成在基片上的单位面积电路数量,显微制造变得越来越重要。显微制造可提供更强的工艺控制,同时使形成结构的最小图案特征尺寸越来越小。其它采用显微制造的领域包括生物技术、光学技术、机械系统等。
一个示例性的显微制造技术在授予Willson等人的美国专利6334960中示出。Wliison等人揭示了一种在一结构上形成浮雕影像的方法。该方法包括提供具有一转印层(transfer layer)的基板。该转印层上覆盖有一种可聚合的流体组合物。一模具与该可聚合的流体机械接触。该模具包括一浮雕结构(relief structure),而可聚合的流体组合物填充该浮雕结构。可聚合的流体组合物被固化并聚合,在包含与模具的浮雕结构互补的浮雕结构的转印层上形成固化的聚合材料。然后将模具从固体聚合物上拿开,从而在固化的聚合材料中形成模具中的浮雕结构的复制品。转印层和固化的聚合材料被置于一环境中,以相对于固化的聚合材料蚀刻转印层,从而在转印层中形成一浮雕影像。该技术所需的时间和所能提供的最小图案特征尺寸尤其取决于可聚合材料的成分。
授予Chou的美国专利5772905揭示了一种平版印刷方法和设备,该方法和设备用于在覆盖在一基板上的薄片上制造极端精细(低于25纳米)的图案,其中将一具有至少一个突起结构特征的模具压进携带在基板上的一薄片上。该模具上的突起结构特征在薄片上产生一凹部。将该模具从薄片上移开。然后,对该薄片进行处理以将该凹部中的薄片去除,使下面的基板暴露出来。这样,在模具中的图案在薄片中复制出来,完成了平板印刷。在接下来的工艺中,将在基板中或其它加到基板上的材料中复制薄片中的图案。
再一个刻印平板印刷技术由Chou等人在刊物《自然》2002年6月的第417期835-837页中的、题目为“Ultrafast and Direct Imprint ofNanostructure in Silicon”的一文中有所揭示,该技术被称作激光辅助直接刻印(LADI)工艺。在这个工艺中,通过用激光加热一基板的一个区域使该区域可流动,例如使其液化。在该区域达到一预期的粘度时,将其上具有一图案的一模具与该区域接触。该可流动的区域与图案的轮廓一致,然后冷却,将该图案固化在基板中。对这些工艺的关注的问题在于由于尤其是刻印层和/或基板中的合理的变化而引起的图案变形。
发明内容
因此,希望提供一种系统,以减少在使用刻印平版印刷技术中的图案变形。
本发明旨在提供一种系统,该系统能改变一模板的尺寸,以便减弱(如果不能避免的话)由模板形成的一底层图案的变形。为此,该系统的特点为具有一压缩装置,该压缩装置包括一对间隔开的接触件以压缩该对间隔开的接触件之间的该模板的一周边表面。该压缩装置的一个实施例包括第一和第二主体,它们每个都具有一接触件,从而形成一对接触件。这两个接触件互相相对地放置并间隔开一距离。该第一主体包括一作动臂,一腔室毗邻该作动臂放置。一对接触件中的一个与作动臂连接,从而应作动臂的运动而运动,改变与另一个接触件之间的距离。一汽圈置于腔室中并具有可变的体积。作动臂与第一主体连接,从而应体积的变化而变化,以改变距离。在一个实施例中,诸如气体之类的一种流体可选择地流入或流出汽圈,从而改变汽圈的体积。
一汽圈的使用便于它与作动臂一致。这样,可以避免由于例如执行件表面不平而造成的作动臂上作用力分布不均。在类似的情况下,通过形成一或多个由柔顺性材料制成的接触件,可以避免由于例如周边表面不平而造成的模板上作用力分布不均。在作动臂和周边表面上作用力分布不均情况的减少(如果不能避免的话)可提供对模板尺寸变化的更多的控制和/或更好的解决。下面将对这些和其它实施例进行更详细的讨论。
附图说明
图1是根据本发明的一平板印刷系统的立体图;
图2是图1所示平板印刷系统的简化的正视图;
图3是组成图2所示的一刻印层的材料在聚合和交联之前的简示图;
图4是图3所示材料在经受辐射后所转变成的交联聚合物材料的简示图;
图5是在刻印层上印有图案后从图1所示的刻印层上分离下来的一模具的简化正视图;
图6是在将第一刻印层上的图案转印到另一刻印层上时被置于基板顶部的该另一刻印层的简化的正视图;
图7是图1所示的一印刷头的详细立体图;
图8是根据本发明的一卡盘系统的横截面图;
图9是图7所示一印刷头的分解图;
图10是图8所示一卡盘体的仰视图;
图11是图8所示并用于改变模板尺寸的一执行装置(actuator device)的立体图;
图12是沿线“12-12”截取的、图11所示的执行装置的横截面图;
图13是根据第一个替代实施例、在图12中示出的执行装置的仰视图;
图14是根据第二个替代实施例、在图13中示出的执行装置的仰视图;
图15是根据第三个替代实施例、在图13中示出的执行装置的仰视图;
图16是图2、5和6所示的、其上放置有诸刻印层的一垫片的俯视图;
图17是图16的详图,示出了模具在诸刻印区之一中的位置;
图18是根据一个替代实施例、在图8中示出的卡盘体的仰视图;
图19是根据第二个替代实施例、在图8中示出的卡盘体的横截面图;
图20是示出了根据本发明的一种减少使用刻印平板印刷技术形成的图案的变形的方法的流程图;以及
图21是示出了根据本发明的一个替代实施例减少使用刻印平板印刷技术形成的图案的变形的方法的流程图。
具体实施方式
图1示出了根据本发明的一个实施例的平板印刷系统10,该系统包括一对互相间隔开的桥式支架12,在它们之间延伸有一桥接件14和一平台支座16。桥接件14和平台支座16互相间隔开。与桥接件14联结的是一刻印头18,该刻印头从桥接件14向平台支座16延伸。在平台支座16上面对于刻印头18放置的是一运动平台20。该运动平台20被构造成可相对于平台支座16沿X和Y轴线运动。运动平台的一个例子在2002年7月提交的美国专利申请1094414中有所揭示,该申请的名称是“分步重复刻印平板印刷系统”,该申请是转让给本发明的受让人的,且该申请被结合于此作为参考。一辐射源22与系统10联结,从而将光化辐射照射在运动平台20上。如图所示,辐射源22与桥接件14联结并包括与辐射源22连接的一发电机23。
参见图1和2,其上具有一模具28的一模板26连接在刻印头18上。该模具28包括由多个间隔开的凹部28a和突起28b限定的多个图案特征。这些多个图案特征形成要转印到置于运动平台20上的一垫片30中的一原始图案。为此,刻印头18适于沿Z轴线运动并改变模具28和垫片30之间的距离“d”。这样,可将模具28上的图案特征刻印到垫片30的可流动区域中,这将在下面更详细地讨论。将辐射源22定位成使模具28置于辐射源22和垫片30之间。因此,模具28由可使它对于辐射源22所产生的辐射基本可被穿透的材料制成。
参见图2和3,在表面32的外形基本平坦的部分上布置有如一刻印层34之类的一可流动区域。可使用任何已知的技术来形成该可流动的区域,比如美国专利5772905中所揭示的热压花工艺(该专利被结合于此作为参考),或者由Chou等人在《自然》杂志2002年6月的第417期835-837页中的“在硅中超快速直接刻印纳米结构(Ultrafast and Direct Imprint ofNanostructure in Silicon)”一文所描述的激光辅助直接刻印(LADI)工艺。然而,在本实施例中,可流动的区域由刻印层34组成,该刻印层被布置为多个在垫片30上分隔开的材料36a的分散的珠状点36,将在下面对此进行详细讨论。用于布置诸珠状点36的一示例性系统在2002年7月9日提交、题目为“分配液体的系统和方法”的美国专利申请10/191749中有所揭示,该申请转让给本发明的受让人。刻印层34由一材料36a形成,该材料36a可选择性地聚合和交联以在其中记录下原始图案,形成一被记录的图案。材料36a的一个示例性组成在2003年6月16日提交、题目为“减少一致的区域和模具图案之间粘合的方法”的美国专利申请10/463396中有所揭示,该申请以其整体结合于此作为参考。材料36a在图4中显示为在点36b处已经交联,从而形成交联聚合物材料36c。
参见图2、3和5,记录在刻印层34中的图案部分通过与模具28的机械接触生成。由此,刻印头18降低了距离“d”,以使刻印层34与模具28机械接触,将诸珠状点36散布,从而在整个表面32上由邻接的材料36a结构层形成刻印层34。在一个实施例中,减小距离“d”,以使刻印层34的子部分34a进入并填充诸凹部28a。
为了便于填充凹部28a,为材料36a提供必须的特性,从而以一邻接的材料36a结构层覆盖在表面32上的同时将凹部28a充满。在本实施例中,在达到希望的、通常为最小的距离“d”以后,与诸突起28b叠合的刻印层34的诸子部分34b仍被保留,其厚度为t2,而子部分34a的厚度为t1。厚度t1和t2可以是任何需要的厚度,这要根据实际应用来决定。通常,被选取的t1以不超过子部分34a的宽度u的2倍为限,即t1≤2u,这在图5中显示得更清楚。
参见图2、3和4,在达到希望的距离“d”后,辐射源22产生使材料36a聚合并交联的光化辐射,形成交联聚合材料36c。其结果,刻印层34的组合物从材料36a转换成材料36c,材料36c为固态。具体来说,将材料36c固化,从而为刻印层34的侧部34c提供与模具28的表面28c相一致的形状,这在图5中更清楚地示出。在将刻印层34转化成由图4所示的材料36c组成之后,移动图2所示的刻印头18来加大距离“d”,从而将模具28和刻印层34分开。
参见图5,可采用附加的工艺来完成在垫片30上印制图案。例如,可蚀刻垫片30和刻印层34,从而将刻印层34上的图案转印到垫片30中,提供一有图案的表面32a,如图6所示。为便于蚀刻,可改变形成刻印层34的材料,从而如所希望的那样对于垫片30形成一相对的蚀刻率。刻印层34对垫片30的该相对的蚀刻率可在约1.5∶1到约100∶1的范围内。作为替换的方案,或另外附加的方案是:刻印层34可相对于可选择性地置于其上的一光致抗蚀(photo-resist)材料(未示出)设置一蚀刻差(etch differential)。可使用已知技术,设置该光致抗蚀材料(未示出)来进一步在刻印层34上印制图案。根据所需要的蚀刻率以及形成垫片30和刻印层34的底层组成,可以采用任何蚀刻工艺。示例性的蚀刻工艺可包括等离子蚀刻、活性离子蚀刻、化学湿式蚀刻等。
参见图1和2,一示例性的辐射源22可产生紫外辐射线。也可采用其它的辐射源,例如热、电磁等。对于用来启动对刻印层34中的材料进行聚合的辐射的选择对于熟悉本领域的人员来说是已知的,并且通常取决于所要进行的实际应用。另外,模具28中的多个图案特征被显示为诸凹部28a沿平行于诸突起28b的方向延伸,该图案特征为模具28的截面提供城墙一样的形状。然而,诸凹部28a和诸突起28b可与基本上任何形成完整的回路所需的图案特征相对应,并可小到数十个纳米。其结果,可以希望用热稳定的材料来制造系统10的元件,例如用在室温(例如25℃)条件下具有小于约10ppm/℃的热膨胀系数的材料。在一些实施例中,构建的材料可具有小于10ppm/℃或1ppm/℃的热膨胀系数。为此,桥式支架12、桥接件14和/或平台支座16可由以下一种或多种材料制成:碳化硅、可以商品名INVAR或SUPER INVAR购得的铁合金、陶瓷(包括但不限于ZERODUR陶瓷)。另外,可构造台子24,使系统10的其余元件与周围环境的振动隔绝开。一种台子24可从加利福尼亚州Irvine的NewportCorporation购得。
参见图7和8,其上放置有模具28的模板26通过一包括卡盘体42的卡盘系统40与刻印头外壳18a相连。具体来说,一校准系统18b与刻印头外壳18a连接,卡盘体42将模板26与校准系统18b连接并与一挠曲系统18c面对面。校准系统18b便于模板26和如图5所示的垫片30之间的适当的定位对准,从而在它们之间获得基本均一的间隙距离“d”。
参见图7和9,校准系统18b包括多个执行件19a、19b和19c以及一基座盘19d。具体来说,执行件19a、19b和19c连接在外壳18a和基座盘19d之间。挠曲系统18c包括诸挠曲弹簧21a和挠曲环21b。挠曲环21b连接在基座盘19d和挠曲弹簧21a之间。执行件19a、19b和19c的运动为挠曲环21b定方位,以便诸挠曲弹簧21a粗略地校准,从而使卡盘体42和模板26粗略校准。执行件19a、19b和19c还便于挠曲环21b向Z轴线的平移。诸挠曲弹簧21a包括多个线性弹簧,这些线性弹簧便于在X-Y平面上进行类似于万向节的运动,从而可使垫片30和模板26之间实现适当的方位对准,这在图2中示出。
参见图8和10,卡盘体42适用于采用真空技术将其上连接有模具28的模板26夹持住。为此,卡盘体42包括相对的第一侧46和第二侧48。一侧部(或边缘)表面50在第一侧46和第二侧48之间延伸。第一侧46包括第一凹部52和与第一凹部52分隔开的第二凹部54,形成分隔开的第一支承区58和第二支承区60。第一支承区58围绕第二支承区60以及第一凹部52和第二凹部54。第二支承区围绕第二凹部54。与第二凹部54叠合的卡盘体42的一部分62对例如上述光化辐射的波长的一预定波长的辐射来说是透明的。为此,部分62由一薄层诸如玻璃的透明材料制成。然而,制成部分62的材料依赖于辐射源22所产生的辐射的波长,如图2所示。部分62从第二侧48延伸出来,并在第二凹部54附近终止,并应该形成至少与模具28的面积相等的面积,从而可将模具28与其叠合。在卡盘体42中形成一或多个直通通道,用64和66表示。直通通道之一,比如直通通道64使第一凹部52与侧部表面50流体连通。其余的直通通道,比如直通通道66使第二凹部54与侧部表面50流体连通。
应该理解的是:直通通道64可在第二侧48和第一凹部52之间延伸。类似地,直通通道66可在第二侧48和第二凹部54之间延伸。希望直通通道64和66可便于使凹部52和54分别与诸如泵系统70的一压力控制系统流体连通。
泵系统70可包括一或多个泵,以互相独立地控制凹部52和54附近的压力。具体来说,当将模板26安装到卡盘体42上时,模板26靠着第一支承区58和第二支承区60,将第一凹部52和第二凹部54覆盖。第一凹部52和与其叠合的模板26的一部分44a形成第一室52a。第二凹部54和与其叠合的模板26的一部分44b形成第二室54a。泵系统70工作,以控制第一室52a和第二室54a中的压力。具体来说,在第一室52a中建立压力以保持模板26相对于卡盘体42的位置,并减少(如果无法避免的话)模板25在重力作用下与卡盘体42的分离。第二室54a中的压力可与第一室54a的压力不同,从而可通过调整模板26的形状,尤其减少在刻印过程中发生在模板26中的变形。例如,泵系统70可在室54a中施加一正压力以补偿由于刻印层34接触模具28而产生的任何向上的力R。另外,泵系统70可在室54a中施加一正压力以补偿由于刻印层34接触模具28而产生的任何向上的力R。这样,在侧部46的不同区域之间产生一压力差,从而使在力R的作用下的模板26的弯曲以及由此产生的模具28的弯曲被减弱(如果不是避免的话)。与模板26连接的是一用于沿X和Y方向改变模板26的尺寸的装置,其中Y向是进入图8的平面的一方向。改变尺寸用的装置被示意性的示为执行装置72。
参见图8、11和12,在本例子中,执行装置72包括第一和第二主体74和76,它们安装在卡盘体42相对的两侧上,所有这些都安装在挠曲系统18c上。主体74和76中的至少一个包括一或多个腔室,在主体74中示作74a。放置在室74a中的是一作动臂。作动臂74b的第一部件74c与主体74连接从而可绕轴线74d来回运动。第一部件74c从轴线74d沿Z向延伸,并在一第二部件74e中终止。第二部件74e从第一部件74c沿X向延伸并在一接触件74f中终止。在室74a中设置有至少一个汽圈。在本例中,两个汽圈78a和78b分别置于腔室中第一部件74c的相对两侧上。具体来说,汽圈78a位于主体74的第一侧壁74g和第一部件74c之间,而汽圈78b位于主体的第二侧壁74h和第一部件74c之间。汽圈78a和78b每个都具有可应引入其中的流体而选择性变化的体积。为此,汽圈78a和78b每个都与泵系统70流体连通。
与接触件74f相对放置的是接触件76f,并间隔开距离D1。不必将接触件76f连接成使它可相对于主体76运动。其结果,接触件76f可与主体76刚性连接。然而,如图所示,接触件与作动臂76的第二部分连接,且作动臂76b的第一部件76c与主体76连接从而可绕轴线76d来回运动。第一部件76c从轴线76d沿Z向延伸,并在一第二部件76e中终止。第二部件76e从第一部件76c沿X向延伸并在一接触件76f中终止。在室76a中设置有至少一个汽圈。在本例中,两个汽圈80a和80b分别设置于室76a中第一部件76c的相对的两侧上。具体来说,汽圈80b位于主体76的第一侧壁76g和第一部件76c之间,而汽圈80b位于主体的第二侧壁76h和第一部件76c之间。汽圈80a和80b每个都具有可应引入其中的流体而选择性变化的体积。为此,汽圈80a和80b每个都与泵系统70流体连通。
在工作中,将模板26置于接触件之间。通常,将距离D1设立成略小于与之接触的模板26的周边表面26a的相对的区域之间的距离。这样,将模板26描述成被压缩预紧。为了压缩模板26,汽圈78a和80a中的一个或两者都膨胀从而增加其体积。汽圈78a的膨胀使作动臂74b绕轴线74d向侧壁74h运动,从而减小距离D1。汽圈80a的膨胀使作动臂76b绕轴线76d向侧壁76h运动,从而减小距离D1。为了减小作用在模板26上的压缩力,可将汽圈78a和80a的体积减小到额定尺寸。为了扩大距离D1从而使模板26不被压缩预紧,并且/或者可容易地从执行装置72上卸下,汽圈78b和80b中的一个或两者都可膨胀。汽圈78b的膨胀使作动臂74b绕轴线74d向侧壁74g运动,从而增加距离D1。汽圈80b的膨胀使作动臂76b绕轴线76d向侧壁76g运动,从而增加距离D1。汽圈78b和80b的膨胀也可随汽圈78a和80a的体积减小而进行。通过适当控制汽圈78b和80b中的一个或两者的膨胀速度以及汽圈78b和80b中的一个或两者的收缩速度,可减少使基板恢复到压缩预紧状态的时间。
参见图11和12,在操作中的问题集中在当在周边表面26a上施加较大的压缩力时施加在侧壁74g和76g上的一力。在这些情况下,汽圈78a的膨胀施加一作用在侧壁76g上的力,而汽圈80a的膨胀施加一作用在侧壁76g上的力。作用在侧壁74g和76g之一或两者上的力产生作用在卡盘体42上的弯曲力矩,该力矩被传递到模板26上。理想地,模板应完全只受压缩力的作用,而弯曲力应大大地最小化(如果不能完全避免的话)。作用在基板上的弯曲力的问题在于这会造成图案变形。为了大大减少上述弯曲力矩而设置一压缩环79。该压缩环79围绕一区域81并包括一面对区域81的表面79a。主体74和76与表面79a连接并互相相对地放置。这样,由汽圈78a作用在侧壁74g上的力在压缩环79相对于主体74放置的区域上产生相等且相反的力。类似地,由汽圈80a作用在侧壁76g上的力在压缩环79相对于主体76放置的区域上产生相等且相反的力。为使压缩环79的效能最大,其一中轴线与模板26的中轴线A紧密对准。
同样,也有可能采用执行装置72来展开模板26。为此,接触件74f和76f可固定连接于周边表面26a。可使用例如粘结剂来达到这一目的。然后可通过例如一螺纹连接件和/或粘结剂将第二部件74e和76e分别连接到接触件74f和76f上。可通过使汽圈78b和80b中之一或两者膨胀来向模板26施加拉力。
本设计方案的一个优点在于整个执行装置72被放置在模具28的一侧,从而与模具表面28c所在的平面间隔开。这对于在刻印过程中防止执行装置72的诸元件和垫片30(在图5中示出)之间接触是有益的。此外,通过设置相对较长的坚固构件74c和76c,可受到汽圈74a、74b、76a和76b所施加的力的区域可大大增加。这便于增加由接触件74f和76f施加在周边表面76a上的单位面积作用力。由接触件74f所施加的单位面积上的力的放大是两个面积之比,即由接触件74f施加力的周边表面26a的面积与由汽圈78a和78b之一施加力的第一构件74c的面积之比的结果。类似地,由接触件76f所施加的单位面积上的力的放大是两个面积之比,即由接触件76f施加力的周边表面26a的面积与由汽圈80a和80b之一施加力的第一构件76c的面积之比的结果。
汽圈78a、78b、80a和80b提供的另一个优点是可防止由于例如与之接触的表面的粗糙度而引起的在作动臂74b和76b上的局部应力集中。具体来说,作动臂74b和76b的表面粗糙度可导致汽圈78a、78b、80a和80b施加在其上的力的分布不均匀。其结果就会产生由汽圈78a、78b、80a和80b造成的局部应力集中,这种应力集中可导致非线性压缩。汽圈78a、78b、80a和80b通过由采取与其接触的区域的形状的柔顺性材料形成来减弱(如果不能避免的话)这个问题。其结果,在整个接触区域上施加的是一均匀分布的力。出于类似的原因,希望由柔顺性材料来形成接触件74f和76f,从而使它们形成与所接触的周边表面的形状匹配的形状。
使用柔顺性汽圈78a、78b、80a和80b的另一个好处是它们可补偿在周边表面26a与接触件74f和76f接触的区域上的不平和/或粗糙(这在以下被称作表面不规则)。具体来说,汽圈78a、78b、80a和80b可与第一部件74c和76c因接触件74f和76f作用在其上的弯曲力矩而产生的任何变形相一致。为了进一步增加对任何表面不规则的顺从性,主体74可包括多个接触件(显示为174f、274f、374f和474f),其中每个与一分离的作动臂(未示出)连接,这些作动臂如以上对于作动臂74b所讨论的那样安装在主体74上。汽圈78a和78b可便于接触件如上所述的那样相对于接触件74f运动。类似地,主体76可包括多个接触件(显示为176f、276f、376f和476f),其中每个与一分离的作动臂(未示出)连接,这些作动臂如以上对于作动臂76b所讨论的那样安装在主体76上。汽圈80a和80b可便于接触件176f、276f、376f和476f相对于接触件76f运动。
参见图12和14,通过允许对多个接触件174f、274f、374f、474f、176f、276f、376f和476f的运动进行独立控制可达到进一步与表面不规则相顺应。为此,可用多个汽圈178a、278a、378a、478a来替代汽圈78a,这些汽圈每一个都与泵系统70流体连通。多个汽圈178a、278a、378a、478a中的每一个都连接用来移动接触件174f、274f、374f、474f中与其余的汽圈178a、278a、378a、478a所连接移动的接触件174f、274f、374f、474f所不同的一个。出于同样的原因,可用多个汽圈180a、280a、380a、480a来替代汽圈80a,这些汽圈每一个都与泵系统70流体连通。多个汽圈180a、280a、380a、480a中的每一个都连接用来移动接触件176f、276f、376f、476f中与其余的汽圈180a、280a、380a、480a所连接移动的接触件176f、276f、376f、476f所不同的一个。虽然以上对使用多个汽圈来替代汽圈78a和80a进行了讨论,汽圈78b和80b也可由多个汽圈替代,以达到在对模板26施加拉力时对接触件174f、274f、374f、474f、176f、276f、376f和476f进行独立控制的目的。
提供对多个接触件174f、274f、374f、474f、176f、276f、376f和476f的独立控制的另一个好处是可便于补偿由模板26的各向异性的热膨胀所造成的误差。这样,可以希望精确判定模板的温度和由此产生的信息,以确定在接触件174f、274f、374f、474f、176f、276f、376f和476f中施加的压缩。
参见图12、14和15,虽然以上对汽圈的使用进行了讨论,但也有可能用一压电执行件来替代汽圈178a、278a、378a、478a、180a、280a、380a和480a中的任何一个,四个这样的压电执行件被显示为500、502、504和506。图中显示的、每个压电执行件之间的接触区500a、502a、504a和506a可相对于接触区500a、502a、504a和506a与周边表面26之间的界面弯曲。为此,每个压电执行件分别通过弯曲部分500b、502b、504b、506b与主体76连接。通过协同操作,压电执行件500、502、504和506可用于补偿表面不规则,而汽圈178a、278a、378a和478a可用于补偿使与卡盘体42相连的模板不一致的较大的平均误差。这便于保持模板相对于一机器坐标架的对中。
虽然显示压电执行件与多个汽圈178a、278a、378a和478a相结合,在执行装置72中可采用任何数量的压电执行件和汽圈的组合。例如,在例如主体74的一个主体上可只采用一个压电执行件,而主体76接触一或多个与之刚性连接的接触件,或者包括图11、12和13中所示的任意一种汽圈组合。同样,有可能所有与压缩装置关联的汽圈都由压电执行件替代。
参见图11,会需要包括另一对接触件73和75以沿正交于距离D1发生变化的方向的一方向改变它们之间的距离D2。这样,模板26的尺寸可沿两个方向改变。这在克服泊松效应上尤其有用。泊松效应可导致模板26的线性耦合,该耦合迫使启动执行件改变距离D1和D2。具体来说,泊松比是模板26中沿Y和Z向所产生的拉伸应变与在X向上作用在模板26上的压缩应变之比。典型的数值在0.1-0.4范围内。若模板由熔融硅形成,则该比例大约为0.16。只在X向上的尺寸变化,即不希望在Y向上发生尺寸变化,则需要启动执行件以改变距离D1和D2,从而补偿泊松效应。有了任何上述执行装置72的结构就可在模板26上施加力,以改变模板的尺寸并减少记录到刻印层34中的图案的变形,如图2所示。
具体来说,记录到刻印层34中的图案的变形,特别是由于刻印层34和垫片30的变化而引起的变形。这些尺寸变化是由于热起伏以及先前的诸工艺步骤的不精确所引起的,这些尺寸变化产生了通常被称之为的放大/输出误差(magnification/run-out error)。这些放大/输出误差是在垫片30中要对原始图案进行记录的一区域超出原始图案的面积时发生的。此外,这些放大/输出误差可在垫片30中要对原始图案进行记录的区域具有小于原始图案的一面积时发生。当形成多层刻印图案时,放大/输出误差的不利影响加剧,这些图案在图6中示为与图案表面32a叠合的刻印层124。在单步全垫片(single-step full wafer)刻印和分步重复(step-and-repeat)刻印工艺中,面临着放大/输出误差要使两层互相叠合的图案之间适当对准是困难的。
参见图16和17,一分步重复工艺包括在垫片30上限定多个将要记录模具28上的原始图案的区域(图示为区域“a”-“l”)。模具28上的原始图案可与模具28的整个表面一同延伸,或者可只位于其一子部分上。本发明将对原始图案与模具28面对垫片30的表面一同延伸的情况进行描述。分步重复工艺的适当进行可包括模具28与每个区域“a”-“l”的适当对准。为此,模具28包括诸对准标记114a,用记号“+”表示。一个或多个区域“a”-“l”包括诸基准标记110a。在确认对准标记114a与基准标记110a对准之后就可确认模具28同与其叠合的区域“a”-“l”中之一适当对准。为此,可采用机械视觉装置(未示出)来感应对准标记114a和基准标记110a之间的适当对准。在本例中,对准标记114a与基准标记110a叠合则表示适当对准。在伴有放大/输出误差后,适当的对准变得十分困难。
然而,根据本发明的一个实施例,通过在模具28和垫片30之间发生相对尺寸变化,放大/输出误差得到减少(如果不是被避免的话)。具体来说,改变垫片30的温度,从而区域“a”-“l”中之一形成的面积略小于模具28上的原始图案的面积。此后,通过使图8所示的模板26承受使用执行装置72产生的机械压缩力来达到最终补偿放大/输出误差的目的,该压缩力被顺次传递到模具28上,在图17中由互相垂直的箭头F1和F2表示。这样,原始图案的面积就和与其叠合的区域“a”-“l”一同延伸。
参见图5和8,使模板26受压缩力的作用而又通过弯曲作用来调整它的形状。模板26的弯曲也会引起刻印在刻印层34的图案产生变形。通过放置执行装置而将模板26的弯曲控制在所希望的方向上可减少由于模板弯曲而产生的图案变形(如果不能避免的话)。在本例中,放置执行装置72来压缩模板26,从而沿平行于力R且与之相反的方向上弯曲。通过以这种方式控制模板26的弯曲可使用卡盘系统40来抵消弯曲力B,从而使模具28形成所希望的形状,例如弧形、平面等。为此可使用泵系统70来对室54a加压。例如,确定弯曲力B大于力R,则可使用泵系统70将室54a抽成足够的真空以抵消弯曲力B。若弯曲力B小于力R,则可使用泵系统70对室54a适当加压以保持模具28的平面度或其它任何所希望的形状。利用现有技术由可包括在泵系统70中的一处理器(未示出)分析得到的力R和B确定确切的压力范围,从而将室52a和54a加压到适当的水平。同样,可使用已知技术对力R和B进行动态感应,从而在工作以使模板26保持所需的形状的过程中动态建立室52a和54a中的压力。一个附加的好处是室52a和54a中的一个或两个中的压力可为正压,从而便于将模板26从卡盘体42上拆下。这也可通过处理器控制或人工进行。
再参见图8,当用执行装置72压缩模板26时,模板26和支承区58和60之间沿X和Y轴线相对运动。其结果,希望支承区58和60之上分别形成有表面区58a和60a,这些表面区由适于同所述模板26的形状一致并可抵抗沿X和Y轴线的变形的材料制成。这样,表面区58a和60a可抵抗模板26相对于夹盘体42沿X和Y向的运动。
参见图8和18,在另一个实施例中,夹盘体142可包括在第一和第二支承区158和160之间延伸的一或多个壁或挡板(图示为142a、142b、142c和142d)。这样,壁/挡板142a、142b、142c和142d将凹部152分隔成多个在模板26与之叠合放置时充当子腔室的子区域152a、152b、152c和152d。子腔室152a、152b、152c和152d可为流体密封,这可使各子腔室具有一使其与泵系统70流体连通的直通通道(未示出)。作为替换的方案,或者可与其结合的方案是:一旦将模板与之叠合放置时,子腔室152a、152b、152c和152d可不形成流体密封。或者壁142a、142b、142c和142d可与模板26间隔开,以充当通过这些壁流通的流体的挡板。其结果,通过由泵系统70为凹部152设置适当的压力水平,在子腔室152a、152b、152c和152d之间可设置所需的压力差。
参见图2和18,通过设置壁/挡板142a、142b、142c和142d,可为子腔室152a、152b、152c和152d同时设置不同的压力水平。其结果,为将模板26从刻印层34上拉开而施加在模板26上的力的大小可沿模板26的表面而不同。这使模板26可从刻印层34如悬臂伸出或分离出,从而减少在将模板26从刻印层34上分离时在刻印层34上生成的变形或缺陷。例如,子腔室152b中可具有高于其余子腔室152a、152c和152d的压力。其结果,当增加距离“d”时,模板26与子腔室152a、152c和152d叠合的部分所受的拉力大于模板26与子腔室152b叠合的部分所受的拉力。这样,与模板26与子腔室152b叠合的部分处“d”的增加速度相比,与模板26与子腔室152a、152c和152d叠合的部分处“d”的增加速度加快,从而提供了上述的悬臂伸出效应。
如图19所示,在再一个实施例中,卡盘体242包括多个从外凹部252的底面突起的钉子242a。这些钉子242a为通过真空而保持在卡盘体242上的垫片(未示出)提供机械支承。这使支承区258和260上分别形成表面区258a和260a,这些表面区由可与放置在支承区258和260上的垫片(未示出)的表面(未示出)完全一致的材料形成。这样,在表面极端变化时,例如在垫片(未示出)的表面(未示出)和表面区258a和260a之间存在微粒时,可在表面区258a和260a以及垫片(未示出)之间提供流体密封。不需要由表面区258a和260a来提供垫片(未示出)的Z向机械支承。钉子242a可提供这一支承。为此,钉子通常是具有圆形截面的刚性杆。
参见图16、17和20,在操作中,对垫片30在X-Y平面上的精确测量在步骤200中进行。这可通过使用机械视觉装置(未示出)和已知的信号处理技术对垫片30上的总的对准基准110b进行感应而达到。在步骤202中,垫片30的温度可不同,即可上升和降低,从而使区域“a”-“l”中的一个的面积略小于模具28上的原始图案。可通过使用抵靠于垫片30的一温度控制夹盘或底座(未示出)来达到温度的变化。区域“a”-“l”中每个的面积可通过测量同一直线上的两个大概对准的基准110b之间距离的变化来确定。
具体来说,确定沿X和Y轴线之一的同一直线上的两个大概对准的基准之间的距离变化。随后,该距离变化由垫片30上许多沿X轴线的毗邻区域“a”-“l”分割。这使区域“a”-“l”的面积由于垫片30沿X轴线的尺寸变化而发生变化。如果需要,可进行同样的测量,以确定由于垫片30沿Y轴线的尺寸变化而引起的区域“a”-“l”的面积变化。然而,也可假定在垫片30中的尺寸变化可沿两根正交轴线X和Y均一。
在步骤204中,在模具28上施加压缩力F1和F2,以使原始图案的面积与同图案叠合的区域“a”-“l”之一的面积一同伸展。这可通过实时使用机械视觉装置和已知的信号处理技术来确定两个或更多对准标记114a何时与两个或更多个基准标记110a对准而达到。在步骤206中,如果不受破坏,则实现了适当的对准且放大/输出误差得以减少,原始图案被记录在与模具28叠合的区域“a”“l”,形成被记录下的图案。压缩力F1和F2不必一样大,因为垫片30或模具28的尺寸变化不会在所有方向上都均一。另外,放大/输出误差在X-Y向上可不一样。其结果,压缩力F1和F2可不同,以补偿这些不规则变化。另外,为了确保更多地减少放大/输出误差,模具28的尺寸变化可在模具28与刻印层124接触后进行,在图6中示出。然而,这并不必须。
再参见图6、16和17,模具28同与之叠合的区域“a”-“l”的对准可随模具28从刻印层124的分离而进行。如果发现放大/输出误差在整个垫片30上是恒定的,那么力F1和F2的大小可在记录图案的“a”-“l”中的每个区域中保持。然而,如果确定放大/输出误差在一或多个区域“a”-“l”上有所不同,则如图15所示,分别对每个记录原始图案的区域“a”-“l”进行步骤202和204。应该注意的是:对于垫片30和模具28之间的相对尺寸变化是有限制的。例如,区域“a”-“l”的面积应为适当的尺寸,以使模具28上的图案形成可在模具28受压缩力F1和F2时与其一同延展的面积,同时不会危害模具28的结构整体性。
参见图5和21,根据本发明的另一个实施例,在步骤300中在X-Y平面上对垫片30进行精确测量。在步骤302中,确定与模具28叠合的区域“a”-“l”之一的尺寸。在步骤304中确定模具28叠合的区域“a”-“l”之一的面积是否大于模具28上的图案的面积。如果“是”,工艺进行到步骤306,如果“否”,则工艺则进行到步骤308。在步骤308中,模具28同与其叠合的区域“a”-“l”接触放置,且确定施加在模具28上的压缩力F1和F2所需要的大小,从而确保图案的面积可与这个区域“a”-“l”共同延展。在步骤310中,对模具28施加压缩力F1和F2。随后,将模具28从与模具28叠合的区域“a”-“l”上分离下,工艺进行到步骤312,在其中确定在垫片30上是否还留有要记录原始图案的区域“a”-“l”。如果有,则工艺进行到步骤314,其中将模具与下一区域叠合放置,工艺进行到步骤304。否则,工艺在步骤316处结束。
如果在步骤304中确定与模具28叠合的区域“a”-“l”具有的面积大于图案的面积,则工艺进行到步骤306,其中模具28的温度变化以使它膨胀。在本实施例中,模具28在步骤306中被加热,从而图案比与之叠合的区域”a”-“l”的面积略大。然后工艺在步骤310中继续。
上述本发明的实施例是示例性的。可对以上所揭示的内容进行许多的修改和变型,同时又使之在本发明的范围中。例如,通过对所有卡盘体-基板组合加压至正压,可快速使基板从卡盘体上松开。另外,许多以上所讨论的实施例可用于现有的不采用将可聚合材料沉积成珠状点来形成刻印层的刻印平板印刷工艺。可采用本发明的不同实施例的工艺的例子包括在美国专利5772905中所揭示的热压花工艺,该专利以其整体被结合于此作为参考。另外,本发明的许多实施例可使用在杂志《自然》2002年6月的第417期835-837页中的“在硅中超快速直接刻印纳米结构(Ultrafast andDirect Imprint of Nanostructure in Silicon)”一文中所描述的激光辅助直接刻印(LADI)工艺。因此,本发明的范围不应由以上的描述来决定,而应由所附权利要求及其等效内容的整个范围来限定。

Claims (23)

1.一种改变一模板尺寸的系统,该模板具有相对的诸表面,一侧面在它们之间延伸并形成一周边表面,所述系统包括:
第一和第二主体,它们每个都具有一接触件,从而形成一对接触件,这两个接触件互相相对地放置并间隔开一距离;所述第一主体包括一作动臂和毗邻所述作动臂放置的一腔室;所述一对接触件中的一个与所述作动臂连接,从而响应所述作动臂的运动而运动;以及
一汽圈,该汽圈置于腔室中并具有一可变的体积,所述作动臂与所述第一主体连接,从而应所述体积的变化而变化以改变所述距离。
2.如权利要求1所述的系统,其特征在于,所述一对接触件中的一个由一种柔顺性材料形成。
3.如权利要求1所述的系统,其特征在于,所述第一主体还包括:一附加的接触件,该接触件与所述一对接触件中之一间隔开另一距离;以及,一附加的作动臂,所述附加的接触件与所述附加的作动臂连接;所述汽圈被置于所述腔室中,从而所述作动臂和所述附加的作动臂都可应所述体积的变化而变化以改变所述距离和所述另一距离。
4.如权利要求1所述的系统,其特征在于,还包括一附加的汽圈,该附加的汽圈具有与之相关的另一体积;所述主体还包括:一附加的接触件,该接触件与所述一对接触件中之一间隔开另一距离;以及,一附加的作动臂,所述附加的接触件与所述附加的作动臂连接;所述附加的汽圈被置于所述腔室中,从而所述附加的作动臂应所述另一体积的变化而变化以独立于所述距离的变化而改变另一距离。
5.如权利要求1所述的系统,其特征在于,所述作动臂包括所述汽圈所接触的一执行表面,而所述接触件包括适于同所述周边表面接触的一接触表面;所述接触表面的面积小于所述执行表面的面积。
6.如权利要求1所述的系统,其特征在于,所述作动臂与所述第一主体连接,从而绕位于与所述模板间隔开的一平面上的一轴线往复运动。
7.如权利要求1所述的系统,其特征在于,还包括一压缩环,该压缩环围绕一区域并具有面对该区域的一圆周表面,所述第一和第二主体连接于所述圆周表面并互相相对。
8.如权利要求1所述的系统,其特征在于,所述汽圈位于所述腔室中,从而应所述体积的增加而增加所述距离。
9.如权利要求1所述的系统,其特征在于,所述汽圈位于所述腔室中,从而应所述体积的增加而减小所述距离。
10.如权利要求1所述的系统,其特征在于,还包括一附加的汽圈,该附加的汽圈具有与之关联的另一体积;所述汽圈和所述附加的汽圈形成一汽圈系统,所述汽圈位于所述腔室中,从而应所述体积的增加而增加所述距离,而所述附加的汽圈位于所述腔室中,从而应所述体积的增加而减小所述另一距离。
11.一种改变一模板尺寸的系统,该模板具有相对的诸表面,一侧面在它们之间延伸形成一周边表面,所述系统包括:
第一和第二对主体,每个主体都具有一接触件,与所述第一对主体关联的两接触件形成第一对接触件,与所述第二对主体关联的两接触件形成第二对接触件;所述第一对接触件互相相对地放置,所述第二对接触件互相相对地放置;第一对和第二对主体中每一对中的一个主体包括:一作动臂,而毗邻该作动臂放置的是一腔室,所述一对接触件中的一个与所述作动臂连接,从而应所述作动臂的运动而运动;以及,一汽圈,该汽圈置于腔室中并具有一可变的体积,所述作动臂与所述第一主体连接,从而应所述体积的变化而变化以改变所述距离。
12.如权利要求11所述的系统,其特征在于,所述一个主体还包括:一附加的接触件,该接触件与所述一对接触件中之一间隔开另一距离;以及,一附加的作动臂,所述附加的接触件与所述附加的作动臂连接;所述汽圈被置于所述腔室中,从而所述作动臂和所述附加的作动臂都可应所述体积的变化而变化以改变所述距离和所述另一距离。
13.如权利要求12所述的系统,其特征在于,还包括一附加的汽圈以与所述附加的作动臂接触,该附加的汽圈具有与之关联的另一体积;所述附加的汽圈被置于所述腔室中,从而所述附加的作动臂应所述另一体积的变化而变化以独立于所述距离的变化而改变另一距离。
14.如权利要求13所述的系统,其特征在于,所述第一和第二对中的每对所述接触件中的一个由柔顺性材料制成。
15.如权利要求11所述的系统,其特征在于,所述作动臂包括所述汽圈所接触的一执行表面,而所述接触件包括适于同所述周边表面接触的一接触表面;所述接触表面的面积小于所述执行表面的面积。
16.如权利要求11所述的系统,其特征在于,所述作动臂与所述第一主体连接,从而绕位于与所述模板间隔开的一平面上的一轴线往复运动。
17.如权利要求11所述的系统,其特征在于,还包括一压缩环,该压缩环围绕一区域并具有面对该区域的一圆周表面,所述第一和第二对主体连接于所述圆周表面,第一对主体的两个主体互相相对地放置,第二对主体中的两个主体互相相对地放置。
18.一种改变一模板尺寸的系统,该模板具有相对的诸表面,一侧面在它们之间延伸形成一周边表面,所述系统包括:
一压缩装置,该压缩装置包括一对间隔开的接触件以压缩所述间隔开的一对接触件之间的所述周边表面,所述一对间隔开的接触件中之一由一种材料制成以符合所述周边表面的形状。
19.如权利要求18所述的系统,其特征在于,所述压缩装置还包括:一发生器,用于产生一力,使所述周边表面在所述接触件之间被压缩;以及,一作用力放大器,用于增加作用在所述一对接触件之一上的单位面积上的所述的力。
20.如权利要求18所述的系统,其特征在于,所述压缩装置还包括:第一和第二主体,它们每个都具有一接触件,从而形成一对接触件,这两个接触件互相相对地放置并间隔开一距离;所述第一主体包括一作动臂和毗邻所述作动臂放置的一腔室;所述一对接触件中的一个与所述作动臂连接,从而应所述作动臂的运动而运动;以及,一汽圈,该汽圈置于腔室中并具有一可变的体积,所述作动臂与所述第一主体连接,从而应所述体积的变化而变化以改变所述距离。
21.如权利要求20所述的系统,其特征在于,所述作动臂包括所述汽圈所接触的一执行表面,而所述接触件包括适于同所述周边表面接触的一接触表面;所述接触表面的面积小于所述执行表面的面积。
22.如权利要求20所述的系统,其特征在于,所述作动臂与所述第一主体连接,从而绕位于与所述模板间隔开的一平面上的一轴线往复运动。
23.如权利要求20所述的系统,其特征在于,还包括一压缩环,该压缩环围绕一区域并具有面对该区域的圆周表面,所述第一和第二主体连接于所述圆周表面并互相相对。
CNA2004800230671A 2003-07-09 2004-07-08 用于刻印平版印刷工艺的放大和变形矫正的系统 Pending CN1871103A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/616,294 US7150622B2 (en) 2003-07-09 2003-07-09 Systems for magnification and distortion correction for imprint lithography processes
US10/616,294 2003-07-09

Publications (1)

Publication Number Publication Date
CN1871103A true CN1871103A (zh) 2006-11-29

Family

ID=33564732

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004800230671A Pending CN1871103A (zh) 2003-07-09 2004-07-08 用于刻印平版印刷工艺的放大和变形矫正的系统

Country Status (8)

Country Link
US (1) US7150622B2 (zh)
EP (1) EP1642170A4 (zh)
JP (1) JP4594305B2 (zh)
KR (1) KR20060034694A (zh)
CN (1) CN1871103A (zh)
MY (1) MY137556A (zh)
TW (1) TW200522155A (zh)
WO (1) WO2005006076A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105425538A (zh) * 2014-09-12 2016-03-23 佳能株式会社 压印装置、压印系统和物品的制造方法

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7641840B2 (en) 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
JP4455093B2 (ja) 2004-02-20 2010-04-21 キヤノン株式会社 モールド、モールドを用いた加工装置及びモールドを用いた加工方法
US8334967B2 (en) * 2004-05-28 2012-12-18 Board Of Regents, The University Of Texas System Substrate support system having a plurality of contact lands
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US7768624B2 (en) * 2004-06-03 2010-08-03 Board Of Regents, The University Of Texas System Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7292326B2 (en) * 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
JP4773729B2 (ja) * 2005-02-28 2011-09-14 キヤノン株式会社 転写装置およびデバイス製造方法
JP4700996B2 (ja) * 2005-04-19 2011-06-15 東芝機械株式会社 転写装置
US7611348B2 (en) * 2005-04-19 2009-11-03 Asml Netherlands B.V. Imprint lithography
US7648354B2 (en) * 2005-04-28 2010-01-19 Toshiba Kikai Kabushiki Kaisha Transfer apparatus having gimbal mechanism and transfer method using the transfer apparatus
JP4729338B2 (ja) * 2005-05-10 2011-07-20 東芝機械株式会社 転写装置
JP4701008B2 (ja) * 2005-05-25 2011-06-15 東芝機械株式会社 ジンバル機構を備えた転写装置
JP4533358B2 (ja) * 2005-10-18 2010-09-01 キヤノン株式会社 インプリント方法、インプリント装置およびチップの製造方法
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
EP1957249B1 (en) 2005-12-08 2014-11-12 Canon Nanotechnologies, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US7802978B2 (en) * 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8215946B2 (en) * 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
US7946837B2 (en) * 2006-10-06 2011-05-24 Asml Netherlands B.V. Imprint lithography
US7632088B2 (en) * 2006-10-20 2009-12-15 Provost Fellows And Scholars Of The College Of The Holy And Undivided Trinity Of Queen Elizabeth Near Dublin Cyclic loading system and methods for forming nanostructures
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
NL1036034A1 (nl) 2007-10-11 2009-04-15 Asml Netherlands Bv Imprint lithography.
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
JP5065121B2 (ja) * 2008-03-28 2012-10-31 東京エレクトロン株式会社 レジスト液供給装置、レジスト液供給方法、プログラム及びコンピュータ記憶媒体
US8043085B2 (en) * 2008-08-19 2011-10-25 Asml Netherlands B.V. Imprint lithography
US8652393B2 (en) 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8309008B2 (en) * 2008-10-30 2012-11-13 Molecular Imprints, Inc. Separation in an imprint lithography process
CN102438841A (zh) 2009-03-23 2012-05-02 因特瓦克公司 用于图案化介质中的岛与沟槽的比值优化的工艺
NL2004266A (en) 2009-04-27 2010-10-28 Asml Netherlands Bv An actuator.
NL2004409A (en) * 2009-05-19 2010-11-22 Asml Netherlands Bv Imprint lithography apparatus.
US9164375B2 (en) * 2009-06-19 2015-10-20 Canon Nanotechnologies, Inc. Dual zone template chuck
US8913230B2 (en) * 2009-07-02 2014-12-16 Canon Nanotechnologies, Inc. Chucking system with recessed support feature
NL2004735A (en) 2009-07-06 2011-01-10 Asml Netherlands Bv Imprint lithography apparatus and method.
US20110084417A1 (en) * 2009-10-08 2011-04-14 Molecular Imprints, Inc. Large area linear array nanoimprinting
JP5669466B2 (ja) * 2010-07-12 2015-02-12 キヤノン株式会社 保持装置、インプリント装置及び物品の製造方法
JP5337114B2 (ja) * 2010-07-30 2013-11-06 株式会社東芝 パタン形成方法
JP5637785B2 (ja) 2010-09-06 2014-12-10 キヤノン株式会社 原版、及びそれを用いた物品の製造方法
JP4774125B2 (ja) * 2010-10-04 2011-09-14 キヤノン株式会社 転写装置、型、および、デバイス製造方法
JP5744548B2 (ja) * 2011-02-02 2015-07-08 キヤノン株式会社 保持装置、それを用いたインプリント装置および物品の製造方法
JP5759303B2 (ja) * 2011-08-11 2015-08-05 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法
JP6061524B2 (ja) * 2011-08-11 2017-01-18 キヤノン株式会社 インプリント装置および物品の製造方法
JP6021606B2 (ja) 2011-11-28 2016-11-09 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法、およびインプリント方法
KR102056902B1 (ko) 2013-05-29 2019-12-18 삼성전자주식회사 와이어 그리드 편광판 및 이를 구비하는 액정 표시패널 및 액정 표시장치
KR102089661B1 (ko) 2013-08-27 2020-03-17 삼성전자주식회사 와이어 그리드 편광판 및 이를 구비하는 액정 표시패널 및 액정 표시장치
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
JP6552329B2 (ja) * 2014-09-12 2019-07-31 キヤノン株式会社 インプリント装置、インプリントシステム及び物品の製造方法
JP6552185B2 (ja) * 2014-11-20 2019-07-31 キヤノン株式会社 インプリント装置、補正機構の校正方法、および物品の製造方法
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US11454883B2 (en) 2016-11-14 2022-09-27 Canon Kabushiki Kaisha Template replication
US10606170B2 (en) 2017-09-14 2020-03-31 Canon Kabushiki Kaisha Template for imprint lithography and methods of making and using the same

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US81193A (en) * 1868-08-18 Improved stump-joint for carriages
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
GB1578259A (en) * 1977-05-11 1980-11-05 Philips Electronic Associated Methods of manufacturing solid-state devices apparatus for use therein and devices manufactured thereby
US4326805A (en) * 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4492554A (en) * 1980-08-26 1985-01-08 Corn States Metal Fabricators, Inc. Valve unit for a mold vent
FR2538923A1 (fr) * 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4724222A (en) * 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
KR900004269B1 (ko) * 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) * 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US5066351A (en) * 1987-05-20 1991-11-19 The Boeing Company Hot/cold press forming methods for shaping thermoformable materials
US5096368A (en) * 1987-11-20 1992-03-17 Butterfield Floyd S Method for storing and transporting stacks of flexible sheets
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) * 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US5151242A (en) * 1988-12-08 1992-09-29 Sumitomo Rubber Industries, Ltd. Method for vulcanizing elastomer products and apparatus therefor
JP2704001B2 (ja) * 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US5152949A (en) * 1990-12-19 1992-10-06 United Technologies Corporation Tooling method for resin transfer molding
US5206983A (en) * 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5204739A (en) * 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5776748A (en) * 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5573877A (en) * 1994-03-15 1996-11-12 Matsushita Electric Industrial Co., Ltd. Exposure method and exposure apparatus
US5563684A (en) * 1994-11-30 1996-10-08 Sgs-Thomson Microelectronics, Inc. Adaptive wafer modulator for placing a selected pattern on a semiconductor wafer
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US6518168B1 (en) * 1995-08-18 2003-02-11 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5545570A (en) * 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US5820894A (en) * 1995-10-06 1998-10-13 Mcdonnell Douglas Corporation Method and apparatus for consolidating a workpiece at elevated temperature
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
JP2842362B2 (ja) * 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) * 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
US5802914A (en) * 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US6039897A (en) * 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
JPH10172897A (ja) * 1996-12-05 1998-06-26 Nikon Corp 基板アダプタ,基板保持装置及び基板保持方法
US6049373A (en) * 1997-02-28 2000-04-11 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
JP3296239B2 (ja) 1997-03-27 2002-06-24 ウシオ電機株式会社 間隙設定機構を備えたプロキシミティ露光装置
US5948470A (en) * 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
JP3031301B2 (ja) * 1997-06-25 2000-04-10 日本電気株式会社 銅配線構造およびその製造方法
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5991022A (en) * 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6019166A (en) * 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
JP4846888B2 (ja) 1998-12-01 2011-12-28 キヤノン株式会社 位置合わせ方法
US6388755B1 (en) * 1998-12-03 2002-05-14 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
FR2792246B1 (fr) * 1999-04-16 2001-06-22 Aerospatiale Outillage de mise en forme pour la polymerisation de pieces profilees en materiau composite
US6522411B1 (en) * 1999-05-25 2003-02-18 Massachusetts Institute Of Technology Optical gap measuring apparatus and method having two-dimensional grating mark with chirp in one direction
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
AU779699B2 (en) * 1999-12-23 2005-02-10 Universitat Konstanz Methods and apparatus for forming submicron patterns on films
JP2001358056A (ja) * 2000-06-15 2001-12-26 Canon Inc 露光装置
US6696220B2 (en) * 2000-10-12 2004-02-24 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro-and nano-imprint lithography
CN100504598C (zh) * 2000-07-16 2009-06-24 得克萨斯州大学系统董事会 用于平版印刷工艺中的高分辨率重叠对齐方法和系统
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
AU2001286573A1 (en) * 2000-08-21 2002-03-04 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
US6451705B1 (en) * 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
US6790763B2 (en) * 2000-12-04 2004-09-14 Ebara Corporation Substrate processing method
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6791669B2 (en) * 2001-04-12 2004-09-14 Nikon Corporation Positioning device and exposure apparatus including the same
US6383888B1 (en) * 2001-04-18 2002-05-07 Advanced Micro Devices, Inc. Method and apparatus for selecting wafer alignment marks based on film thickness variation
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
CN100347608C (zh) * 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US20030080472A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
CA2482566C (en) * 2002-04-16 2010-07-20 Princeton University Gradient structures interfacing microfluidics and nanofluidics, methods for fabrication and uses thereof
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6665119B1 (en) 2002-10-15 2003-12-16 Eastman Kodak Company Wire grid polarizer
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
EP1606834B1 (en) * 2003-03-27 2013-06-05 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105425538A (zh) * 2014-09-12 2016-03-23 佳能株式会社 压印装置、压印系统和物品的制造方法
US10331027B2 (en) 2014-09-12 2019-06-25 Canon Kabushiki Kaisha Imprint apparatus, imprint system, and method of manufacturing article

Also Published As

Publication number Publication date
JP4594305B2 (ja) 2010-12-08
US20050006343A1 (en) 2005-01-13
WO2005006076A2 (en) 2005-01-20
KR20060034694A (ko) 2006-04-24
EP1642170A2 (en) 2006-04-05
US7150622B2 (en) 2006-12-19
MY137556A (en) 2009-02-27
TW200522155A (en) 2005-07-01
WO2005006076A3 (en) 2006-07-06
JP2007535121A (ja) 2007-11-29
EP1642170A4 (en) 2009-04-15

Similar Documents

Publication Publication Date Title
CN1871103A (zh) 用于刻印平版印刷工艺的放大和变形矫正的系统
EP1567913B1 (en) A chucking system and method for modulating shapes of substrates
US7323130B2 (en) Magnification correction employing out-of-plane distortion of a substrate
US6980282B2 (en) Method for modulating shapes of substrates
US7019819B2 (en) Chucking system for modulating shapes of substrates
US7170589B2 (en) Apparatus to vary dimensions of a substrate during nano-scale manufacturing
JP3958344B2 (ja) インプリント装置、インプリント方法及びチップの製造方法
CN1455888A (zh) 影印用于平版印刷工艺中的自动化液体分配的方法和系统
JP6983091B2 (ja) インプリント装置、および、物品の製造方法
JP2017126723A (ja) インプリント装置及び物品の製造方法
JP2020194893A (ja) インプリント装置、インプリント方法、および物品の製造方法
JP2020188126A (ja) インプリント装置、インプリント方法および物品製造方法
JP2019216196A (ja) 成形装置及び物品の製造方法
CN116056865A (zh) 成型装置及物品制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20061129