CN1941387A - 半导体结构及其制造方法 - Google Patents

半导体结构及其制造方法 Download PDF

Info

Publication number
CN1941387A
CN1941387A CNA2006101157388A CN200610115738A CN1941387A CN 1941387 A CN1941387 A CN 1941387A CN A2006101157388 A CNA2006101157388 A CN A2006101157388A CN 200610115738 A CN200610115738 A CN 200610115738A CN 1941387 A CN1941387 A CN 1941387A
Authority
CN
China
Prior art keywords
nitride film
composition
stress
pfet
nfet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006101157388A
Other languages
English (en)
Other versions
CN100464425C (zh
Inventor
D·奇丹巴尔拉奥
W·K·汉森
K·里姆
W·C·威尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1941387A publication Critical patent/CN1941387A/zh
Application granted granted Critical
Publication of CN100464425C publication Critical patent/CN100464425C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Local Oxidation Of Silicon (AREA)

Abstract

本发明提供了一种用于在不同导电率的MOS晶体管的沟道中,使用高应力氮化物膜结合选择性绝缘体上半导体(SOI)器件结构产生应力的方法。具体地,提供了一种在浅沟槽隔离(STI)工艺中使用压缩和拉伸氮化物膜的方法。当此方法应用于选择性SOI结构时,可获得高应力值。

Description

半导体结构及其制造方法
技术领域
本发明涉及半导体器件制造,更具体地说,涉及在不同导电率的金属氧化物半导体(MOS)器件的沟道区域中使用高应力氮化物膜结合选择性绝缘体上半导体(SOI)器件结构产生应力的方法。特别地,本发明方法使用压缩和拉伸氮化物膜作为在浅沟槽隔离(STI)工艺中的双衬垫氮化物,以在MOS晶体管的沟道区域中引入应力。当此方法应用于选择性SOI器件结构时,可得到高应力值。本发明还涉及利用本申请的方法制造的半导体结构。
背景技术
常规栅极长度和栅极介质按比例缩放的互补金属氧化物半导体(CMOS)技术不再产生器件性能的期望改进。寄生电阻和电容成为利用每个新技术节点提高器件性能的基本限制因素。因此要求新的材料和器件结构以克服降低器件性能的这些基本的缩放障碍。
克服这些影响的一个方法是通过增加在沟道中的载流子的迁移率增加金属氧化物半导体场效应晶体管(MOSFET)的驱动电流。已公知,应用机械应力可以充分提高或降低半导体中的电子或空穴的迁移率;然而,还已知,电子和空穴对相同类型的应力具有不同的响应。例如,在电流流动的纵向上施加压缩应力对空穴迁移率有利,但是对电子迁移率有害。在纵向上施加拉伸应力对电子迁移率有利,但是对空穴迁移率有害。
目前,现有技术的状态是使用在硅化后沉积的应力氮化物衬里,以向沟道施加纵向应力并且因此增加CMOS器件的驱动电流。然而,急需发展一种集成方案,允许在合适的器件(nFET或pFET)上施加期望的应力(压缩或拉伸)以使CMOS技术的性能最大化。不幸的是,应力衬里的使用已经接近可以施加到CMOS器件的沟道上的应力大小的极限。
鉴于上述原因,需要提供一种可选方法以在沟道中获得具有期望应力类型(对pFET是压缩而对nFET是拉伸)的更高的应力大小(并且从而获得更高的迁移率)。
发明内容
本发明提供了一种在不同导电率的MOS晶体管的沟道中使用高应力氮化物膜结合选择性绝缘体上半导体(SOI)器件结构产生应力的方法。更具体地说,本发明提供一种在浅沟槽隔离(STI)工艺中使用压缩和拉伸氮化物膜作为双衬垫氮化物的方法。当本发明的此方法应用于选择性SOI器件结构时,可得到高应力值。“高应力值”指利用本发明方法可以在沟道中获得的应力值在约500MPa的量级或更大。更具体地说,本发明方法在器件沟道中提供从约600到约700MPa的应力范围。本发明方法可以应用于包括至少一个nFET器件区域和至少一个pFET器件区域的选择性SOI器件结构。
根据本发明,利用本发明方法可以将高应力值引入到MOS器件的沟道区域中,其中与选择性SOI器件结构结合在MOS隔离工艺(例如,STI工艺)中使用双应力氮化物膜作为衬垫氮化物膜。双应力氮化物衬里指在晶片上沉积拉伸和压缩两种氮化物膜覆盖期望的器件区域(例如nFET区域或pFET区域)。在常规体硅晶片中使用高应力氮化物膜作为隔离工艺的衬垫氮化物膜没有引入大量应变,这是选择性SOI结构重要的原因。
这里使用的术语“选择性SOI结构”,指包括顶含Si半导体层和底含Si半导体层的SOI衬底,其中部分顶含Si半导体层通过分离掩埋氧化物区域与含Si半导体层分开。根据本发明,仅在最终存在MOS器件的源极/漏极结的区域下面存在分离掩埋氧化物;在MOS器件的沟道区域下面不存在分离掩埋氧化物区域。
通过下面的步骤形成选择性SOI结构,首先在器件的结下面提供横向空隙,其将保持在其上引起的应力并且最终用形成结构的掩埋氧化物区域的氧化物填充。下面的横向空隙在器件的边缘处形成自由表面。自由表面围绕器件的边缘,当施加机械应力时允许表面处的含硅材料弯曲。拉伸氮化物膜提供将含Si材料的边缘向中心拉的横向力。作为来自氮化物衬垫的拉伸力的结果,含Si材料在边缘处向上弯曲,在含Si沟道的中心产生压缩应变。当压缩氮化物用作衬垫氮化物时,压缩氮化物膜在拉伸膜的相反方向提供力,并且作为结果,含Si材料在边缘处向下弯曲,在含Si沟道的中心产生拉伸应变。因此,为了在nFET和pFET上获得期望类型的应力,压缩衬垫氮化物用于nFET器件并且拉伸衬垫氮化物用于pFET器件。另外,为了获得高级别的应力,必须在随后具有选择性SOI结构的衬底上施加双应力衬垫氮化物,这对期望的驱动电流的增加是必须的。
总体上,本发明方法包括以下步骤:
提供含Si结构,具有至少一个第一器件区域和至少一个第二器件区域,所述结构在其中包括分离n掺杂区域;
形成第一材料叠层,包括第一衬垫氧化物和具有第一应力的构图第一氮化物膜,其中所述构图氮化物膜位于一个所述器件区域上;
形成第二材料叠层,包括第二衬垫氧化物和具有与所述第一应力的应力类型不同的第二应力的构图第二氮化物膜,其中所述构图第二氮化物膜位于不包括所述构图第一氮化物膜的一个所述器件区域上;
在所述第一和第二器件区域之间在所述第一和第二材料叠层中形成沟槽,所述沟槽向下延伸穿过至少一个所述n掺杂区域;
横向蚀刻所述n掺杂区域以在所述沟槽的每侧上形成保持所述上面的氮化物膜的应力的空隙;以及
用氧化物填充所述空隙和沟槽。
在填充步骤后,从该结构移除所述第一和第二材料叠层的各层并且形成nFET和pFET器件。根据本发明,在该结构处于压缩应力下的部分上形成pFET,而在该结构处于拉伸应力下的部分上形成nFET。该结构具有选择性SOI结构以便nFET和pFET的结位于填充空隙上面,而器件沟道不是如此。
除了上述方法外,本发明还提供了包括应力沟道区域的半导体结构,其应力在上述范围内。本发明的半导体结构包括:
选择性SOI衬底,包括顶和底含Si层,其在预定区域中由分离掩埋氧化物区域分开;
至少一个nFET,包括源极/漏极扩散区域和拉伸应变沟道,位于所述衬底的一部分上;以及
至少一个pFET,包括源极/漏极扩散区域和压缩应变沟道,位于所述衬底的另一部分上,其中所述至少一个nFET和所述至少一个pFET的所述源极/漏极扩散区域位于所述分离掩埋氧化物区域上,并且所述应变沟道不位于所述分离掩埋氧化物区域上。
附图说明
图1(通过截面图)示出了在本发明中利用的选择性SOI结构的示意图。
图2A-2B(通过截面图)示出了具有拉伸氮化硅SiN层(图2A)和压缩SiN层(图2B)的选择性SOI结构的示意图。
图3-11(通过截面图)示出了本发明的基本工艺步骤的示意图。
具体实施方式
下面将通过参考随后的描述和结合本申请的附图更详细地描述本发明,其提供了用于在不同导电率的MOS晶体管的沟道区域中产生应力的方法以及利用该方法形成的结构。注意,本申请的附图用于说明目的,因此没有按比例画出。
根据本发明,向MOS器件的沟道施加大应力有两个关键特征:(1)在STI隔离工艺中使用双应力氮化物膜作为衬垫氮化物以及,(2)必须向随后具有选择性SOI器件结构的结构施加双氮化物隔离工艺。双应力氮化物衬里指在晶片上沉积拉伸和压缩氮化物膜覆盖期望的器件区域(nFET或pFET)。在标准体硅晶片中使用高应力氮化物膜作为STI工艺的衬垫氮化物不能在硅中引入大量应变,这是选择性SOI结构重要的原因。选择性SOI结构允许在含Si材料下面形成横向空隙,其将最终包括器件的S/D结。下面的横向空隙在器件的边缘产生自由表面。围绕器件边缘的自由表面,当施加机械应力时,允许表面处的含硅材料弯曲。从隔离沟槽的底边延伸的这些横向空隙,保持通过上面的应力氮化物层引起的应力。另外,这些空隙随后由氧化物填充,形成选择性SOI衬底的掩埋氧化物区域。填充空隙的氧化物与填充沟槽隔离区域的氧化物接触。
图1示出了本发明使用的选择性SOI结构。具体地,SOI结构10包括顶含Si半导体层16和底含Si半导体层12,其中部分顶含Si半导体层16与底含Si半导体层12通过分离掩埋氧化物区域14隔开。根据本发明,分离掩埋氧化物区域14存在于最终将接收MOS晶体管的源极/漏极结的顶含Si半导体层的区域下面。在将存在MOS沟道的顶含Si半导体层的区域下面不存在分离掩埋氧化物。本发明中使用的选择性SOI结构先前已经在2003年6月26日提交的共同待审和共同受让的美国专利申请No.10/604,102和2004年1月8号提交的10/754,320中进行了描述,在此引入这两个的整个内容作为参考。注意,图1还示出了标记为STI的沟槽隔离区域的存在。
在当前的整个申请中使用的术语“含Si半导体”指任何包括硅的半导体材料。此含Si半导体材料的示意性实例包括,但不仅限于:Si,SiGe,SiGeC,SiC,Si/Si,Si/SiC,Si/SiGe,以及Si/SiGeC。优选,含Si层12和16都由Si构成。含Si层12和16可以掺杂或未掺杂。
SOI衬底的顶含Si层16优选具有从约50nm到约200nm的厚度,更优选具有从约75nm到约100nm的厚度。底含Si层12的厚度优选从约500μm到约750μm。分离掩埋氧化物区域14可以是结晶或非晶氧化物,优选具有从约30到约100nm的厚度。
图2A示出了当在表面上存在高拉伸应力(应力>1GPa)时含Si材料如何弯曲。拉伸氮化物膜提供将含Si材料的边缘向中心拉的横向力。作为来自衬垫氮化物的拉伸力的结果,含Si材料在边缘向上弯曲,在含Si材料沟道的中心产生压缩应变。图2B示出了当压缩氮化物用作衬垫氮化物时的实例。压缩氮化物膜在拉伸膜的相反方向提供力并且作为结果含Si材料在边缘处向下弯曲,在含Si材料沟道的中心产生拉伸应变。因此,为了在nFET和pFET上获得期望类型的应力,压缩衬垫氮化物必须用于nFET器件,并且拉伸衬垫氮化物用于pFET。另外,为了获得对期望的驱动电流的增加所必须的高级别的应力,必须在衬底例如最终具有选择性SOI结构的结构上施加双应力衬垫氮化物。
现在参考图3-11,其通过截面图示出了本发明的基本工艺步骤。具体地,图3示出了在制造本发明的结构中使用的初始结构100。如所示,初始结构100包括体含Si衬底102,其中形成n掺杂区域104。该初始结构还包括第一器件区域101A和第二器件区域101B。第一器件区域101A是将要形成nFET或pFET的区域,而第二器件区域101B是将在随后形成与在第一器件区域中的FET相比具有相反导电类型的FET的区域。
n掺杂区域104是随后将在其中形成SOI衬底10的掩埋绝缘体14的区域。优选在体含Si衬底100的上表面处或附近形成n掺杂区域104。“附近”指n掺杂区域104的上表面在距体含Si衬底102的上表面约50nm或更小内。
利用掩蔽离子注入工艺形成n掺杂区域104。在后面的工艺流程中,对未掺杂区域具有选择性地蚀刻掉n掺杂区域104,形成空隙;该空隙将有助于保持由上面的应力氮化物膜引起的应力并且用形成选择性SOI衬底的分离掩埋氧化物区域的氧化物填充,。在形成n掺杂区域104中使用的注入核素优选As,P或Sb。在形成n掺杂区域104中使用的注入能量优选在从约5KeV到约20KeV的范围内,并且优选使用从约5E14到约2E15原子/cm2的离子剂量。在注入后退火,以使n型掺杂剂激活和扩散。体含Si衬底102将变为选择性SOI衬底10的底含Si衬底12,如图1中所示。
图4示出了在初始结构100顶部形成含Si层106后的结构。通过外延生长工艺形成含Si层106,用作如图1所示的选择性SOI衬底10的顶含Si层16。含Si层106的厚度变化依赖于SOI层的期望厚度和随后形成的源极/漏极结的深度。优选,含Si层106的厚度从约5到约100nm。
下一步,在结构上形成包括第一衬垫氧化物110和具有第一应力(拉伸或压缩)的第一氮化物膜112的第一材料叠层108,如图4所示。根据本发明,第一衬垫氧化物110位于第一氮化物膜112下面,在含Si层106的表面上。通过常规的热氧化工艺或如化学气相沉积、等离子体增强化学气相沉积、蒸发、化学溶液沉积和原子层沉积的常规沉积工艺形成第一衬垫氧化物110。第一衬垫氧化物110优选具有从约2到约10nm的厚度。利用如化学气相沉积(CVD)或等离子体增强化学气相沉积(PECVD)的常规沉积工艺形成具有第一应力的第一氮化物膜112。具有第一应力的第一氮化物膜112的厚度优选从约30到约100nm。
然后,从器件区域的一个上选择蚀刻掉具有第一应力的第一氮化物膜112,以便剩余第一氮化物膜112存在于对随后在其中形成的MOS器件的驱动电流具有最大效果的器件区域中。因此,例如,如果拉伸SiN膜用作膜112,选择蚀刻工艺从随后将形成nFET器件的器件区域移除拉伸SiN膜。如果压缩膜用作膜112,从随后将形成pFET器件的器件区域选择移除压缩SiN膜。通过首先向膜112的上表面提供光致抗蚀剂,并且随后利用常规光刻工艺在光致抗蚀剂中形成图形(即,将光致抗蚀剂在辐射图形中曝光并且利用常规抗蚀剂显影剂显影曝光后的光致抗蚀剂),实现选择蚀刻。在光刻后,实施对氧化物具有选择性地移除氮化物的蚀刻工艺。该蚀刻工艺可以包括如反应离子蚀刻,等离子体蚀刻,离子束蚀刻或激光烧蚀的任何干蚀刻技术。可选地,还可以使用利用化学蚀刻剂的湿蚀刻技术。在本发明的一个实施例中,最终结构包括在未构图衬垫氧化物110的顶部的构图第一氮化物膜112,如图5所示。虽然在第二器件区域101B上示出了构图第一氮化物膜112,但是本发明还预期构图第一氮化物膜112仅位于第一器件区域101A上的情况。
下一步,在图5示出的结构上形成包括第二衬垫氧化物116和具有与第一氮化物膜112的应力类型不同的第二应力的第二氮化物膜118的第二材料层114。在第一衬垫氧化物110和构图氮化物膜112的暴露表面上形成第二衬垫氧化物116。第二衬垫氧化物116用于在随后构图第二氮化物膜118期间保护第一氮化物膜112。第二衬垫氧化物116和第二氮化物膜118的工艺和厚度类似于上面对第一衬垫氧化物110和第一氮化物膜112的那些描述。在图6中示出了包括第二材料层114的结构。
随后,构图图6中示出的结构(如上所述)以从先前包括第一氮化物膜112的结构区域移除第二氮化物膜118。在图7中示出了此结构。如图所示,第一器件区域101A仅包括,例如,第二氮化物膜118,而第二器件区域101B仅包括第一氮化物膜112。可以根据随后在具体区域形成的器件的类型转换第一和第二氮化物膜的位置。在一个实施例中,第一器件区域101A是由压缩氮化物膜118覆盖的nFET器件区域,而第二器件区域101B是由拉伸氮化物膜112覆盖的pFET器件区域。在另一个实施例中,第一器件区域101A是由拉伸氮化物膜118覆盖的pFET器件区域,而第二器件区域101B是由压缩氮化物膜112覆盖的nFET器件区域。再次强调,压缩膜导致沟道在拉伸应变下,而拉伸膜导致沟道在压缩应变下。
图8示出了在图7示出的结构中形成至少一个沟槽开口120后的结构。如图所示,在第一和第二器件区域(分别为101A和101B)之间形成沟槽开口120,向下延伸并且穿过至少一个未掺杂区域104。通过光刻和蚀刻形成沟槽开口120。蚀刻工艺可以包括单蚀刻(湿或干)或其组合。
图9示出了在执行可以从含Si材料中形成空隙122的结构移除n掺杂区域104的横向蚀刻工艺后形成的结构。此步骤对在位于空隙上的含Si材料中产生应力是必需的。利用与未掺杂半导体区域相比对n掺杂区域104具有选择性的任何蚀刻工艺执行横向蚀刻。例如,在本发明的此步骤中可以使用在氯,即Cl2中的干蚀刻。
注意,横向蚀刻后,表面附近的含Si材料自由弯曲,由拉伸和压缩氮化硅膜提供的力引起,如上面关于图2A和2B的描述。结果,在沟道区域中的含Si材料为高应变(即,在拉伸应变氮化硅膜下的含Si材料处在压缩应变下,而在压缩应变氮化硅膜下的含Si材料处在拉伸应变下)
下一步,如在图10中所示,利用如等离子体辅助化学气相沉积的常规沉积工艺用氧化物填充由上述横向蚀刻工艺产生的至少一个沟槽开口120和空隙122。注意,与沟槽隔离区域124连接的氧化物填充空隙123形成图1中示出的分离和掩埋氧化物区域14。沉积后,使用如化学机械抛光(CMP)和/或研磨的平整化工艺以完成沟槽隔离区域124的形成。提及的一个关键方面是在由氮化物膜产生的含Si沟道中的应变因为氧化物的填充保留在含Si材料中。
然后对图10中示出的结构进行另一个平整化工艺,该工艺从该结构移除任何残留的衬垫氧化物和氮化物膜并且其后利用常规的CMOS工艺在平整化结构的表面上形成的MOS器件。本发明的此步骤提供图11中示出的结构,其中在第一器件区域101A中形成第一导电类型的第一FET 126并且在第二器件区域101B中形成不同于第一导电类型的第二导电类型的第二FET 128。具体地,在处于拉伸应变下的区域中形成nFET而在处于压缩应变下的区域中形成pFET。
如上所述,利用本领域的技术人员公知的常规互补金属氧化物半导体(CMOS)工艺步骤形成FET。例如可以通过首先在SOI衬底的顶含Si层的上表面上形成栅极介质层130形成每个FET。本发明中使用的术语“栅极介质”指典型地用作MOSFET的栅极介质的如氧化物,氮化物或氧氮化物的任何绝缘材料。通过如化学气相沉积(CVD),等离子体辅助CVD,原子层沉积,物理气相沉积,或其它类似沉积工艺的常规沉积工艺形成栅极介质130。可选地,可以通过热氧化,氮化或氧氮化工艺形成栅极介质130。优选栅极介质130的厚度从约1到约10nm。
在栅极介质形成后,在栅极介质的顶部形成栅极导体132。栅极导体132可以包括任何导电材料,包括例如,掺杂多晶硅,导电元素金属,导电元素金属的合金,导电元素金属的硅化物,导电元素金属的氮化物,或其任意组合。在栅极导体132的内部或顶部形成扩散阻挡层和/或介质覆层。可以通过如CVD,等离子体辅助CVD,蒸发,溅射,电镀或其它类似沉积工艺的常规沉积工艺形成栅极导体132。当应用多晶硅时,多晶硅栅极导体是原位或通过沉积并随后进行离子注入形成的掺杂材料。栅极导体132的厚度优选从约100到约300nm。
注意,不同导电率的FET的栅极介质和栅极导体可以由相同的材料构成或者使用不同的栅极介质和/或栅极导体。
在形成栅极导体之后,通过光刻和蚀刻构图栅极导体特别是栅极介质。下一步,优选在至少构图栅极导体的暴露侧壁上形成(通过沉积和蚀刻)绝缘隔离物(未示出)。在形成隔离物之后,在SOI衬底的顶含Si层中通过离子注入和退火形成源极/漏极扩散区域134。如所示,源极/漏极区域134位于SOI结构的氧化物填充空隙区域上(例如,掩埋氧化物区域)。
退火引起注入掺杂剂的扩散。扩散的范围决定在SOI衬底的顶含Si层中的沟道区域的长度。这样设置沟道区域136以便其下面没有掩埋氧化物存在。
应该强调,不必需要使用常规应力衬里和/或镶嵌应力区域即可在沟道区域136中产生应力。如上所述,通过在包括双应力氮化物衬垫膜的结构中提供横向空隙在含Si材料中形成高应力沟道。从该结构移除在STI区域的形成中使用的这些衬垫膜,并且随后在其上形成CMOS器件。
虽然这里参考具体的实施例,特征和方面描述了本发明,应该知道,本发明没有因此受限制,而是有用地延伸到其它修改,变化,应用和实施例,并且因此所有这样的其它修改,变化,应用和实施例都被认为在本发明的精神和范围内。

Claims (20)

1.一种半导体结构,包括:
选择性SOI衬底,包括顶和底含Si层,其在预定区域中由分离掩埋氧化物区域分开;
至少一个nFET,包括源极/漏极扩散区域和拉伸应变沟道,位于所述衬底的一部分上;以及
至少一个pFET,包括源极/漏极扩散区域和压缩应变沟道,位于所述衬底的另一部分上,其中所述至少一个nFET和所述至少一个pFET的所述源极/漏极扩散区域位于所述分离掩埋氧化物区域上,并且所述应变沟道不位于所述分离掩埋氧化物区域上。
2.根据权利要求1的半导体结构,其中所述顶含Si层包括外延含Si层。
3.根据权利要求1的半导体结构,其中所述底含Si层是体含Si材料。
4.根据权利要求1的半导体结构,其中所述分离掩埋氧化物区域具有从约30到约100nm的厚度。
5.根据权利要求1的半导体结构,其中所述分离掩埋氧化物区域从氧化物填充沟槽隔离区域的底边延伸。
6.根据权利要求1的半导体结构,其中所述至少一个pFET和所述至少一个nFET每个都包括栅极介质和栅极导体。
7.根据权利要求6的半导体结构,其中所述栅极介质包括绝缘氧化物,氮化物或氧氮化物。
8.根据权利要求6的半导体结构,其中所述栅极导体包括掺杂多晶硅,导电元素金属,导电元素金属的合金,导电元素金属的硅化物,导电元素金属的氮化物或其组合。
9.根据权利要求1的半导体结构,其中所述应变沟道具有约500MPa或更大的应力值。
10.根据权利要求9的半导体结构,其中所述应力值从约600到约700MPa。
11.根据权利要求9的半导体结构,其中获得所述应力值不需要在所述至少一个nFET或所述至少一个pFET周围存在上面的应力氮化物膜。
12.一种制造半导体结构的方法,包括如下步骤:
提供含Si结构,具有至少一个第一器件区域和至少一个第二器件区域,所述结构在其中包括分离n掺杂区域;
形成第一材料叠层,包括第一衬垫氧化物和具有第一应力的构图第一氮化物膜,其中所述构图氮化物膜位于一个所述器件区域上;
形成第二材料叠层,包括第二衬垫氧化物和具有与所述第一应力的应力类型不同的第二应力的构图第二氮化物膜,其中所述构图第二氮化物膜位于不包括所述构图第一氮化物膜的一个所述器件区域上;
在所述第一和第二器件区域之间在所述第一和第二材料叠层中形成沟槽,所述沟槽向下延伸穿过至少一个所述n掺杂区域;
横向蚀刻所述n掺杂区域以在所述沟槽的每侧上形成保持所述上面的氮化物膜的应力的空隙;以及
用氧化物填充所述空隙和沟槽。
13.根据权利要求12的方法,其中所述形成所述含Si结构的步骤包括在体含Si衬底中在其表面处或附近注入所述n掺杂区域,并且在所述体含Si衬底上形成外延含硅层。
14.根据权利要求13的方法,其中所述注入步骤包括掩蔽离子注入工艺,其中使用As,P或Sb作为n型掺杂剂。
15.根据权利要求13的方法,其中在从约5到约20keV的能量下执行所述注入步骤,并且使用从约5E14到约2E15原子/cm2的离子剂量。
16.根据权利要求12的方法,其中所述n掺杂区域位于体含Si衬底的表面处或附近。
17.根据权利要求11的方法,其中所述构图第一氮化物膜位于将制造nFET的器件区域中,所述构图第一氮化物膜具有压缩应变,并且所述构图第二氮化物膜位于将制造pFET的器件区域中,所述构图第二氮化物膜具有拉伸应变。
18.根据权利要求17的方法,还包括从所述含Si结构移除所述第一和第二材料叠层并且形成至少一个pFET和至少一个nFET,其中在包括所述构图第二氮化物膜的区域中形成所述至少一个pFET并且在包括所述构图第一氮化物膜的区域中形成所述至少一个nFET。
19.根据权利要求12的方法,其中所述构图第一氮化物膜位于将制造pFET的器件区域中,所述构图第一氮化物膜具有拉伸应变,并且所述构图第二氮化物膜位于将制造nFET的器件区域中,所述构图第二氮化物膜具有压缩应变。
20.根据权利要求19的方法,还包括从所述含Si结构移除所述第一和第二材料叠层并且形成至少一个pFET和至少一个nFET,其中在包括所述构图第一氮化物膜的区域中形成所述至少一个pFET并且在包括所述构图第二氮化物膜的区域中形成所述至少一个nFET。
CNB2006101157388A 2005-09-29 2006-08-15 半导体结构及其制造方法 Active CN100464425C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/162,953 2005-09-29
US11/162,953 US7202513B1 (en) 2005-09-29 2005-09-29 Stress engineering using dual pad nitride with selective SOI device architecture

Publications (2)

Publication Number Publication Date
CN1941387A true CN1941387A (zh) 2007-04-04
CN100464425C CN100464425C (zh) 2009-02-25

Family

ID=37892811

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006101157388A Active CN100464425C (zh) 2005-09-29 2006-08-15 半导体结构及其制造方法

Country Status (3)

Country Link
US (2) US7202513B1 (zh)
CN (1) CN100464425C (zh)
TW (1) TW200717800A (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101924107A (zh) * 2010-07-15 2010-12-22 电子科技大学 一种应力增强的cmos晶体管结构
CN102064177A (zh) * 2010-11-11 2011-05-18 电子科技大学 一种应力放大的cmos晶体管结构
CN102511081A (zh) * 2009-09-24 2012-06-20 国际商业机器公司 用于形成具有嵌入应力源的高性能场效应晶体管的方法和结构
CN102916047A (zh) * 2012-10-23 2013-02-06 哈尔滨工程大学 一种利用埋氧腐蚀技术的soi体接触结构及形成方法
CN113808994A (zh) * 2020-06-17 2021-12-17 格芯(美国)集成电路科技有限公司 具有用于不同极性fet的不同应力诱导隔离电介质的结构

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8530355B2 (en) * 2005-12-23 2013-09-10 Infineon Technologies Ag Mixed orientation semiconductor device and method
US7338834B2 (en) * 2006-03-17 2008-03-04 Acorn Technologies, Inc. Strained silicon with elastic edge relaxation
US7829407B2 (en) 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
US7888197B2 (en) * 2007-01-11 2011-02-15 International Business Machines Corporation Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer
US20080237733A1 (en) * 2007-03-27 2008-10-02 International Business Machines Corporation Structure and method to enhance channel stress by using optimized sti stress and nitride capping layer stress
KR100899646B1 (ko) 2007-06-12 2009-05-27 삼성전자주식회사 반도체 소자 및 이를 형성하는 방법
US20090050972A1 (en) * 2007-08-20 2009-02-26 Richard Lindsay Strained Semiconductor Device and Method of Making Same
US8877576B2 (en) 2007-08-23 2014-11-04 Infineon Technologies Ag Integrated circuit including a first channel and a second channel
US8263466B2 (en) * 2007-10-17 2012-09-11 Acorn Technologies, Inc. Channel strain induced by strained metal in FET source or drain
KR100937599B1 (ko) * 2007-12-17 2010-01-20 한국전자통신연구원 반도체 장치 및 그 형성 방법
JP2009182264A (ja) * 2008-01-31 2009-08-13 Toshiba Corp 半導体装置およびその製造方法
US8454653B2 (en) * 2008-02-20 2013-06-04 Covidien Lp Compound barb medical device and method
US8274115B2 (en) * 2008-03-19 2012-09-25 Globalfoundries Singapore Pte. Ltd. Hybrid orientation substrate with stress layer
US7700416B1 (en) * 2008-04-25 2010-04-20 Acorn Technologies, Inc. Tensile strained semiconductor on insulator using elastic edge relaxation and a sacrificial stressor layer
US8112729B2 (en) * 2009-04-20 2012-02-07 International Business Machines Corporation Method and system for selective stress enablement in simulation modeling
US8361867B2 (en) 2010-03-19 2013-01-29 Acorn Technologies, Inc. Biaxial strained field effect transistor devices
US8361868B2 (en) 2010-04-28 2013-01-29 Acorn Technologies, Inc. Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
US9059201B2 (en) 2010-04-28 2015-06-16 Acorn Technologies, Inc. Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
US8835994B2 (en) * 2010-06-01 2014-09-16 International Business Machines Corporation Reduced corner leakage in SOI structure and method
US9406798B2 (en) 2010-08-27 2016-08-02 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer
US8395213B2 (en) 2010-08-27 2013-03-12 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer
US10833194B2 (en) 2010-08-27 2020-11-10 Acorn Semi, Llc SOI wafers and devices with buried stressor
US8647935B2 (en) 2010-12-17 2014-02-11 International Business Machines Corporation Buried oxidation for enhanced mobility
US8642430B2 (en) * 2012-04-09 2014-02-04 GlobalFoundries, Inc. Processes for preparing stressed semiconductor wafers and for preparing devices including the stressed semiconductor wafers
US20130285117A1 (en) * 2012-04-27 2013-10-31 International Business Machines Corporation CMOS WITH SiGe CHANNEL PFETs AND METHOD OF FABRICATION
US9018057B1 (en) 2013-10-08 2015-04-28 Stmicroelectronics, Inc. Method of making a CMOS semiconductor device using a stressed silicon-on-insulator (SOI) wafer
US9196544B2 (en) 2014-03-14 2015-11-24 Globalfoundries Singapore Pte. Ltd. Integrated circuits with stressed semiconductor-on-insulator (SOI) body contacts and methods for fabricating the same

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602A (en) * 1844-05-25 Tenoning and mortising machine
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
DE3419392C1 (de) 1984-05-24 1985-12-05 Deutsche Texaco Ag, 2000 Hamburg Verfahren zur kontinuierlichen Herstellung von Isopropylalkohol oder sek. Butylalkohol
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (de) 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrierte Bipolar- und komplementäre MOS-Transistoren auf einem gemeinsamen Substrat enthaltende Schaltung und Verfahren zu ihrer Herstellung
US4888300A (en) * 1985-11-07 1989-12-19 Fairchild Camera And Instrument Corporation Submerged wall isolation of silicon islands
JPS6476755A (en) 1987-09-18 1989-03-22 Hitachi Ltd Semiconductor device
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
WO1994027317A1 (de) 1993-05-06 1994-11-24 Siemens Aktiengesellschaft Herstellungsverfahren für bauelemente auf soi-substrat
US5427975A (en) * 1993-05-10 1995-06-27 Delco Electronics Corporation Method of micromachining an integrated sensor on the surface of a silicon wafer
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (ko) 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
KR100281109B1 (ko) * 1997-12-15 2001-03-02 김영환 에스오아이(soi)소자및그의제조방법
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6074903A (en) 1998-06-16 2000-06-13 Siemens Aktiengesellschaft Method for forming electrical isolation for semiconductor devices
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
JP4521542B2 (ja) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 半導体装置および半導体基板
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6326667B1 (en) 1999-09-09 2001-12-04 Kabushiki Kaisha Toshiba Semiconductor devices and methods for producing semiconductor devices
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US7238813B2 (en) 2000-11-29 2007-07-03 Smithkline Beecham Corporation Chemical compounds
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6603156B2 (en) 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
JP2002305293A (ja) 2001-04-06 2002-10-18 Canon Inc 半導体部材の製造方法及び半導体装置の製造方法
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US6831292B2 (en) 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6621392B1 (en) 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6774015B1 (en) 2002-12-19 2004-08-10 International Business Machines Corporation Strained silicon-on-insulator (SSOI) and method to form the same
US6825086B2 (en) * 2003-01-17 2004-11-30 Sharp Laboratories Of America, Inc. Strained-silicon channel CMOS with sacrificial shallow trench isolation oxide liner
US6815738B2 (en) 2003-02-28 2004-11-09 International Business Machines Corporation Multiple gate MOSFET structure with strained Si Fin body
US6828628B2 (en) 2003-03-05 2004-12-07 Agere Systems, Inc. Diffused MOS devices with strained silicon portions and methods for forming same
US6955952B2 (en) * 2003-03-07 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Strain balanced structure with a tensile strained silicon channel and a compressive strained silicon-germanium channel for CMOS performance enhancement
US6900502B2 (en) * 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US6936522B2 (en) * 2003-06-26 2005-08-30 International Business Machines Corporation Selective silicon-on-insulator isolation structure and method
US6815278B1 (en) 2003-08-25 2004-11-09 International Business Machines Corporation Ultra-thin silicon-on-insulator and strained-silicon-direct-on-insulator with hybrid crystal orientations
US6767802B1 (en) 2003-09-19 2004-07-27 Sharp Laboratories Of America, Inc. Methods of making relaxed silicon-germanium on insulator via layer transfer
US6958516B2 (en) 2004-01-08 2005-10-25 International Business Machines Corporation Discriminative SOI with oxide holes underneath DC source/drain
US7005302B2 (en) * 2004-04-07 2006-02-28 Advanced Micro Devices, Inc. Semiconductor on insulator substrate and devices formed therefrom
WO2005112129A1 (ja) * 2004-05-13 2005-11-24 Fujitsu Limited 半導体装置およびその製造方法、半導体基板の製造方法
US7262087B2 (en) * 2004-12-14 2007-08-28 International Business Machines Corporation Dual stressed SOI substrates
US7384861B2 (en) * 2005-07-18 2008-06-10 Texas Instruments Incorporated Strain modulation employing process techniques for CMOS technologies

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102511081A (zh) * 2009-09-24 2012-06-20 国际商业机器公司 用于形成具有嵌入应力源的高性能场效应晶体管的方法和结构
CN102511081B (zh) * 2009-09-24 2015-10-14 国际商业机器公司 用于形成具有嵌入应力源的高性能场效应晶体管的方法和结构
CN101924107A (zh) * 2010-07-15 2010-12-22 电子科技大学 一种应力增强的cmos晶体管结构
CN101924107B (zh) * 2010-07-15 2012-09-26 电子科技大学 一种应力增强的cmos晶体管结构
CN102064177A (zh) * 2010-11-11 2011-05-18 电子科技大学 一种应力放大的cmos晶体管结构
CN102064177B (zh) * 2010-11-11 2012-09-26 电子科技大学 一种应力放大的cmos晶体管结构
CN102916047A (zh) * 2012-10-23 2013-02-06 哈尔滨工程大学 一种利用埋氧腐蚀技术的soi体接触结构及形成方法
CN102916047B (zh) * 2012-10-23 2015-09-30 哈尔滨工程大学 一种利用埋氧腐蚀技术的soi体接触结构及形成方法
CN113808994A (zh) * 2020-06-17 2021-12-17 格芯(美国)集成电路科技有限公司 具有用于不同极性fet的不同应力诱导隔离电介质的结构

Also Published As

Publication number Publication date
TW200717800A (en) 2007-05-01
US7202513B1 (en) 2007-04-10
US7550364B2 (en) 2009-06-23
US20070069294A1 (en) 2007-03-29
US20070122965A1 (en) 2007-05-31
CN100464425C (zh) 2009-02-25

Similar Documents

Publication Publication Date Title
CN100464425C (zh) 半导体结构及其制造方法
EP2720256B1 (en) Strained semiconductor device
US7545001B2 (en) Semiconductor device having high drive current and method of manufacture therefor
CN100345308C (zh) 半导体元件及其制造方法
KR100784603B1 (ko) 반도체 장치 및 그 제조 방법
US7176522B2 (en) Semiconductor device having high drive current and method of manufacturing thereof
US8461654B2 (en) Spacer shape engineering for void-free gap-filling process
TWI377596B (en) Method and apparatus to increase strain effect in a transistor channel
CN101075563A (zh) 用于形成半导体器件的结构和方法
US7514309B2 (en) Methods to selectively protect NMOS regions, PMOS regions, and gate layers during EPI process
CN101064310A (zh) 应用自对准双应力层的cmos结构和方法
CN1819201A (zh) 具有提高的载流子迁移率的半导体结构及其制造方法
CN1838417A (zh) 半导体结构及其形成方法
CN1812101A (zh) 互补金属氧化物半导体及其形成方法
CN1830092A (zh) 应变半导体cmos晶体管的制造结构和方法
CN1825627A (zh) 半导体元件及形成半导体元件的方法
CN1790638A (zh) 具有区域化应力结构的金属氧化物半导体的场效晶体管
US7952122B2 (en) Strained semiconductor device and method of making same
US10553497B2 (en) Methods and devices for enhancing mobility of charge carriers
WO2010079544A1 (ja) 半導体装置及びその製造方法
CN1879224A (zh) 低能量多沟道全耗尽量子井互补式金氧半导体场效晶体管
TWI233187B (en) MOS device and fabrication method thereof

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171108

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171108

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right