CN1988132A - 形成互连结构的方法 - Google Patents

形成互连结构的方法 Download PDF

Info

Publication number
CN1988132A
CN1988132A CNA2006101669846A CN200610166984A CN1988132A CN 1988132 A CN1988132 A CN 1988132A CN A2006101669846 A CNA2006101669846 A CN A2006101669846A CN 200610166984 A CN200610166984 A CN 200610166984A CN 1988132 A CN1988132 A CN 1988132A
Authority
CN
China
Prior art keywords
hard mask
layer
mask layer
organo
silicate glass
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006101669846A
Other languages
English (en)
Other versions
CN100530591C (zh
Inventor
考希克·A·库马尔
蒂莫西·J·多尔顿
尼古拉斯·C·M·富勒
陈行聪
海迪·L·贝克斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1988132A publication Critical patent/CN1988132A/zh
Application granted granted Critical
Publication of CN100530591C publication Critical patent/CN100530591C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks

Abstract

本发明提供一种在有机硅酸盐玻璃层内形成镶嵌互连结构而不损坏有机硅酸盐玻璃材料的方法。所述方法包括在有机硅酸盐玻璃层上形成硬掩模层的叠层,利用等离子体蚀刻和等离子体光致抗蚀剂去除工艺的组合定义硬掩模和有机硅酸盐玻璃层中的开口,并执行不包括含氧物质的一个或多个另外的等离子体蚀刻工艺以将所述开口蚀刻至形成镶嵌互连结构所需的深度以及去除由于等离子体蚀刻和等离子体光致抗蚀剂去除工艺的组合所损坏的任何有机硅酸盐材料。

Description

形成互连结构的方法
技术领域
本发明涉及集成电路制造的领域;尤其涉及制造基于有机硅酸盐玻璃(organo-silicate glass)的电介质层中的互连结构的方法。
背景技术
通常,集成电路芯片包括通过互连结构层用导线连接到一起构成电路的多个器件如电阻器、电容器、电感器、二极管和晶体管,所述互连结构形成于叠置在彼此之上的电介质层中。
存在两个影响互连结构中信号传播速度的因素,即互连电阻(R)和电介质层的电容(C),将其表示为RC延迟。通过使用低介电常数(k)的电介质能够减少信号延迟,所述电介质的一个系列被称作有机硅酸盐玻璃(OSG)。
可是,OSG材料不易集成在普通的集成电路制造工艺中。使OSG材料暴露于基于等离子体的工艺会由于OSG材料中胺(amine)的释放而引起光致抗蚀剂层中图像控制的问题(例如,光致抗蚀剂中毒(photoresist poisoning))。基于等离子体的处理也引起OSG材料的脱碳(carbon-depletion),其导致在OSG材料层中未连接的互连结构之间增加的泄漏电流增加以及互连冶金/OSG界面粘附损失(adhesion loss)。
因此,需要一种形成OSG电介质中互连结构的方法,该方法不会引起光致抗蚀剂中毒,对OSG脱碳不敏感并更少地受互连/OSG界面粘合失败的影响。
发明内容
本发明的第一方面是一种方法,包括:在基板上形成有机硅酸盐玻璃层;在有机硅酸盐玻璃层上形成第一硬掩模层;在第一硬掩模层上形成第二硬掩模层;在第二硬掩模层上形成光致抗蚀剂层;去除光致抗蚀剂的一区域;利用第一等离子体蚀刻工艺去除第二硬掩模层未被光致抗蚀剂保护的区域;去除光致抗蚀剂层;利用第二等离子体蚀刻工艺去除第一硬掩模层未被第二硬掩模层保护的部分以及去除有机硅酸盐玻璃层区域中未被第一硬掩模层保护的有机硅酸盐玻璃层的少于整个的部分;以及利用第三等离子体蚀刻工艺去除在未被第一硬掩模层保护的有机硅酸盐玻璃层区域中的有机硅酸盐玻璃层的所有剩余部分,以形成延伸完全穿过有机硅酸盐玻璃层的沟槽。
本发明的第二方面是一种方法,包括:在基板上形成有机硅酸盐玻璃层;在有机硅酸盐玻璃层上形成第一硬掩模层;在第一硬掩模层上形成第二硬掩模层;在第二硬掩模层上形成第一光致抗蚀剂层;去除第一光致抗蚀剂层的一区域;利用第一等离子体蚀刻工艺去除第二硬掩模层的其中第二硬掩模层未被第一光致抗蚀剂层保护的区域,以在第二硬掩模层中定义布线图案;去除第一光致抗蚀剂层;在第二硬掩模层和第一硬掩模层的暴露表面上形成第二光致抗蚀剂层;去除第二光致抗蚀剂层的一区域;执行第二等离子体蚀刻工艺以去除第一硬掩模层的区域,以在第一硬掩模层中定义通孔图案,通孔图案至少部分排列在布线图案中,第二等离子体蚀刻去除有机硅酸盐玻璃层的其中有机硅酸盐玻璃层未被第一硬掩模层保护的第一区域的小于整个的部分;去除第二光致抗蚀剂层;执行第三等离子体蚀刻工艺,第三等离子体蚀刻工艺去除在第一硬掩模层区域中未被第二硬掩模层保护的第一硬掩模层,去除布线图案周界范围内有机硅酸盐玻璃层的第二区域的少于整个的部分,以及去除有机硅酸盐玻璃层的第一区域中有机硅酸盐玻璃层的另外的少于整个的部分;以及执行第四等离子体蚀刻工艺,第四等离子体蚀刻工艺去除有机硅酸盐玻璃层的第二区域中有机硅酸盐玻璃层的另外的少于整个的部分以形成布线槽,以及去除有机硅酸盐玻璃层的第一区域中有机硅酸盐玻璃层的所有剩余部分以形成通孔开口。
附图说明
本发明的特征在所附权利要求中描述。然而,本发明本身通过参考下面结合附图阅读的示例性实施例的详细描述得到更好的理解,其中:
图1至10是示出了根据本发明第一实施例的互连结构的制造的截面图;
图11和12是示出了根据本发明第二实施例的互连结构的制造的截面图;
图13是形成根据本发明第一实施例的互连结构的方法的流程图;和
图14是形成根据本发明第二实施例的互连结构的方法的流程图。
具体实施方式
根据本发明的互连结构通过镶嵌工艺(damascene process)形成,并且是单个或双镶嵌互连结构。为了描述本发明的各实施例,布线、通孔和通孔条(viabar)认为是互连结构类型。虽然普通的通孔通常大约为方形或圆形,但是通孔条是拉长的或者为大约矩形形状的通孔。互连结构形成在通常称为层间电介质(interlevel dielectric:ILD)的绝缘层中。
镶嵌工艺中,布线槽或通孔开口形成在电介质层中,具有充足厚度以填充沟槽的导电体沉积在电介质体的顶面上,进行化学机械抛光工艺以去除过多的导体并使得导体的表面与电介质层的表面共面以形成镶嵌布线(或镶嵌通孔)。当仅沟槽和布线(或通孔开口和通孔或通孔沟槽和通孔条)形成时,工艺称为单镶嵌。(注意通孔开口也可以认为是沟槽)。
双镶嵌工艺中,通孔开口通过电介质层的整个厚度形成,随后在任何给定的截面图中部分通过电介质层地形成沟槽。所有的通孔开口被上的整体布线槽及下面的布线槽交叉,但不是所有沟槽需要交叉通孔开口。填充沟槽和通孔开口的足够厚度的导电体沉积在电介质体的顶表面上,并进行CMP工艺以使得沟槽中导体的表面与电介质层的表面共面以形成双镶嵌布线和具有整体双镶嵌通孔的双镶嵌布线。
OSG材料用于本发明的各实施例中。OSG材料可以旋涂在晶片或基板上以形成OSG层,或者可以通过等离子体增强化学汽相沉积(PECVD)形成在晶片或基板之上以形成OSG层。
适合于旋涂施加的OSG材料的例子包括含氢倍半硅氧烷聚合物(hydrogen silsesquioxane polymer:HSQ)、甲基倍半硅氧烷聚合物(methylsilsesquioxane polymer:MSQ)、由Santa Clara的Applied Materials公司制造的黑金刚石TM(甲基掺杂硅石或SiOx(CH3)y或SiCxOyHy或SiOCH)、及多孔OSG。多孔OSG材料为包含具有尺寸分布在约1和大约25nm之间的孔(pore)或空洞(void)的OSG。
通过本领域中熟知的很多方法可将孔引入到旋涂的OSG材料中。在一个实例中,通过使多孔原(porogen)(孔产生剂)与未固化的OSG树脂相混合,将孔引入到OSG材料中,随着OSG树脂被加热固化(转变成聚合物),形成混合物层并去除多孔原(通过多孔原的挥发或分解)。在OSG中孔原来所处的位置留下空洞。
在第一实例中,OSG材料具有小于5的介电常数。在第二实例中,OSG材料具有小于3.5的介电常数。在第三实例中,OSG材料具有小于3的介电常数。
基于倍半硅氧烷的OSG材料的其他实例为可由结构(I)、(II)、(III)和(IV)表示的聚合物或树脂(低分子量的聚合物或低聚物)。
Figure A20061016698400091
其中,R′选自于包括具有1至3个碳原子的烷基、具有6至12个碳原子的芳基以及具有6至12个碳原子的环烷基的组,并且其中R″选自于包括具有1至2个碳原子的亚烃基和具有6至12个碳原子的环亚烃基的组。
结构(I)可由式子-(SiO2)n-表示,结构(II)由式子-(R′SiO3/2)n-表示,结构(III)由式子-(R′2SiO)n-表示以及结构(IV)由式子-(R″Si2O3)n-表示。
在一个实例中,本发明各个实施例中所使用的OSG材料的原子组成包括大约10%至约40%的硅、大约0%至约30%的碳、大约0%至约30%的氮以及大约20%至约50%的氢。
在Grill等人的美国专利6,147,009中可发现通过PECVD形成的OSG材料的实例,该专利于2000年11月4日授予并且旨在形成致密SiCOH层,该专利在此全部包含引作参考。在2001年11月6日授予的Grill等人的美国专利6,312,793、2004年6月29日授予的Grill等人的美国专利6,756,323以及2004年7月27日授予的Grill等人的美国专利6,768,200中记载了通过PECVD形成多相或多孔的OSG材料的实例,所有这些专利在此全部包含引作参考。
通过PECVD形成的OSG材料的实例可由结构(V)和(VI)表示。
Figure A20061016698400101
Figure A20061016698400111
利用选自包含至少Si、C、O和H原子中的一些的分子的第一前体(precursor)形成PECVD OSG。氧化分子如O2或N2O可被添加到第一前体中。优选地,第一前体选自具有环结构的分子诸如1,3,5,7-四甲基环四硅氧烷(TMCTS或C4H16O4Si4)、四乙基环四硅氧烷(C8H24O4Si4)、十甲基环五硅氧烷(C10H30O5Si5)、与氧化剂如O2或N2O混合的甲基硅烷的分子、或包含Si、O和C的前体混合物。前体可作为气体直接被送至反应器,作为直接在反应器内蒸发的液体传送,或通过惰性载气如氦气或氩气输送。前体混合物还可包含诸如氮、氟或锗的元素。
利用选自包含C和H原子的分子的第二前体气体混合物与第一前体的混合物形成PECVD多孔OSG。可选地,O、N或F原子可包含在分子中,或者可以向前体混合物中添加包含这些原子的分子。在一个实例中,第二前体选自包含C和H原子的具有环结构的分子如环烃、环醇、环醚、环醛、环酮、环酯、苯酚、环胺、或其它含O、N或F的环烃所构成的组。更优选地,第二前体分子为包含6至12个碳原子的多环(multicyclic)(或多环(polycyclic))烃,其优选的实例为2,5-降冰片二烯(2,5-norbornadiene)(也公知为双环[2.2.1]庚-2,5-二烯)、降冰片烯-2,5-降冰片二烯(也公知为双环[2.2.1]庚-2,5-二烯)、降莰烷(也公知为双环[2.2.1]庚烷)。其它实例为三环[3.2.1.0]辛烷、三环[3.2.2.0]壬烷、相连的环烃如螺环[3.4]辛烷、螺环[4.5]壬烷、螺环[5.6]癸烷等。供选地,可以使用包含5至12个碳原子的环烃(环戊烷、环己烷等)以及包含6至12个C原子的环芳香烃(苯、甲苯、二甲苯等)。可选地,O或F原子可包含在分子中,或者包含上述原子的分子被添加到前体混合物中。
图1至10为示出了根据本发明第一实施例的互连结构的制造的截面图。在图1中,基板100可以是块硅(Si)基板或绝缘体上硅(SOI)基板。在一个实例中,基板100包括Si、锗化硅(SiGe)、Si层、SiGe层、二氧化硅(SiO2)或其组合(combination)。基板100包括可包括器件如电阻器、电容器、电感器、二极管、晶体管以及到器件或基板的接触。在图1中,电介质层105形成在基板100之上并包括例如接触110。在一个实例中,电介质层105包括SiO2并且而接触110包括钨(W)。形成在电介质层110上的为可选的覆盖层(capping layer)115。在一个实例中,覆盖层115包括氮化硅(Si3N4)、碳化硅(SiC)、碳氧化硅(SiOC)、SiO2、OSG、低-K电介质或它们的层的组合,并且具有大约2nm和约30nm之间的厚度。覆盖层115可用作潮湿阻挡层、离子污染阻挡层、铜扩散阻挡层或其组合。低-K的电介质为具有大约3或更小介电常数的电介质。
形成在覆盖层115之上的是OSG或多孔OSG层120。以下,术语OSG层包括OSG材料层或或多孔OSG材料层,如之前所述。在一个实例中,多孔OSG层包括大约10%至约70%体积的空洞。在一个实例中,OSG层120的厚度介于约100nm和约1500nm之间。
形成在OSG层120之上的是可选的粘合层125。形成在粘合层125之上的是第一硬掩模层130。形成在第一硬掩模层130之上的是可选的再制(rework)保护层135。形成在再制保护层135之上的是第二硬掩模层140。
第一硬掩模层130与OSG层120的粘合可通过OSG层顶面的等离子体预处理而形成粘合层125或通过在第一硬掩模层130沉积之前于OSG层之上沉积或施加粘合层125予以增强。
第一硬掩模层130具有多重目的。硬掩模层130用作化学-机械抛光(CMP)停止层,如下文所述,用作保护OSG层120免受潮湿和浆液溶剂影响的阻挡层,以及用作能够进行OSG层构图的模板。在一个实例中,第一硬掩模层130包括Si3N4、SC、SiOC、氢化的硅碳化物(SiOCH)、SiO2、OSG、低-K电介质或它们的层的组合。
记住第一硬掩模130或它的层在CMP之后保持的事实;将低-K材料用于硬掩模是有利的,从而保持整个叠层的有效介电常数低。这种要求的主要缺陷在于满足这个条件的材料易受通常包括氧基等离子体剥离(strip)条件的光致抗蚀剂再制条件的影响。因此,为了保护第一硬掩模层130不破坏光致抗蚀剂剥离条件,可使用再制保护层135。在一个实例中,再制保护层135包括Si3N4、SiO2、SiOC、钛(Ti)、钽(Ta)、其他难熔金属(refractory metal)、氮化钛(TiN)、氮化钽(TaN)、其他难熔金属氮化物或它们的层的组合。可是,如果第一硬掩模层130耐光致抗蚀剂再制条件,则不需要再制保护层135。在一个实例中,光致抗蚀剂再制包括利用包含O2或含氧物质的等离子体工艺移除光致抗蚀剂。
在一个实例中,第二硬掩模层140包括Si3N4、SiO2、氮氧化硅(SiXOYNZ)或它们的层的组合。
在图2中,在第二硬掩模层140上形成可选的抗反射涂层(ARC)145并在ARC 145上形成光致抗蚀剂层150。通过常规的光刻工艺(例如,通过构图的掩模使光致抗蚀剂暴露于光化辐射并移除曝光或未曝光的光致抗蚀剂)在光致抗蚀剂层中形成开口155,进而暴露ARC 145的顶面160。
在一个实例中,ARC 145包括可从Japan Synthetic Rubber(JSR)得到的414J。
在图3中,实行第一等离子体蚀刻以移除暴露的ARC 145(参见图2),并随后移除暴露的第二硬掩模层140,之后实行第一等离子体光致抗蚀剂剥离以便移除光致抗蚀剂层150以及任何残留的ARC 145(参见图2),从而在第二硬掩模层140中形成开口165并暴露再制保护层135的顶面170。(如果不存在再制保护层135,那么开口165将露出第一硬掩模130的顶面。)在第一等离子体光致抗蚀剂剥离之后,实行可选的在水中或酸性水溶液中的湿清洗。在一个实例中,可选的湿清洗去除直至大约20的第二硬掩模层140。
在一个实例中,利用含氯气态化合物,氯气(Cl2)、三氯化硼(BCl3),含氟气态化合物,单氟甲烷(CH3F)、双氟甲烷(CH2F2)、三氟甲烷(CHF3)或其组合并混合有氧(O2)、氮(N2)、氢(H2)或其组合来实行第一等离子体蚀刻。在一个实例中,利用O2、N2、H2或其组合实行第一等离子体光致抗蚀剂剥离工艺。
在图4中,在暴露于第二硬掩模层140中的开口165的再制保护层135的顶面170上以及余下第二硬掩模层140的顶面180上形成可选的ARC 175。ARC 175可包括如上所述用于ARC 145的相同材料。在一个实例中,ARC 175为平坦的,即在开口165上的ARC 175的顶面182上没有下沉。
在图5中,在ARC 175上形成光致抗蚀剂层185。通过前述的常规光刻工艺在光致抗蚀剂层中形成开口190,由此暴露ARC 175的顶面195。
在图6中,实行第二等离子体蚀刻以移除暴露的ARC 175并蚀刻开口200使其通过再制保护层135、第一硬掩模层130、粘合层125以及进入但并非完全通过OSG层120。OSG层120的OSG材料暴露于开口200的底部205。开口200排列在第二硬掩模层140的开口165内。在一个实例中,利用含氟气态化合物,CH3F、CH2F2、CHF3或其组合并混合有O2、N2、H2或其组合来实行第二等离子体蚀刻。在一个实例中,第二等离子体蚀刻对ARC 175、再制保护层135、第一硬掩模层130、粘合层125和OSG层120是非选择的。
在图7中,实行第二等离子体光致抗蚀剂剥离以移除光致抗蚀剂层185以及任何残留的ARC 175(参见图6)。在一个实例中,利用O2、N2、H2或其组合实行第二等离子体光致抗蚀剂剥离工艺。
OSG层120的受损区域210形成为从开口200底部205延伸进入OSG层120。受损区域210可包括由于在第二等离子体蚀刻和/或第二等离子体光致抗蚀剂剥离中使用的O2或含氧物质引起的脱碳OSG材料。
在图8中,执行对第二硬掩模层140选择性的第三等离子体蚀刻,其蚀刻再制保护层135、第一硬掩模层130、粘合层125和OSG层120。第三等离子体蚀刻使用第二硬掩模层140中的开口165作为掩模在再制保护层135、第一硬掩模层130、粘合层125和OSG层120中定义开口215。同时,开口200(参见图7)沿深度方向延伸以形成OSG层120中的开口220并移除受损区域210(参见图7)。为了使第三等离子体蚀刻不对OSG层120引起进一步损坏(并且事实上去除了已经存在的任何损坏),第三等离子体蚀刻不包括O2或含氧物质(oxygen containing species)。在一个实例中,第三等离子体蚀刻为聚合物形成蚀刻(polymer forming etch)。聚合物形成蚀刻在正被蚀刻的开口的侧壁和底部上形成碳氟聚合物,当开口被更深地蚀刻时,连续去除聚合物并在开口的底部上再沉积。在一个实例中,利用含氟气态化合物,CH3F、CH2F2、CHF3、CXFY(其中X和Y为正整数)或其组合并混合有N2、H2、Ar、Ne、Kr、Xe或其组合实行第三等离子体蚀刻。
应当注意到,开口215和220中暴露的OSG层120没有区域被第三等离子体蚀刻“损坏”。
在图9中,执行第四等离子体蚀刻,其中相对第二硬掩模层140和覆盖层115选择性地蚀刻OSG层120。为了使第四等离子体蚀刻不对OSG层120引起另外损坏,第四等离子体蚀刻不包括O2或含氧物质。在另一实例中,第四等离子体蚀刻不包括O2、含氧物质或氮。在一个实例中,利用含氟气态化合物,CXFY(其中X和Y为正整数)或其组合并混合有惰性气体、Ar、Ne、Kr、Xe或其组合来实行第四等离子体蚀刻。
为了从通孔开口220A中移除覆盖层115,执行第五等离子体蚀刻,其中相对于第二硬掩模层140和覆盖层115选择蚀刻OSG层120。为了使第五等离子体蚀刻不对OSG层120引起其他损坏,第五等离子体蚀刻不包括O2或含氧物质。在一个实例中,利用含氟气态化合物,CH3F、CH2F2、CHF3、CXFY(其中X和Y为正整数)或其组合并混合有N2、Ar、Ne、Kr、Xe或其组合实行第五等离子体蚀刻。
第四和第五等离子体蚀刻穿过延伸开口215和220(参见图8)的深度至形成双镶嵌互连所需的深度来定义布线槽215A和通孔开口220A。应当注意到,通孔开口215A和布线槽220A中暴露的OSG层120的区域没有“损坏”。
在图10中,双镶嵌布线(dual damascene wire)225包括分别形成在上述布线槽215A(参见图9)和通孔开口220A(参见图9)中的布线部分230和通孔部分235。通过双镶嵌工艺中使用的CMP工艺移除第二硬掩模140和抗蚀剂保护层135。第一硬掩模130用作CMP停止层。在一个实例中,双镶嵌布线225包括衬垫240和核心导体245。在一个实例中,衬垫240包括Ti、TiN、Ta、TaN的层或其组合。在一个实例中,核心导体245包括Cu。双镶嵌布线225示出为与示例性接触110直接物理和电接触。
尽管已经作为单独工艺描述了第四和第五等离子体蚀刻,但可去掉第五等离子体蚀刻并增加第四等离子体蚀刻时间从而也蚀刻穿过覆盖层115,尽管对于小的通孔开口来说,第五等离子体蚀刻的使用减小等离子体负载效应并改善通孔开口的尺寸控制。
图11和12为示出了根据本发明第二实施例的互连结构的制造过程的截面图。尽管本发明的第一实施例针对双镶嵌工艺,但本发明的第二实施例针对单镶嵌工艺。在图11和12所示步骤之前实行图1、2和3示出的且上述的步骤。
在图11中,实行上述的第三蚀刻工艺。第三等离子体蚀刻相对第二硬掩模层140选择蚀刻再制保护层135、第一硬掩模层130、粘合层125和OSG层120。第四等离子体蚀刻相对于第二硬掩模层140和覆盖层115选择蚀刻OSG层120。本发明第二实施例的第四等离子体蚀刻工艺与本发明上述第一实施例的第五蚀刻工艺基本上是相同的蚀刻工艺。第二硬掩模层140中的开口165用于定义OSG层120和覆盖层115中的布线槽(或通孔开口)250。
在图12中,单镶嵌布线(或通孔或通孔条)255包括衬垫240和核心导体245,并与接触110直接物理和电接触。通过单镶嵌工艺中使用的CMP工艺移除第二硬掩模140和抗蚀剂保护层135。第一硬掩模130用作CMP停止层。
尽管已按照单独工艺描述了第三和第四等离子体蚀刻,但可去掉第四等离子体蚀刻并可增加第三等离子体蚀刻时间以使其也蚀刻穿过覆盖层115。
图13为用于形成根据本发明第一实施例的互连结构的方法的流程图。在步骤300中,在基板上在OSG层(可以是多孔OSG层)上形成至少第一和第二硬掩模层,第一硬掩模层位于第二硬掩模和OSG层之间。在OSG层和第一硬掩模层之间可形成可选的覆盖层。在OSG层和第一硬掩模层之间可施加/形成可选的粘合层。可在第一和第二硬掩模层之间形成可选的再制保护层。
在步骤305中,在第二硬掩模层上施加第一光致抗蚀剂层。在施加第一光致抗蚀剂层之前,对第二硬掩模层可施加可选的第一ARC,此时光致抗蚀剂层施加到第一ARC上。
在步骤310,构图第一光致抗蚀剂层并且利用第一等离子体蚀刻工艺将图案传递到第二硬掩模层。在步骤315中,移除第一光致抗蚀剂层。
在步骤320,在第一和第二硬掩模层的暴露表面上施加第二光致抗蚀剂层并对其构图。在施加第二光致抗蚀剂层之前在第一和第二硬掩模层的暴露表面上可施加可选的第二ARC,此时在第二ARC上施加第二光致抗蚀剂层。
在步骤325中,利用第二等离子体蚀刻工艺穿过第一硬掩模层传递第二光致抗蚀剂图案并进入到OSG层中以定义通孔开口。如果覆盖层、再制保护层、粘合层和/或第二ARC层存在,也通过这些层传递图案。在步骤330中,移除第二光致抗蚀剂层。
在步骤335中,执行第三等离子体蚀刻(不使用O2或含氧物质),使得第二硬掩模层中的图案延伸到OSG层(和所有中间层)中以便在OSG层中形成布线槽并使通孔的深度在OSG层中延伸。
在步骤340中,使用不含氧或氮物质(即,不包含H2O、O2、CO、CO2、N2、NH3或其他含氧或氮的分子、原子团或离子)来实行第四等离子体蚀刻,以便使得布线槽的深度延伸至其最后深度并使通孔开口延伸穿过OSG层。还进行使用不含氧或氮物质(即,不包含H2O、O2、CO、CO2、N2、NH3或其他含氧或氮的分子、原子团或离子)的第五等离子体蚀刻,以便使通孔开口延伸穿过覆盖层,如果存在覆盖层的话。供选地,可去掉第五等离子体蚀刻并且第四等离子体蚀刻还用于蚀刻穿过覆盖层。
在步骤345中,布线槽和通孔开口填充以金属或其他导电材料并使其平坦化以形成双镶嵌布线和通孔。
图14为用于形成根据本发明第二实施例的互连结构的方法的流程图。在步骤350中,在基板上的OSG层(可以是多孔OSG层)上形成至少第一和第二硬掩模,第一硬掩模层位于第二硬掩模和OSG层之间。在OSG层和第一硬掩模层之间可形成可选的覆盖层。在OSG层和第一硬掩模层之间可施加/形成可选粘合层。在第一和第二硬掩模层之间可形成可选的再制保护层。
在步骤355中,在第二硬掩模层上施加光致抗蚀剂层。在施加光致抗蚀剂层之前,对第二硬掩模层可施加可选的ARC,此时光致抗蚀剂层施加到ARC上。
在步骤360,构图第一光致抗蚀剂层并且利用第一等离子体蚀刻工艺将图案传递到第二硬掩模层。在步骤365中,移除第一光致抗蚀剂层。
在步骤365中,利用第二等离子体蚀刻工艺穿过第一硬掩模层传递第二硬掩模图案并进入到OSG中以定义布线槽或通孔或通孔条开口。如果覆盖层、再制保护层、粘合层和/或ARC层存在,也通过这些层传递图案。
在步骤370中,进行使用不含氧或氮物质(即,不包含H2O、O2、CO、CO2、N2、NH3或其他含氧或氮的分子、原子团或离子)的第三等离子体蚀刻,以便使得第二硬掩模层中的图案延伸到OSG层(以及所有中间层)中,以完成OSG层中的布线槽或通孔开口。也实行第四等离子体蚀刻,使得布线槽或通孔开口延伸穿过覆盖层,如果存在覆盖层的话。供选地,可排除第四等离子体蚀刻并且第三等离子体蚀刻还用于蚀刻穿过覆盖层。(注意到,第二实施例的第四等离子体蚀刻基本与第一实施例的第五等离子体蚀刻相同。)
在步骤375中,布线槽和通孔开口填充以金属或其他导电材料并使其平坦化以形成单镶嵌布线、通孔或通孔条。
因此,在OSG电介质中形成互连结构而不导致光致抗蚀剂中毒的本发明的各个实施例的方法,对于OSG脱碳不敏感并较少受互连/OSG界面粘合失败的影响。
以上给出了本发明实施例的描述用以理解本发明。应当理解,本发明不限于在此所述的特殊实施例,并且在不脱离本发明范围的情况下能够进行各种修改、重新设置和替换,这些对于本领域技术人员是明显的。因此,权利要求倾向于覆盖本发明实质精神和范围内的上述修改和改变。

Claims (20)

1.一种方法,包括:
在基板上形成有机硅酸盐玻璃层;
在所述有机硅酸盐玻璃层上形成第一硬掩模层;
在所述第一硬掩模层上形成第二硬掩模层;
在所述第二硬掩模层上形成光致抗蚀剂层;
去除所述光致抗蚀剂层的一区域;
利用第一等离子体蚀刻工艺去除所述第二硬掩模层的其中所述第二硬掩模层未被所述光致抗蚀剂层保护的区域;
去除所述光致抗蚀剂层;
利用第二等离子体蚀刻工艺去除所述第一硬掩模层的其中所述第一硬掩模层未被所述第二硬掩模层保护的部分以及去除所述有机硅酸盐玻璃层的在所述有机硅酸盐玻璃层的未被所述第一硬掩模层保护的区域中的小于整个的部分;以及
利用第三等离子体蚀刻工艺去除所述有机硅酸盐玻璃层的在所述有机硅酸盐玻璃层未被所述第一硬掩模层保护的所述区域中的所有剩余部分,从而形成完全延伸穿过所述有机硅酸盐玻璃层的沟槽。
2.如权利要求1所述的方法,其中所述第三等离子体蚀刻工艺不包括含氧或含氮的物质。
3.如权利要求1所述的方法,进一步包括:
在所述形成所述沟槽之后,用导电材料填充所述沟槽;和
执行化学机械抛光从而移除所述第一硬掩模层并使所述第一硬掩模层的顶面和所述导电材料的顶面共面。
4.如权利要求1所述的方法,其中所述有机硅酸盐玻璃层包括约10%至约70%体积的空洞。
5.如权利要求1所述的方法,其中所述有机硅酸盐玻璃层包括约10%至约40%的硅、约0%至约30%的碳、约0%至约30%的氮以及约20%至约50%的氢。
6.如权利要求1所述的方法,进一步包括:
在所述有机硅酸盐玻璃层和所述基板之间形成覆盖层;和
在所述执行所述第三等离子体蚀刻之后,利用第四等离子体蚀刻工艺去除所述覆盖层未被所述有机硅酸盐玻璃层保护的区域中的所述覆盖层,所述第四等离子体蚀刻工艺不包括含氧或含氮的物质。
7.如权利要求1所述的方法,进一步包括:
在所述有机硅酸盐玻璃层和所述第一硬掩模层之间形成粘合层;及
执行所述第二等离子体蚀刻时去除所述粘合层未被所述第二硬掩模层保护的区域。
8.如权利要求1所述的方法,进一步包括:
在所述第一硬掩模层和所述第二硬掩模层之间形成再制保护层;及
执行所述第二等离子体蚀刻时,去除所述再制保护层未被所述第一硬掩模层保护的区域。
9.如权利要求1所述的方法,进一步包括:
在所述第二硬掩模层和所述光致抗蚀剂层之间形成抗反射涂层;
执行所述第一等离子体蚀刻时,去除所述抗反射涂层未被所述光致抗蚀剂层保护的区域;及
在所述去除所述光致抗蚀剂层过程中去除所有残留的抗反射涂层。
10.一种方法,包括:
在基板上形成有机硅酸盐玻璃层;
在所述有机硅酸盐玻璃层上形成第一硬掩模层;
在所述第一硬掩模层上形成第二硬掩模层;
在所述第二硬掩模层上形成第一光致抗蚀剂层;
去除所述第一光致抗蚀剂层的一区域;
利用第一等离子体蚀刻工艺去除所述第二硬掩模层的其中所述第二硬掩模层未被所述第一光致抗蚀剂层保护的区域,从而定义所述第二硬掩模层中的布线图案;
去除所述第一光致抗蚀剂层;
在所述第二硬掩模层和所述第一硬掩模层的暴露表面上形成第二光致抗蚀剂层;
去除所述第二光致抗蚀剂层的一区域;
执行第二等离子体蚀刻工艺来去除所述第一硬掩模层的一区域,从而定义所述第一硬掩模层中的通孔图案,所述通孔图案至少部分地排列在所述布线图案中,所述第二等离子体蚀刻去除所述有机硅酸盐玻璃层的其中所述有机硅酸盐玻璃层未被所述第一硬掩模层保护的第一区域的小于整个的部分;
去除所述第二光致抗蚀剂层;
执行第三等离子体蚀刻工艺,所述第三等离子体蚀刻工艺去除所述第一硬掩模层的未被所述第二硬掩模层保护的区域中的所述第一硬掩模层,去除所述布线图案的周界范围内的所述有机硅酸盐玻璃层的第二区域的少于整个的部分,以及去除在所述有机硅酸盐玻璃层的所述第一区域中的所述有机硅酸盐玻璃层的另外的少于整个的部分;以及
执行第四等离子体蚀刻工艺,所述第四等离子体蚀刻工艺去除在所述有机硅酸盐玻璃层的所述第二区域中的所述有机硅酸盐玻璃层的另外的少于整个的部分从而形成布线槽,以及去除在所述有机硅酸盐玻璃层的所述第一区域中的所述有机硅酸盐玻璃层的所有剩余部分从而形成通孔开口。
11.如权利要求10所述的方法,其中所述第四等离子体蚀刻工艺不包括含氧或含氮的物质。
12.如权利要求11所述的方法,进一步包括:
在所述形成所述布线槽和通孔开口之后,用导电材料填充所述布线槽和通孔;及
执行化学机械抛光从而移除所述第一硬掩模层并使所述第一硬掩模层的顶面和所述导电材料的顶面共面。
13.如权利要求10所述的方法,其中所述有机硅酸盐玻璃层包括大约10%至大约70%体积的空洞。
14.如权利要求10所述的方法,其中所述有机硅酸盐玻璃层包括大约10%至大约40%的硅、大约0%至大约30%的碳、大约0%至大约30%的氮以及大约20%至大约50%的氢。
15.如权利要求10所述的方法,进一步包括:
在所述有机硅酸盐玻璃层和所述基板之间形成覆盖层;及
在所述执行所述第四等离子体蚀刻之后,利用第五等离子体蚀刻工艺去除在所述覆盖层未被所述有机硅酸盐玻璃层保护的区域中的所述覆盖层,所述第五等离子体蚀刻工艺不包括含氧或含氮的物质。
16.如权利要求10所述的方法,进一步包括:
在所述有机硅酸盐玻璃层和所述第一硬掩模层之间形成粘合层;及
执行所述第二等离子体蚀刻时,去除所述粘合层未被所述第一硬掩模层保护的第一区域;及
执行所述第三等离子体蚀刻时,去除所述粘合层未被所述第二硬掩模层保护的第二区域。
17.如权利要求10所述的方法,进一步包括:
在所述第一硬掩模层和所述第二硬掩模层之间形成再制保护层;
执行所述第二等离子体蚀刻时,去除所述再制保护层未被所述第一硬掩模层保护的第一区域;及
执行所述第三等离子体蚀刻时,去除所述再制保护层未被所述第二硬掩模层保护的第二区域。
18.如权利要求10所述的方法,进一步包括:
在所述第二硬掩模层和所述第一光致抗蚀剂层之间形成第一抗反射涂层;
执行所述第一等离子体蚀刻时,去除所述第一抗反射涂层未被所述第一光致抗蚀剂层保护的区域;及
在所述去除所述第一光致抗蚀剂层过程中去除所有残留的第一抗反射涂层。
19.如权利要求10所述的方法,进一步包括:
在所述第二硬掩模层和所述第一硬掩模层的暴露表面与所述第二光致抗蚀剂层之间形成第二抗反射涂层;
执行所述第三等离子体蚀刻时,去除所述第二抗反射涂层未被所述第二光致抗蚀剂层保护的第一区域;及
在所述去除所述第二光致抗蚀剂层过程中去除所有残留的第二抗反射涂层。
20.如权利要求10所述的方法,其中所述第二等离子体蚀刻工艺、所述第二光致抗蚀剂层的所述去除、或者所述第二等离子体蚀刻工艺和所述第二光致抗蚀剂层的所述去除二者产生所述有机硅酸盐玻璃层的受损区域,并且其中所述第三、第四、或所述第三和第四两个等离子体蚀刻工艺去除所述受损区域而没有损坏所述有机硅酸盐玻璃层的任何其他区域。
CNB2006101669846A 2005-12-22 2006-12-13 形成互连结构的方法 Expired - Fee Related CN100530591C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/315,923 US7358182B2 (en) 2005-12-22 2005-12-22 Method of forming an interconnect structure
US11/315,923 2005-12-22

Publications (2)

Publication Number Publication Date
CN1988132A true CN1988132A (zh) 2007-06-27
CN100530591C CN100530591C (zh) 2009-08-19

Family

ID=38184859

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006101669846A Expired - Fee Related CN100530591C (zh) 2005-12-22 2006-12-13 形成互连结构的方法

Country Status (3)

Country Link
US (2) US7358182B2 (zh)
JP (1) JP5382990B2 (zh)
CN (1) CN100530591C (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101853807A (zh) * 2009-03-27 2010-10-06 朗姆研究公司 用于导线间电介质材料除去的方法
CN104752326A (zh) * 2013-12-30 2015-07-01 中芯国际集成电路制造(上海)有限公司 形成互连结构的方法
CN105575775A (zh) * 2014-10-31 2016-05-11 三星Sdi株式会社 层结构及制造其的方法、形成图案的方法以及半导体装置
CN112447865A (zh) * 2019-08-30 2021-03-05 原子能与替代能源委员会 锗上的接触区
CN113838798A (zh) * 2020-06-08 2021-12-24 芯恩(青岛)集成电路有限公司 一种刻蚀方法

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4516450B2 (ja) * 2005-03-02 2010-08-04 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20070232048A1 (en) * 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having a SiCOH low k layer
US20070232046A1 (en) * 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having porous low K layer with improved mechanical properties
US7858476B2 (en) * 2006-10-30 2010-12-28 Hynix Semiconductor Inc. Method for fabricating semiconductor device with recess gate
US8084357B2 (en) * 2007-04-11 2011-12-27 United Microelectronics Corp. Method for manufacturing a dual damascene opening comprising a trench opening and a via opening
US20100260992A1 (en) * 2007-04-11 2010-10-14 Wei-Chih Chen Multi cap layer
US20090283310A1 (en) * 2007-04-11 2009-11-19 Wei-Chih Chen Multi cap layer and manufacturing method thereof
US7759244B2 (en) * 2007-05-10 2010-07-20 United Microelectronics Corp. Method for fabricating an inductor structure or a dual damascene structure
KR100854860B1 (ko) * 2007-06-27 2008-08-28 주식회사 하이닉스반도체 메모리 소자의 제조방법
DE102007052167B4 (de) * 2007-10-31 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement und Verfahren zum Einstellen der Höhe einer Gateelektrode in dem Halbleiterbauelement
US20090181532A1 (en) * 2008-01-10 2009-07-16 International Business Machines Corporation Integration scheme for extension of via opening depth
DE102008016425B4 (de) * 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
JP2010171081A (ja) * 2009-01-20 2010-08-05 Toshiba Corp 半導体装置及びその製造方法
JP2010212489A (ja) * 2009-03-11 2010-09-24 Fujifilm Corp 組成物
US8138093B2 (en) * 2009-08-12 2012-03-20 International Business Machines Corporation Method for forming trenches having different widths and the same depth
US8513114B2 (en) * 2011-04-29 2013-08-20 Renesas Electronics Corporation Method for forming a dual damascene interconnect structure
JP6061610B2 (ja) * 2012-10-18 2017-01-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8668835B1 (en) 2013-01-23 2014-03-11 Lam Research Corporation Method of etching self-aligned vias and trenches in a multi-layer film stack
US8835306B2 (en) * 2013-02-01 2014-09-16 GlobalFoundries, Inc. Methods for fabricating integrated circuits having embedded electrical interconnects
US8906810B2 (en) 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
US9018103B2 (en) * 2013-09-26 2015-04-28 Lam Research Corporation High aspect ratio etch with combination mask
US9659857B2 (en) * 2013-12-13 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method making the same
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure
US10242872B2 (en) * 2017-03-21 2019-03-26 International Business Machines Corporation Rework of patterned dielectric and metal hardmask films
US10242883B2 (en) 2017-06-23 2019-03-26 Lam Research Corporation High aspect ratio etch of oxide metal oxide metal stack
US10658192B2 (en) * 2017-09-13 2020-05-19 Tokyo Electron Limited Selective oxide etching method for self-aligned multiple patterning
DE102019120765B4 (de) 2018-09-27 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum bilden eines halbleiterbauelements
EP3716314A1 (fr) * 2019-03-29 2020-09-30 Commissariat à l'Energie Atomique et aux Energies Alternatives Prise de contact sur du germanium

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
JP2003508896A (ja) * 1999-08-25 2003-03-04 インフィネオン テクノロジーズ アクチエンゲゼルシャフト 少なくとも1つのメタライゼーション面を有する集積回路の製造方法
US6410437B1 (en) * 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
US6756323B2 (en) * 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6617257B2 (en) * 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US20030008490A1 (en) * 2001-07-09 2003-01-09 Guoqiang Xing Dual hardmask process for the formation of copper/low-k interconnects
US6806203B2 (en) * 2002-03-18 2004-10-19 Applied Materials Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
JP2003332340A (ja) * 2002-05-10 2003-11-21 Renesas Technology Corp 半導体装置の製造方法
US6713402B2 (en) * 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
US7112615B2 (en) * 2002-07-22 2006-09-26 Massachusetts Institute Of Technology Porous material formation by chemical vapor deposition onto colloidal crystal templates
JP2004079901A (ja) * 2002-08-21 2004-03-11 Nec Electronics Corp 半導体装置及びその製造方法
US7001833B2 (en) * 2002-09-27 2006-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming openings in low-k dielectric layers
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
US7132369B2 (en) * 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
WO2004097923A1 (ja) * 2003-04-30 2004-11-11 Fujitsu Limited 半導体装置の製造方法
JP2005203429A (ja) * 2004-01-13 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101853807A (zh) * 2009-03-27 2010-10-06 朗姆研究公司 用于导线间电介质材料除去的方法
CN101853807B (zh) * 2009-03-27 2014-03-12 朗姆研究公司 用于导线间电介质材料除去的方法
CN104752326A (zh) * 2013-12-30 2015-07-01 中芯国际集成电路制造(上海)有限公司 形成互连结构的方法
CN104752326B (zh) * 2013-12-30 2018-09-07 中芯国际集成电路制造(上海)有限公司 形成互连结构的方法
CN105575775A (zh) * 2014-10-31 2016-05-11 三星Sdi株式会社 层结构及制造其的方法、形成图案的方法以及半导体装置
US10312074B2 (en) 2014-10-31 2019-06-04 Samsung Sdi Co., Ltd. Method of producing layer structure, layer structure, and method of forming patterns
CN105575775B (zh) * 2014-10-31 2019-08-13 三星Sdi株式会社 层结构及制造其的方法、形成图案的方法以及半导体装置
CN112447865A (zh) * 2019-08-30 2021-03-05 原子能与替代能源委员会 锗上的接触区
CN113838798A (zh) * 2020-06-08 2021-12-24 芯恩(青岛)集成电路有限公司 一种刻蚀方法

Also Published As

Publication number Publication date
US7358182B2 (en) 2008-04-15
JP2007173795A (ja) 2007-07-05
CN100530591C (zh) 2009-08-19
US20070148966A1 (en) 2007-06-28
US20080146029A1 (en) 2008-06-19
JP5382990B2 (ja) 2014-01-08

Similar Documents

Publication Publication Date Title
CN100530591C (zh) 形成互连结构的方法
KR102087183B1 (ko) 상호접속 구조체 및 방법
CN100576494C (zh) 利用保护性通路盖层形成半导体器件的双镶嵌布线的方法
CN100501969C (zh) 形成互连结构和半导体器件的方法
US8298935B2 (en) Dual damascene process
KR100413908B1 (ko) 반도체 물질 상의 유전체층 보호 방법, 상호 접속 구조체 형성 방법 및 이중 대머신 상호 접속 구조체 형성 방법
US7354856B2 (en) Method for forming dual damascene structures with tapered via portions and improved performance
US7285853B2 (en) Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same
US6677680B2 (en) Hybrid low-k interconnect structure comprised of 2 spin-on dielectric materials
US7052932B2 (en) Oxygen doped SiC for Cu barrier and etch stop layer in dual damascene fabrication
US20200111740A1 (en) Semiconductor device and manufacturing method thereof
WO2002071467A1 (en) Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US8866297B2 (en) Air-gap formation in interconnect structures
US8822342B2 (en) Method to reduce depth delta between dense and wide features in dual damascene structures
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US10177091B2 (en) Interconnect structure and method of forming
US20070232062A1 (en) Damascene interconnection having porous low k layer followed by a nonporous low k layer
US20060118955A1 (en) Robust copper interconnection structure and fabrication method thereof
US7282436B2 (en) Plasma treatment for silicon-based dielectrics
US9941151B2 (en) Method for producing an integrated circuit including a metallization layer comprising low K dielectric material
US7768129B2 (en) Metal etching method for an interconnect structure and metal interconnect structure obtained by such method
CN102034733A (zh) 互连结构及其形成方法
JP2004363447A (ja) 半導体装置およびその製造方法
US8664743B1 (en) Air-gap formation in interconnect structures
CN102044471A (zh) 互连结构及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090819

Termination date: 20111213