DE10137088B4 - Verfahren zum Ausbilden von siliziumhaltigen Dünnschichten mittels Atomschichtabscheidung (Atomic Layer Deposition) unter Verwendung von Aminosilanen - Google Patents

Verfahren zum Ausbilden von siliziumhaltigen Dünnschichten mittels Atomschichtabscheidung (Atomic Layer Deposition) unter Verwendung von Aminosilanen Download PDF

Info

Publication number
DE10137088B4
DE10137088B4 DE10137088A DE10137088A DE10137088B4 DE 10137088 B4 DE10137088 B4 DE 10137088B4 DE 10137088 A DE10137088 A DE 10137088A DE 10137088 A DE10137088 A DE 10137088A DE 10137088 B4 DE10137088 B4 DE 10137088B4
Authority
DE
Germany
Prior art keywords
silicon
substrate
containing solid
forming
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE10137088A
Other languages
English (en)
Other versions
DE10137088A1 (de
Inventor
Yeong-Kwan Suwon Kim
Young-Wook Suwon Park
Seung-Hwan Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE10137088A1 publication Critical patent/DE10137088A1/de
Application granted granted Critical
Publication of DE10137088B4 publication Critical patent/DE10137088B4/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2

Abstract

Atomschichtabscheidungsverfahren zum Ausbilden einer festen Dünnschicht, die Silizium enthält, das folgende Schritte aufweist:
a) Anordnen eines Substrats in einer Kammer;
b) Injizieren eines ersten ein Aminosilan und damit Silizium enthaltenden Reaktionsmittels in die Kammer, wobei das erste Reaktionsmittel zumindest eines ist, das aus der Gruppe bestehend aus Si[N(CH3)2]4, SiH[N(CH3)2]3, SiH2[N(CH3)2]2, und SiH3[N(CH3)2] ausgewählt worden ist;
c) Chemisorbieren eines ersten Teils des ersten Reaktionsmittels auf dem Substrat und Physisorbieren eines zweiten Teils des ersten Reaktionsmittels auf dem Substrat;
d) Entfernen des physisorbierten zweiten Teils des ersten Reaktionsmittels von dem Substrat;
e) Injizieren eines zweiten Reaktionsmittels in die Kammer;
f) Chemisches Reagieren eines ersten Teils des zweiten Reaktionsmittels mit dem chemisorbierten ersten Teil des ersten Reaktionsmittels, um einen siliziumhaltigen Feststoff auf dem Substrat auszubilden; und
g) Entfernen des Teils des zweiten Reaktionsmittels, der nicht chemisch reagiert hat, aus der Kammer.

Description

  • Die vorliegende Erfindung betrifft ein Verfahren zum Ausbilden von Si3N4 und SiO2-Dünnschichten unter Verwendung eines Atomschichtabscheidungsverfahrens (Atomic Layer Deposition) und bei Benutzung eines ersten Reaktionsmittels aus der Gruppe bestehend aus Si[N(CH3)2]4, SiH[N(CH3)2]3, SiH2[N(CH3)2]2, und SiH3[N(CH3)2]. Trisdimethylaminosilan, SiH[N(CH3)2]3, wird im folgenden als "TDMAs" abgekürzt.
  • HINTERGRUND DER ERFINDUNG
  • Im allgemeinen werden Si3N4- und SiO2-Dünnschichten bei Halbleitervorrichtungen unter Verwendung von Abscheidungsverfahren wie beispielsweise Chemical Vapor Deposition (CVD), Low Pressure Chemical Vapor Deposition (LPCVD), und Plasma-Enhanced Chemical Vapor Deposition (PECVD) ausgebildet.
  • CVD-basierte Verfahren weisen oftmals Nachteile auf, die ihre Brauchbarkeit bei der Herstellung von Halbleitervorrichtungen, die von dem Einschluß dünner Schichten aus Si3N4 profitieren, beschränken. Bei einem typischen CVD-Verfahren wird eine dünne Schicht aus Si3N4 bei einer relativ hohen Temperatur abgeschieden, was aufgrund der Möglichkeit von nachteiligen Temperatureffekten auf der Vorrichtung im allgemeinen weniger bevorzugt wird, als eine niedrigere Verfahrenstemperatur. Eine Si3N4-Schicht, die durch CVD abgeschieden worden ist, neigt ebenso zu geometrischen Störungen, wie Dickenabweichungen entlang der Oberfläche der Vorrichtung verursachen. Die Dicke der dünnen Schicht, die um dichtgepackte Strukturen bzw. Merkmale auf der Oberfläche ausgebildet ist, kann geringer sein, als die Dicke der Schicht um die weniger dichtgepackten Merkmale. Dieses Problem ist als Pattern Loading Effect (durch das Leiterbahnmuster hervorgerufener Aufladungseffekt) bekannt.
  • LPCVD weist ebenso Nachteile auf. Der Wasserstoffgehalt der durch LPCVD-hergesteliten dünnen Schicht ist üblicherweise hoch und eine Stufenabdeckung (Steg Coverage) der Oberfläche ist nicht gut. Da bei Verwendung von LPCVD die Schichtaufwachsrate relativ niedrig ist, ist die für das Wachstum einer Schicht mit einer geeigneten Dicke benötigte Verarbeitungszeit relativ lang. Die lange Verarbeitungszeit, welche das Substrat für eine lange Zeit einer relativ hohen Temperatur aussetzt, führt zu einem hohen Wärmehaushalt, der mit dem LPCVD-Verfahren verbunden ist.
  • Als eine Alternative zu den CVD-basierten Abscheidungsverfahren zur Ausbildung von Si3N4- und SiO2-Dünnschichten ist die Atomschichtabscheidung (Atomic Lager Deposition = ALD) vorgeschlagen worden. ALD ist oberflächengesteuertes Verfahren, daß in einer oberflächenkinetischen Umgebung (surface kinetic regime) durchgeführt wird und welches zu einer zweidimensionalen Schicht-für-Schicht-Abscheidung auf der Oberfläche führt. Goto et al. beschreiben ein ALD-Abscheidungsverfahren, das Dichlorsilan (DCS) und NH3-Plasma zum Ausbilden einer Si3N4-Schicht verwendet (YOKOYAMA, S., GOTO, H., u.a.: Atomic layer controlled deposition of silicon nitride and in situ growth Observation by infrared reflection absorption spectroscopy. In: Appl. Surf. Sci., 1997, Vol. 112, S. 75–81 ; GOTO, H., u.a.: Atomic layer controlled deposition of silicon nitride with self-limiting mechanism. In: Appl. Phys. Lett., 1996, Vol. 68, No.23, S. 3257–3259). Jedoch sind die Eigenschaften der dünnen Schicht, die durch das bei Goto beschriebene Verfahren hergestellt worden sind, ungenügend. Der Cl-Gehalt (0,5%) und ein O-Gehalt sind unannehmbar hoch. Dieses kombiniert mit einem gemessenen Si:N-Verhältnis von 41:37 deutet darauf hin, daß dieses Verfahren keine nahezu stoichiometrische Schicht aus Si3N4 ausbildet. Außerdem ist Aufwachsrate bzw. Wachstumsgeschwindigkeit von 0,091 nm pro Zyklus von 300 Sekunden nicht so hoch wie für kommerzielle Anwendungen nötig.
  • Klaus et al. beschreiben ein ALD-Verfahren zum Ausbilden einer Si3N4-Schicht durch ein Reagieren (bzw. Reagieren-lassen) von SiCl4 und NH3. Vergleiche US Patent 6,090,442 , und KLAUS, J. W., u.a.: Atomic layer controlled growth of Si3N4 films using sequential surface reactions. In: Surf Sci., 1998, Vol. 418, S. L14–L19. Die Eigenschaften der mit diesem Verfahren hergestellten dünnen Schicht sind besser als die bei Goto et al. erzielten. Das Verhältnis von Si:N beträgt 1:1,39 und Cl, H- und O-Gehalte sind annehmbar niedrig. Jedoch ist die Zykluszeit von 10 Minuten zum Aufwachsen einer 0,245 nm dicken Schicht zu lang, was eine kommerzielle Anwendung praktisch nicht zulässt.
  • Es ist ebenso vorgeschlagen worden, zum Abscheiden einer dünnen Si3N4-Schicht mit ALD Si2Cl6(HCD) und N2H4 zu verwenden. (MORISHITA, S., u.a.: Atomic-layer chemical-vapor-deposition of silicon-nitride. In: Appl. Surf. Sci., 1997, Vol. 112, S. 198–204). Während die Stoichiometrie und der Cl- und H-Gehalt bei derartigen Schichten geeignet ist, weisen sie einen unannehmbar hohen Sauerstoffgehalt auf, was diese Schichten ungeeignet für die vorhergehend beschriebenen Verwendungen macht.
  • ALD ist ebenso als ein Verfahren zum Abscheiden von dünnen SiO2-Schichten vorgeschlagen worden. Zum Beispiel ist vorgeschlagen worden, für diese Abscheidungsverfahren SiCl4 und H2O zu verwenden. KLAUS, J. W., u.a.: Atomic layer controlled growth of SiO2 films using binary reaction sequence chemistry. In: Appl. Phys. Lett., 1997, Vol. 70, No. 9, S. 1092–1094. Jedoch ist die Zykluszeit bei dem vorgeschlagenen Verfahren für eine kommerzielle Anwendung zu lang. US Patent Nr. 6,090,442 offenbart einen katalytischen Prozeß, bei dem eine SiO2-Schicht unter Verwendung von SiCl4 und H2O mit C5H5N oder NH3 als Katalysatormittel abgeschieden wird. Die Qualität der SiO2-Schicht, die mit diesem Verfahren erzielt werden kann, ist nicht gut, aufgrund der niedrigen Abscheidungstemperaturen: Ein Prozess bzw. ein Verfahren, das Si(NCO)4 & TEA verwendet, ist vorgeschlagen worden (YAMAGUCHI, K., u.a.: Atomic-layer chemical-vapor-deposition of silicon dioxide films with an extremely low hydrogen content. In: Appl. Surf. Sci., 1998, Vol. 130–132, S. 202–207), aber leidet ebenso an einer niedrigen Qualität aufgrund der niedrigen Prozeßtemperaturen. Das gleiche gilt für ein vorgeschlagenes Verfahren, das Si(NCO)x und H2O verwendet (MORISHITA, S., u.a.: New substances for atomic-layer deposition of silicon dioxide. In: J. Non-Cryst. Solids (Netherlands), 1995, Vol. 187, S. 66–69).
  • Die Druckschriften WO 01/40541 A1 , DE 198 53 598 A1 sowie US 6,200,893 B1 betreffen allgemein Atomschichtabscheidungsverfahren, wobei sie jedoch keinen Hinweis auf die Verwendung von Aminosilanen als Vorstufen für siliziumhaltige Schichten offenbaren.
  • Die europäischen Patentanmeldungen EP 1 146 140 A1 sowie EP 1 373 278 A1 stellen jeweils einen nachveröffentlichten Stand der Technik dar. Während die EP 1 146 140 A1 die Abscheidung gemischt metallischer Schichten bzw. von gemischten Metallverbindungen aus der Dampfphase beschreibt, offenbart die EP 1 373 278 A1 den Einsatz von Si[N(CH3)2]4 in CVD-Verfahren. Ein Verfahren mit sämtlichen Merkmalen des vorliegend beanspruchten Verfahrens ist dieser Druckschrift jedoch nicht zu entnehmen.
  • Die EP 0 464 515 A1 , US 5,593,741 und die US 5,252,518 haben jeweils verschiedene Ausgestaltungen üblicher CVD-Verfahren zum Gegenstand, betreffen aber kein Atomschichtabscheidungsverfahren gemäß der vorliegenden Erfindung.
  • Daher besteht ungeachtet eines seit langem bekannten Potentials für eine umfassende Anwendung weiterhin der Bedarf nach einem neuen Verfahren zum Ausbilden von Si3N4- und SiO2-Dünnschichten, die folgenden Kriterien gehorchen: Verfahren mit einem niedrigen Wärmehaushalt; ausgezeichnete Stufenabdeckung; kein pattern loading effect; Si:N-Verhältnis, das mit Si3N4 übereinstimmt; hervorragende Dickensteuerung und -gleichförmigkeit; minimale Anzahl an Partikeleinschlüssen; niedriger Verunreinigungsgehalt und eine Schichtaufwachsrate, die kommerzielle Anwendung praktikabel macht.
  • Um die vorhergehend beschriebenen Punkte zu erzielen, wird bei der vorliegenden Erfindung eine Atomschichtabscheidung (ALD), die ein Aminosilan als ein erstes Reaktionsmittel verwendet, für die Vorbereitung von Si3N4- und SiO2-Dünnschichten eingesetzt.
  • KURZFASSUNG DER ERFINDUNG
  • Die vorliegende Erfindung ist als ein Atomschichtabscheidungsverfahren zum Ausbilden einer festen Dünnfilmschicht, die Silizium enthält, ausgeführt, bei dem ein Substrat in eine Kammer geladen wird. Ein erstes Reaktionsmittel, das ein Aminosilan und somit Si enthält, wobei das erste Reaktionsmittel zumindest eines, das aus der Gruppe aus Si[N(CH3)2]4, SiH[N(CH3)2]3, SiH2[N(CH3)2]2 und SiH3[N(CH3)2] ausgewählt ist, wird in die Kammer injiziert bzw. eingeführt, wobei ein erster Teil des ersten Reaktionsmittels auf dem Substrat chemiesorbiert wird, und ein zweiter Teil des ersten Reaktionsmittels auf dem Substrat physisorbiert wird. Der physisorbierte zweite Teil des ersten Reaktionsmittels wird dann durch ein Reinigen und Ausspülen der Kammer von dem Substrat entfernt. Ein zweites Reaktionsmittel wird anschließend in die Kammer eingeführt, wobei ein erster Teil des zweiten Reaktionsmittels mit dem chemisesorbierten ersten Teil des ersten Reaktionsmittels chemisch reagiert, um eine feste siliziumhaltige Dünnschicht auf dem Substrat auszubilden. Der Teil des zweiten Reaktionsmittels, der nicht chemisch reagiert hat, wird dann aus der Kammer entfernt. Bei einer bevorzugten Ausführungsform ist der siliziumhaltige Feststoff, der auf dem Substrat ausgebildet worden ist, eine Dünnfilmschicht, beispielsweise eine Siliziumnitridschicht. Das zweite Reaktionsmittel ist vorzugsweise aktiviertes NH3. Der Kammerdruck wird vorzugsweise in einem Bereich von 0,0133322 bis 133,322 hPa gehalten und bei bevorzugten Ausführungsformen kann er während des Verfahrens konstant gehalten werden oder in zumindest einem der vier Schritte variiert werden. Einer oder mehrerer der vorhergehenden Schritte kann zum Erzielen eines dickeren Feststoffes auf dem Substrat wiederholt werden.
  • Bei zahlreichen Ausführungsformen besitzen siliziumhaltige Feststoffe, die durch die Verfahren der Erfindung ausgebildet worden sind, eine Trockenätzselektivität bezüglich Si einer Halbleitervorrichtung, wenn sie als eine aktive Nitridmaske ausgebildet sind, bezüglich WSix und dotiertem Polysilizium einer Halbleitervorrichtung, wenn sie als eine Gate-Nitridmaske ausgebildet sind, und bezüglich W und Ti/TiN einer Halbleitervorrichtung, wenn sie als Bitleitungsnitridmaske ausgebildet sind. Der siliziumhaltige Feststoff, der auf dem Substrat ausgebildet wird, kann ebenso ausgebildet werden, dass er als CMP-Stopper dient, oder als eine Isolierschicht, die eine Trockenätzselektivität bezüglich SiO2 einer Halbleitervorrichtung (Spacer bzw. Abstandshalter) aufweist. Bei anderen Ausführungsformen ist der siliziumhaltige Feststoff, der auf dem Substrat ausgebildet ist, eine Isolierschicht, die eine HF-Nassätzselektivität bezüglich SiO2 einer Halbleitervorrichtung aufweist, die als ein Nass-Stopper dient.
  • Der auf dem Substrat ausgebildete siliziumhaltige Feststoff kann als ein Gate-Dielektrikum einer Halbleitervorrichtung, einer Schicht, die zwischen einer Ta2O5-Schicht und einem Kondensatorspeicherknoten der Halbleitervorrichtung ausgebildet ist, als eine dielektrische Schicht eines Kondensators einer Halbleitervorrichtung und als ein STI-Liner einer Halbleitervorrichtung dienen.
  • Bei anderen Ausführungsformen ist der auf dem Halbleitersubstrat ausgebildete siliziumhaltige Feststoff ein Siliziumoxid, und bei einer oder mehreren dieser Ausführungsformen ist das zweite Reaktionsmittel aus der Gruppe bestehend aus H2O, H2O2, O2-Plasma und O3-Plasma ausgewählt.
  • Diese und andere Merkmale der Erfindung werden im Folgenden unter Bezugnahme auf die Zeichnung beschrieben.
  • KURZE BESCHREIBUNG DER ZEICHNUNG
  • Die obige Aufgabe und Vorteile der vorliegenden Erfindung werden aus der folgenden detaillierten Beschreibung ihrer bevorzugten Ausführungsform unter Bezugnahme auf die beigefügte Zeichnung besser ersichtlich.
  • 1 bis 5 beschreiben die Schritte eines Verfahrens zum Ausbilden einer dünnen Schicht aus Si3N4, unter Verwendung einer Atomschichtabscheidung gemäß einer bevorzugten Ausführungsform der vorliegenden Erfindung.
  • 6 zeigt ein schematisches Diagramm einer Dünnschicht-Herstellungsvorrichtung, die für ein Dünnschicht-Herstellungsverfahren gemäß der vorliegenden Erfindung verwendet wird.
  • 7 zeigt ein Flußdiagramm, das die Dünnschicht-Herstellungsverfahren gemäß der vorliegenden Erfindung beschreibt.
  • 8 zeigt einen Graphen, der die Dicke einer pro Zyklus bei Verwendung eines Herstellungsverfahrens gemäß einer bevorzugten Ausführungsform der vorliegenden Erfindung ausgebildeten Si3N4-Schicht zeigt.
  • 9 zeigt einen Graphen, der eine Veränderung bei der Si3N4-Schichtdicke und die Gleichförmigkeit einer Schicht zeigt, während die TDMAS-Dosierungszeit bei einem Verfahren gemäß einer bevorzugten Ausführungsform der vorliegenden Erfindung variiert worden ist.
  • 10 zeigt einen Graphen, der die Veränderung bzw. Abweichung in einer Si3N4-Schichtdicke und Gleichförmigkeit einer Schicht zeigt, während die NH3-Plasmageneratorleistung bei einem Verfahren gemäß einer be vorzugten Ausführungsform der vorliegenden Erfindung variiert worden ist.
  • DETAILLIERTE BESCHREIBUNG DER ERFINDUNG
  • Die folgende Beschreibung bevorzugter Ausführungsformen beginnt mit einer Erläuterung der Verfahrensschritte des Verfahren, gefolgt von Beschreibungen bestimmter Beispiele der bevorzugten Ausführungsformen der Erfindung.
  • Im Folgenden wird auf die 1 bis 6 bezug genommen. Ein Substrat 1, wie beispielsweise Silizium (100), wird in einer Kammer angeordnet siehe (6), welche dann bis auf einen Druck von 2,66644 hPa evakuiert wird. Das Substrat 1 wird auf ungefähr 550°C erwärmt. Bin TDMAS-Strom 2 mit 500sccm in einem Ar-Trägergas wird dann in die Kammer für 15 sec eingebracht. Der Fluss des Stroms 2 wird anschließend gestoppt und die Kammer danach für zwischen 15 bis 165 sec ungestört belassen.
  • Während dieser Zeit wird ein erster Teil des TDMAS chemiesorbiert und bildet eine Schicht 4 auf der Oberfläche des Substrats 1. Ein zweiter Teil der TDMAS-Moleküle haftet darauf physikalisch (wird physisorbiert) und wird locker auf der chemiesorbierten Schicht aus TDMAS gehalten. Die Kammer 3 wird dann mit N2 für 5 sec gereinigt und für 5 sec vakuumgereinigt. Während dieser Reinigungsschritte sind die nicht chemischabsorbierten Teile des TDMAS aus der Kammer entfernt worden, wobei die chemiesorbierte Schicht 4 aus TDMAS auf dem Substrat 1 (2) intakt geblieben ist. Im Folgenden wird auf 3 Bezug genommen. Ein aktiviertes NH3 enthaltender Ar-Strom 6 wird anschließend mit 2000 sccm in die Kammer 3 für 30 sec eingebracht, während ein verringerter Kammerdruck von 0,66661 hPa und eine Substrattemperatur von 550°C aufrecht erhalten werden. Ein Teil des aktivierten NH3 reagiert mit dem chemiesorbierten TDMAS auf dem Substrat, um eine Schicht 8 aus SI3N4 (4) auszubilden. Bei einer Ausführungsform ist das aktivierte NH3 ein Plasma, daß in einem Plasmagenerator erzeugt worden ist, der mit ungefähr 400 W arbeitet, jedoch kann die Leistung variiert werden und die Erfindung ist nicht so auszulegen, dass sie auf einen bestimmten Plasmakammerleistungswert begrenzt ist. Nachdem der NH3 enthaltende Strom für 30 sec in die Kammer geströmt ist, wird die Kammer 3 anschließend für 5 sec mit N2 gereinigt und anschließend für 5 sec vakuumgereinigt. Die Schritte eines Einbringens von TDMAS in die Kammer 3, das Reinigen, das Einbringen von NH3 in die Kammer und das neuerliche Reinigen kann zum Erzielen einer erwünschten Dicke einer SI3N4-Schicht 8 wiederholt ausgeführt werden. Die Ausbildung der SI3N4-Schicht 8 ist damit vollständig abgeschlossen.
  • 6 zeigt ein schematisches Diagramm einer Dünnschicht-Herstellungsvorrichtung, die für ein Dünnschicht-Herstellungsverfahren gemäß der vorliegenden Erfindung verwendet wird. 7 zeigt ein Flußdiagramm, daß das Dünnschicht-Herstellungsverfahren gemäß der vorliegenden Erfindung beschreibt. Die vorhergehend beschriebene Ausführungsform wird im Folgenden unter Bezugnahme auf die 6 bis 10 beschrieben. Nach einem Laden eines Substrats 1, beispielsweise einem Siliziumsubstrat 100, in eine Kammer 3, wird in der Kammer ein Druck von ungefähr 2,66644 hPa erzeugt und die Kammer wird auf eine Temperatur von ungefähr 550°C unter Verwendung einer Heizvorrichtung 5 gebracht (Schritt 100). Der TDMAS enthaltende erste Reaktionsmittelstrom wird in die Kammer 3 für 30 sec injiziert bzw. eingebracht, während das Substrat auf 550°C und ungefähr 2,66644 hPa gehalten wird (Schritt 105). Das TDMAS wird verdampft, um einen ersten Reaktionsmittelstrom 6 durch Einbringen eines Ar-Trägergases mit 500 sccm aus einer Quelle 19 in die erste Rührvorrichtung 12, welche flüssiges TDMAS bei einer Temperatur von ungefähr 25°C enthält, auszubilden. Der kombinierte TDMAS- und Ar-Gasstrom wird anschließend über eine erste Gasleitung 13 und einem Düsenkopf 15 für eine Zeitdauer von ungefähr 30 sec in die Kammer 3 injiziert, wie es vorhergehend beschrieben worden ist. Die Kammer 3 wird anschließend mit reinem Ar für 5 sec gereinigt und anschließend durch eine Pumpe 7 für 5 sec vakuumgereinigt. Die Erfindung ist nicht so auszulegen, dass sie auf ein bestimmtes Reinigungschema begrenzt ist, sondern ist vielmehr so auszulegen, dass alternative Reinigungsabläufe enthalten kann, die zu einer Entfernung des physisobierten TDMAS von der chemiesorbierten Oberflächenschicht aus TDMAS führen.
  • Der zweite Reaktionsmittelgasstrom aus aktivierten NH3 in einem Ar-Träger wird anschließend durch eine Gasleitung 16 und einem Düsenkopf 15 für 30 sec bei einer Rate von ungefähr 2000 sccm in die Kammer 3 injiziert. Während dieses Schrittes wird das Substrat 1 auf einer Temperatur von 550°C gehalten und der Kammerdruck wird auf ungefähr 0,66661 hPa gehalten (Schritt 115 in Fig.). Bei einer Ausführungsform wird das NH3 in dem zweiten Reaktionsmittelgasstrom durch Verrühren bzw. Aufsprudeln (Bubbling) mit Ar aus einer Gasquelle 19 durch flüssiges NH3 14, das bei ungefähr 25 °C in einer zweiten Rührvorrichtung 17 gehalten wird, verdampft. Der NH3-Ar-Strom wird anschließend durch einen Remoteplasmagenerator (nicht gezeigt) zugeführt, und anschließend über eine Gasleitung 16 und einen Düsenkopf 15 für 30 sec bei einer Rate von ungefähr 2000 sccm in die Kammer 3 eingebracht.
  • Wie in 3 und 4 dargestellt, reagiert ein Teil des NH3 in dem zweiten Reaktionsmittelstrom mit dem auf dem Substrat chemiesorbierten TDMAS, um eine Schicht aus Si3N4 auszubilden. Während die Schicht aus Si3N4 auf dem Substrat ausgebildet wird, wird ein zweiter Teil des NH3 in dem zweiten Reaktionsmittelstrom auf der Si3N4-Schicht physikalisch absorbiert (physisorbiert). Anschließend wird die Kammer 3 unter Verwendung eines Ar-Stroms für 5 sec gereinigt, gefolgt von einem Vakuumreinigen unter Verwendung einer Pumpe 7 (Schritt 120). Jedoch kann das physisobierte zweite Reaktionsmittel ebenso durch ein Vakuumabpumpen der Kammer ohne einen ersten Reinigungsschritt mit einem Inertgas entfernt werden.
  • Nach Reinigen der Kammer 3 von dem NH3, das nicht reagiert hat, wird die Si3N4-Schichtdicke gemessen (Schritt 125). Falls eine zusätzliche Schichtdicke erforderlich ist, werden die Schritte 105 bis 125 wiederholt ausgeführt, bis eine gewünschte Schichtdicke erzielt ist. Wenn die gewünschte Schichtdicke erreicht worden ist, wird das Herstellungsverfahren durch ein Zückkehren der Temperatur und des Drucks der Kammer zu einem Normalzustand abgeschlossen (Schritt 130).
  • Wie in 8 gezeigt, beträgt bei dem vorhergehenden Verfahren für die Ausbildung einer Si3N4-Schicht eine Abscheidungsrate 0,135 nm pro Zyklus und die Schichtdicke zeigt eine lineare Beziehung bezüglich der Anzahl an Zyklen. Der Brechungsindex des abgeschiedenen Materials wird mit 2,0 bei einer Wellenlänge von 632,8 nm gemessen, was bestätigt, dass die abgeschiedene Schicht stoichiometrisches Si3N4 ist. Die Zugbelastung des Films wurde mit 5 N/cm2 gemessen. Der Wasserstroffgehalt und der Kohlenstoffgehalt sind mit ungefähr 1 % oder weniger beide sehr niedrig und es wurde kein Sauerstoff erfaßt. Eine Stufenabdeckung von 95% und mehr wurde über einer kontaktartigen Struktur mit einem Formfaktor von 8:1 erzielt. Eine Trockenätzrate bei einer 200:1wässrigen HF-Lösung war mit ungefähr 1 nm/min relativ niedrig, was die gewünschte Nassätzselektivität vorsieht, die ein nützliches Merkmal der Si3N4-Dünnschichten ist.
  • Gemäß 9 wurde festgestellt, dass wenn die Veränderung der Aufwachsrate in Bezug auf die Menge an TDMAS-Aussetzung berücksichtigt wird, welche ein typisches ALD-Abscheidungscharakteristikum ist, keine Veränderung der Aufwachsrate für eine Zeit eines Aussetzungseins von insgesamt 60 sec (Fluß für 15 sec und Halten für 45) unter den vorher beschriebenen Bedingungen erfasst worden ist. Dies deutet darauf hin, daß eine Aussetzungszeit von 60 sec zu einer Sättigung der Substratoberfläche führt. Wenn zusätzlich das TDMAS für 30 sec strömt, wurde die gleiche Aufwachsrate erzielt, wenn die Flußzeit 15 sec betrug und die Haltezeit 45 sec oder mehr betrug.
  • Gemäß 10 wurde nun der Effekt des RF-Leistungsniveaus des Plasmagenerators bestimmt. Zurückkommend auf das vorher beschriebene Verfahren wird aktiviertes NH3 in die Kammer eingebracht, nachdem das Substrat dem TDMAS ausgesetzt war und die Kammer gereinigt worden ist. Wie in 10 gezeigt, ist die Verwendung von aktivierten NH3 ein wichtiger Aspekt des beanspruchten Verfahrens. Wenn die RF-Leistung in dem Leistungsgenerator 0 betrug, und daher kein aktiviertes NH3 in die Kammer eingebracht worden ist, wurde überhaupt keine Si3N4-Schichtabscheidung beobachtet, was darauf hin deutet, dass keine Reaktion zwischen den nicht aktivierten NH3 und dem TDMAS auf dem Substrat stattgefunden hat. Zwischen 0 und 0,4 KW stieg die Abscheidungsrate der Schicht, wie gezeigt, linear an. Von 0,4 KW an, stieg die Auf wachsrate, wenn überhaupt, nur wenig an, was eine Abscheidungsratenbeziehung darstellt, die typisch für ALD ist.
  • ALD-Verfahren zum Ausbilden einer SiO2–Schicht unter Verwendung von TDMAS
  • Um diese Probleme zu verringern, kann das ALD-Verfahren durch Verwendung von TDMAS mit H2O, H2O2, aktiviertem O2 (z.B. O3, einem O2-Remoteplasma, usw.) realisiert werden.
  • Silikat-ALD-Verfahren unter Verwendung von TDMAS
  • Wenn das ALD-Verfahren zum Ausbilden von Silikat verwendet wird, welches eine dielektrische Substanz ist, die eine größere Dielektrizitatskonstante als SiO2 aufweist, sollte ein SiO2-Verfahren in einem Verfahrensfenster (process window) eines Metalloxids zum Ausbilden von Silikat implementiert werden. Ein geeignetes SiO2-Verfahren kann durch Verwendung von TDMAS erzielt werden.
  • Die vorhergehend bevorzugten Ausführungsformen sind lediglich beispielhaft und nicht begrenzend auszulegen. Der Fachmann erkennt ohne weiteres, dass Änderungen und Abwandlungen der Erfindung, wie sie vorhergehend beschrieben worden sind, ohne weiteres möglich sind, ohne den Umfang der beiliegenden Ansprüche zu verlassen.

Claims (23)

  1. Atomschichtabscheidungsverfahren zum Ausbilden einer festen Dünnschicht, die Silizium enthält, das folgende Schritte aufweist: a) Anordnen eines Substrats in einer Kammer; b) Injizieren eines ersten ein Aminosilan und damit Silizium enthaltenden Reaktionsmittels in die Kammer, wobei das erste Reaktionsmittel zumindest eines ist, das aus der Gruppe bestehend aus Si[N(CH3)2]4, SiH[N(CH3)2]3, SiH2[N(CH3)2]2, und SiH3[N(CH3)2] ausgewählt worden ist; c) Chemisorbieren eines ersten Teils des ersten Reaktionsmittels auf dem Substrat und Physisorbieren eines zweiten Teils des ersten Reaktionsmittels auf dem Substrat; d) Entfernen des physisorbierten zweiten Teils des ersten Reaktionsmittels von dem Substrat; e) Injizieren eines zweiten Reaktionsmittels in die Kammer; f) Chemisches Reagieren eines ersten Teils des zweiten Reaktionsmittels mit dem chemisorbierten ersten Teil des ersten Reaktionsmittels, um einen siliziumhaltigen Feststoff auf dem Substrat auszubilden; und g) Entfernen des Teils des zweiten Reaktionsmittels, der nicht chemisch reagiert hat, aus der Kammer.
  2. Verfahren nach Anspruch 1, wobei die Dünnschicht eine Siliziumnitridschicht ist.
  3. Verfahren nach Anspruch 2, wobei das zweite Reaktionsmittel aktiviertes NH3 ist.
  4. Verfahren nach Anspruch 3, wobei das aktivierte NH3 durch einen Remoteplasmagenerator erzeugt wird.
  5. Verfahren nach Anspruch 1, wobei das zweite Reaktionsmittel aktiviertes NH3 ist, und das aktivierte NH3 durch ein katalytisches Verfahren unter Verwendung eines Edelmetalls erzeugt wird.
  6. Verfahren nach einem der Ansprüche 2 bis 5, wobei das Zusammensetzungsverhältnis von N zu Si der Schicht 1 bis 1,6 beträgt.
  7. Verfahren nach Anspruch 1, wobei der Kammerdruck in einem Bereich von 0,0133322–133,322 hPa ist.
  8. Verfahren nach Anspruch 7, wobei ein Kammerdruck während des Durchführens jedes Schrittes der gleiche ist.
  9. Verfahren nach Anspruch 7, wobei ein Kammerdruck während des Durchführens von zumindest einem der vier Schritte b und c, d, e und f sowie g unterschiedlich zu den übrigen Schritten ist.
  10. Verfahren zum Ausbilden eines siliziumhaltigen Feststoffes nach Anspruch 1, wobei der siliziumhaltige Feststoff, der auf dem Substrat ausgebildet worden ist, eine Trockenätzselektivität bezüglich Si einer Halbleitervorrichtung (aktive Nitridmaske) aufweist.
  11. Verfahren zum Ausbilden eines siliziumhaltigen Feststoffes nach Anspruch 1, wobei der siliziumhaltige Feststoff, der auf dem Substrat ausgebildet worden ist, eine Trockenätzselektivität bezüglich WSi und dotiertem polysilizium einer Halbleitervorrichtung (Gate-Nitridmaske) aufweist.
  12. Verfahren zum Ausbilden eines siliziumhaltigen Feststoffes nach Anspruch 1, wobei der siliziumhaltige Feststoff, der auf dem Substrat ausgebildet wird, eine Trockenätzselektivität bezüglich W und Ti/TiN einer Halbleitervorrichtung (Bit-leitungsnitridmaske) aufweist.
  13. Verfahren zum Ausbilden eines siliziumhaltigen Feststoffs nach Anspruch 1, wobei der siliziumhaltige Feststoff, der auf dem Substrat ausgebildet wird, ein CMP-Stopper ist.
  14. Verfahren zum Ausbilden eines siliziumhaltigen Feststoffs nach Anspruch 1, wobei der siliziumhaltige Feststoff, der auf dem Substrat ausgebildet wird, eine Isolationsschicht ist, die eine Trockenätzselektivität bezüglich SiO2 einer Halbleitervorrichtung (Abstandshalter) aufweist.
  15. Verfahren zum Ausbilden eines siliziumhaltigen Feststoffs nach Anspruch 1, wobei der siliziumhaltige Feststoff, der auf dem Substrat ausgebildet wird, eine Isolationsschicht ist, die eine HF-Nassätzselektivität bezüglich SiO2 einer Halbleitervorrichtung (Nassstopper) aufweist.
  16. Verfahren zum Ausbilden eines siliziumhaltigen Festsstoffs nach Anspruch 1, wobei der siliziumhaltige Feststoff, der auf dem Substrat ausgebildet wird, ein Gate-Dielektrikum einer Halbleitervorrichtung umfaßt.
  17. Verfahren zum Ausbilden eines siliziumhaltigen Feststoffs nach Anspruch 1, wobei der siliziumhaltige Feststoff, der auf dem Substrat ausgebildet wird, eine Schicht ist, die zwischen einer Ta2O5-Schicht und einem Kondensatorspeicherknoten einer Halbleitervorrichtung ausgebildet ist.
  18. Verfahren zum Ausbilden eines siliziumhaltigen Feststoffs nach Anspruch 1, wobei der siliziumhaltige Feststoff, der auf dem Substrat ausgebildet wird, eine dielektrische Schicht eines Kondensators einer Halbleitervorrichtung ist.
  19. Verfahren zum Ausbilden eines siliziumhaltigen Feststoffs nach Anspruch 1, wobei der siliziumhaltige Feststoff, der auf dem Substrat ausgebildet wird, ein STI-Liner einer Halbleitervorrichtung ist.
  20. Verfahren zum Ausbilden eines siliziumhaltigen Feststoffs nach Anspruch 1, wobei der siliziumhaltige Feststoff, der auf dem Substrat ausgebildet wird, ein Siliziumoxid ist.
  21. Verfahren nach Anspruch 20, wobei das zweite Reaktionsmittel aus der Gruppe bestehend aus H2O, H2O2, O2-Plasma und O3-Plasma ausgewählt ist.
  22. Verfahren nach Anspruch 20, wobei ein Brechungsindex einer derartig ausgebildeten Dünnschicht in einem Bereich von 1,43–1,5 ist.
  23. Atomschichtabscheidungsverfahren nach Anspruch 1, wobei einer oder mehrerer der Schritte b)–g) wiederholt werden.
DE10137088A 2001-06-20 2001-07-30 Verfahren zum Ausbilden von siliziumhaltigen Dünnschichten mittels Atomschichtabscheidung (Atomic Layer Deposition) unter Verwendung von Aminosilanen Expired - Lifetime DE10137088B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/886142 2001-06-20
US09/886,142 US6391803B1 (en) 2001-06-20 2001-06-20 Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane

Publications (2)

Publication Number Publication Date
DE10137088A1 DE10137088A1 (de) 2003-01-09
DE10137088B4 true DE10137088B4 (de) 2008-03-06

Family

ID=25388464

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10137088A Expired - Lifetime DE10137088B4 (de) 2001-06-20 2001-07-30 Verfahren zum Ausbilden von siliziumhaltigen Dünnschichten mittels Atomschichtabscheidung (Atomic Layer Deposition) unter Verwendung von Aminosilanen

Country Status (6)

Country Link
US (1) US6391803B1 (de)
JP (1) JP3476801B2 (de)
KR (1) KR100434186B1 (de)
CN (1) CN1244716C (de)
DE (1) DE10137088B4 (de)
TW (1) TW546402B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210343520A1 (en) * 2015-03-20 2021-11-04 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control

Families Citing this family (532)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
AU2001278749A1 (en) * 2000-08-18 2002-03-04 Tokyo Electron Limited Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof
US6420230B1 (en) * 2000-08-31 2002-07-16 Micron Technology, Inc. Capacitor fabrication methods and capacitor constructions
US7112503B1 (en) * 2000-08-31 2006-09-26 Micron Technology, Inc. Enhanced surface area capacitor fabrication methods
US7217615B1 (en) * 2000-08-31 2007-05-15 Micron Technology, Inc. Capacitor fabrication methods including forming a conductive layer
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
EP1677361A2 (de) * 2001-04-02 2006-07-05 Matsushita Electric Industrial Co., Ltd. Halbleiterbauelement und Verfahren zu seiner Herstellung
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US6929831B2 (en) * 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
KR100449248B1 (ko) * 2001-12-26 2004-09-18 주식회사 하이닉스반도체 원자층 증착을 이용한 커패시터 형성 방법
KR100444304B1 (ko) 2001-12-31 2004-08-16 주식회사 하이닉스반도체 반도체소자의 캐패시터 형성방법
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6893506B2 (en) * 2002-03-11 2005-05-17 Micron Technology, Inc. Atomic layer deposition apparatus and method
US6730163B2 (en) * 2002-03-14 2004-05-04 Micron Technology, Inc. Aluminum-containing material and atomic layer deposition methods
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7045170B1 (en) * 2002-04-03 2006-05-16 Sandia Corporation Anti-stiction coating for microelectromechanical devices
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
KR100468729B1 (ko) * 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6664156B1 (en) 2002-07-31 2003-12-16 Chartered Semiconductor Manufacturing, Ltd Method for forming L-shaped spacers with precise width control
KR100480914B1 (ko) * 2002-08-05 2005-04-07 주식회사 하이닉스반도체 반도체소자의 캐패시터 제조방법
KR100500439B1 (ko) * 2002-08-14 2005-07-12 삼성전자주식회사 게이트 스페이서가 포지티브 슬로프를 갖는 반도체 장치의 제조방법
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US7279392B2 (en) * 2003-08-04 2007-10-09 Samsung Electronics Co., Ltd. Thin film structure, capacitor, and methods for forming the same
US8617312B2 (en) * 2002-08-28 2013-12-31 Micron Technology, Inc. Systems and methods for forming layers that contain niobium and/or tantalum
DE10240106A1 (de) * 2002-08-30 2004-03-11 Infineon Technologies Ag Ausbildung einer elektrischen Verbindung zwischen Strkturen in einem Halbleitersubstrat
US6770536B2 (en) * 2002-10-03 2004-08-03 Agere Systems Inc. Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
JP2004153037A (ja) * 2002-10-31 2004-05-27 Renesas Technology Corp 半導体装置の製造方法
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
JP4714422B2 (ja) 2003-04-05 2011-06-29 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. ゲルマニウムを含有するフィルムを堆積させる方法、及び蒸気送達装置
JP4954448B2 (ja) 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
JP4689969B2 (ja) * 2003-04-05 2011-06-01 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Iva族およびvia族化合物の調製
US20050170665A1 (en) * 2003-04-17 2005-08-04 Fujitsu Limited Method of forming a high dielectric film
JPWO2004093179A1 (ja) * 2003-04-17 2006-07-06 富士通株式会社 高誘電体膜の形成方法
US7115528B2 (en) * 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
DE10319540A1 (de) * 2003-04-30 2004-11-25 Infineon Technologies Ag Verfahren zur ALD-Beschichtung von Substraten sowie eine zur Durchführung des Verfahrens geeignete Vorrichtung
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
US6930060B2 (en) * 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US6967130B2 (en) * 2003-06-20 2005-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming dual gate insulator layers for CMOS applications
US9725805B2 (en) 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US20050271893A1 (en) * 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7440255B2 (en) * 2003-07-21 2008-10-21 Micron Technology, Inc. Capacitor constructions and methods of forming
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7001844B2 (en) * 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
KR100762573B1 (ko) * 2004-06-04 2007-10-01 어플라이드 마이크로스트럭쳐스, 인코포레이티드 산화물층에 의해 부착된 다층 코팅의 제어되는 기상 증착
KR100589062B1 (ko) * 2004-06-10 2006-06-12 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20090011150A1 (en) * 2004-08-04 2009-01-08 Hyeong-Tag Jeon Remote Plasma Atomic Layer Deposition Apparatus and Method Using Dc Bias
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7674726B2 (en) * 2004-10-15 2010-03-09 Asm International N.V. Parts for deposition reactors
US7427571B2 (en) * 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP2006120992A (ja) * 2004-10-25 2006-05-11 C Bui Res:Kk シリコン窒化膜の製造方法及びその製造装置
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
KR100586554B1 (ko) * 2005-01-07 2006-06-08 주식회사 하이닉스반도체 반도체 소자의 게이트 전극 제조 방법
US20060172068A1 (en) * 2005-01-28 2006-08-03 Ovshinsky Stanford R Deposition of multilayer structures including layers of germanium and/or germanium alloys
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP4734317B2 (ja) * 2005-02-17 2011-07-27 株式会社日立国際電気 基板処理方法および基板処理装置
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
KR100681384B1 (ko) 2005-04-21 2007-02-15 후지쯔 가부시끼가이샤 유전체막의 형성 방법, 반도체 장치의 제조 방법 및 캐패시터의 제조 방법
CN101171365B (zh) * 2005-05-09 2010-05-19 Asm吉尼泰克韩国株式会社 多入口原子层沉积反应器
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP4554446B2 (ja) * 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
KR100734393B1 (ko) * 2005-11-28 2007-07-02 주식회사 에이이티 실리콘 박막의 원자층 증착 방법
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7718518B2 (en) * 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
DE112007001813T5 (de) * 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
JP5090451B2 (ja) * 2006-07-31 2012-12-05 アプライド マテリアルズ インコーポレイテッド 炭素含有シリコンエピタキシャル層の形成方法
TWI462179B (zh) * 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
JP5258229B2 (ja) * 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US7776395B2 (en) * 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US7749574B2 (en) * 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US20080116554A1 (en) * 2006-11-21 2008-05-22 Spatial Photonics, Inc. Packaging micro devices
US7799377B2 (en) * 2006-12-07 2010-09-21 Electronics And Telecommunications Research Institute Organic/inorganic thin film deposition method
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
JP5202372B2 (ja) 2008-03-14 2013-06-05 東京エレクトロン株式会社 成膜装置のメタル汚染低減方法、半導体装置の製造方法、記憶媒体及び成膜装置
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009253195A (ja) * 2008-04-10 2009-10-29 Toshiba Corp 半導体装置の製造方法、及び半導体装置
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5102393B2 (ja) * 2008-06-03 2012-12-19 エア プロダクツ アンド ケミカルズ インコーポレイテッド ケイ素含有フィルムの低温堆積
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011082493A (ja) * 2009-09-14 2011-04-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
DE102010010937A1 (de) * 2009-10-26 2011-04-28 MAX-PLANCK-Gesellschaft zur Förderung der Wissenschaften e.V. Verfahren und Vorrichtung zur Herstellung einer Fresnel-Zonenplatte
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
JP5839514B2 (ja) * 2010-02-15 2016-01-06 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
WO2011156484A2 (en) 2010-06-08 2011-12-15 President And Fellows Of Harvard College Low-temperature synthesis of silica
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
KR101213291B1 (ko) * 2010-12-30 2012-12-18 부산대학교 산학협력단 액체 기체 하이브리드 원자층 증착법 및 이를 이용한 박막 형성 방법 및 시스템
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TW201306082A (zh) * 2011-04-18 2013-02-01 Tokyo Electron Ltd 電漿評估方法、電漿處理方法及電漿處理裝置
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
GB201113808D0 (en) * 2011-08-11 2011-09-21 Univ Strathclyde Methods for forming an organic layer on a substrate
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9859028B2 (en) 2012-03-08 2018-01-02 Max-Planck-Gesellschaft Zur Foerderung Der Wissenschaften E.V. Method of producing a Fresnel Zone Plate for applications in high energy radiation
KR102029286B1 (ko) 2012-03-09 2019-10-07 버슘머트리얼즈 유에스, 엘엘씨 디스플레이 디바이스를 위한 배리어 물질
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9234276B2 (en) * 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
WO2014015248A1 (en) 2012-07-20 2014-01-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6024484B2 (ja) * 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9245740B2 (en) * 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
JP6346022B2 (ja) * 2013-07-31 2018-06-20 京セラ株式会社 薄膜形成方法および太陽電池素子の製造方法
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9905415B2 (en) 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6318433B2 (ja) * 2013-11-28 2018-05-09 大陽日酸株式会社 シリコン窒化膜の形成方法及びシリコン窒化膜
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
KR102195139B1 (ko) 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
GB201412201D0 (en) * 2014-07-09 2014-08-20 Isis Innovation Two-step deposition process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
WO2016081513A1 (en) * 2014-11-17 2016-05-26 The Regents Of The University Of Colorado, A Body Corporate Catalyst, structures, reactors, and methods of forming same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6600074B2 (ja) 2015-07-31 2019-10-30 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 窒化ケイ素膜を堆積するための組成物及び方法
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
KR20180095563A (ko) * 2015-12-18 2018-08-27 다우 실리콘즈 코포레이션 고순도 트라이실릴아민, 제조 방법, 및 용도
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
CN109071572A (zh) * 2016-05-17 2018-12-21 美国陶氏有机硅公司 氨基氯氢二硅烷
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR20180011713A (ko) * 2016-07-25 2018-02-02 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 상기 반도체 장치의 제작 방법
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102105977B1 (ko) 2017-03-29 2020-05-04 (주)디엔에프 실릴아민 화합물, 이를 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
WO2018182305A1 (en) * 2017-03-29 2018-10-04 Dnf Co., Ltd. Silylamine compound, composition for depositing silicon-containing thin film containing the same, and method for manufacturing silicon-containing thin film using the composition
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102347201B1 (ko) 2017-10-25 2022-01-04 한국화학연구원 실리콘 아미노아미드 이미드 화합물, 이의 제조방법 및 이를 이용하여 박막을 형성하는 방법
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (de) 2018-02-14 2020-11-18 ASM IP Holding B.V. Verfahren zum abscheiden eines ruthenium-haltigen films auf einem substrat durch ein zyklisches abscheidungsverfahren
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
JP6789257B2 (ja) * 2018-02-28 2020-11-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN109536921A (zh) * 2018-12-14 2019-03-29 复旦大学 一种利用等离子体增强原子层沉积调控氧化硅薄膜化学计量比的方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
KR20220097483A (ko) 2019-11-08 2022-07-07 어플라이드 머티어리얼스, 인코포레이티드 재료 표면 거칠기를 감소시키기 위한 방법들
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
JP7114554B2 (ja) 2019-11-22 2022-08-08 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20230117175A (ko) * 2020-12-01 2023-08-07 버슘머트리얼즈 유에스, 엘엘씨 선택적 플라즈마 강화 원자층 증착
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112778971A (zh) * 2021-01-14 2021-05-11 深圳陶陶科技有限公司 一种抛光用复合磨粒、研磨液及其制备方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113380639A (zh) * 2021-05-26 2021-09-10 西安交通大学 一种原子级离子清洁活化低温键合装置及方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0464515A2 (de) * 1990-06-28 1992-01-08 Kabushiki Kaisha Toshiba Verfahren zum Herstellen eines Siliziumnitrid-Filmes
US5252518A (en) * 1992-03-03 1993-10-12 Micron Technology, Inc. Method for forming a mixed phase TiN/TiSi film for semiconductor manufacture using metal organometallic precursors and organic silane
US5593741A (en) * 1992-11-30 1997-01-14 Nec Corporation Method and apparatus for forming silicon oxide film by chemical vapor deposition
DE19853598A1 (de) * 1998-08-07 2000-02-10 Samsung Electronics Co Ltd Dünnschichtherstellungsverfahren mit atomarer Schichtdeposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
WO2001040541A1 (en) * 1999-12-03 2001-06-07 Asm Microchemistry Oy Atomic-layer-chemical-vapor-deposition of films that contain silicon dioxide
EP1146140A1 (de) * 2000-04-10 2001-10-17 Air Products And Chemicals, Inc. Verfahren zur Ablagerung von Oxyden und Nitriden mit Zusammensetzungsgradienten
WO2002079211A1 (en) * 2001-03-30 2002-10-10 Advanced Technology Materials, Inc. Metalloamide and aminosilane precursors for cvd formation of dielectric thin films

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4289797A (en) * 1979-10-11 1981-09-15 Western Electric Co., Incorporated Method of depositing uniform films of Six Ny or Six Oy in a plasma reactor
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100278664B1 (ko) * 1999-01-11 2001-01-15 윤종용 박막 제조방법
US6194310B1 (en) * 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0464515A2 (de) * 1990-06-28 1992-01-08 Kabushiki Kaisha Toshiba Verfahren zum Herstellen eines Siliziumnitrid-Filmes
US5252518A (en) * 1992-03-03 1993-10-12 Micron Technology, Inc. Method for forming a mixed phase TiN/TiSi film for semiconductor manufacture using metal organometallic precursors and organic silane
US5593741A (en) * 1992-11-30 1997-01-14 Nec Corporation Method and apparatus for forming silicon oxide film by chemical vapor deposition
DE19853598A1 (de) * 1998-08-07 2000-02-10 Samsung Electronics Co Ltd Dünnschichtherstellungsverfahren mit atomarer Schichtdeposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
WO2001040541A1 (en) * 1999-12-03 2001-06-07 Asm Microchemistry Oy Atomic-layer-chemical-vapor-deposition of films that contain silicon dioxide
EP1146140A1 (de) * 2000-04-10 2001-10-17 Air Products And Chemicals, Inc. Verfahren zur Ablagerung von Oxyden und Nitriden mit Zusammensetzungsgradienten
WO2002079211A1 (en) * 2001-03-30 2002-10-10 Advanced Technology Materials, Inc. Metalloamide and aminosilane precursors for cvd formation of dielectric thin films
US20020175393A1 (en) * 2001-03-30 2002-11-28 Advanced Technology Materials Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
EP1373278A1 (de) * 2001-03-30 2004-01-02 Advanced Technology Materials, Inc. Metalloamid- und aminosilanvorstufen für die herstellung dünner dielektrischer filme durch chemische abscheidung aus der gasphase

Non-Patent Citations (10)

* Cited by examiner, † Cited by third party
Title
GOTO,H., u.a.: Atomic layer controlled deposition of silicon nitride with self-limiting mechanism. In: Appl. Phys. Lett., 1996, Vol. 68, No.23, S. 32 57-3259. *
KLAUS, J.W. u.a.: Atomic layer controlled growth of SiO 2 films using binary reaction sequence chemistry,. In: Appl. Phys. Lett., 1997, Vol. 70, No. 9, S. 1092-1094. *
KLAUS, J.W., u.a.: Atomic layer controlled growth of a SI<SUB>3N</SUB>4 films using sequential surface reactions. In: Surf. Sci., 1998, Vol. 418, L14-L19. *
KLAUS, J.W., u.a.: Atomic layer controlled growth of a SI3N4 films using sequential surface reac- tions. In: Surf. Sci., 1998, Vol. 418, L14-L19.
MORISHITA,S., u.a.: Atomic-layer chemical-vapor- deposition of silicon-nitride. In: Appl. Surf. Sci., 1997, Vol. 112, S. 198-204
MORISHITA,S., u.a.: Atomic-layer chemical-vapordeposition of silicon-nitride. In: Appl. Surf. Sci., 1997, Vol. 112, S. 198-204 *
MORISHITA,S., u.a.: New substances for atomic- layer deposition of silicon dioxide. In: J. Non- Cryst. Solids (Netherlands), 1995, Vol. 187, S. 66-69
YAMAGUCHI,K., u.a.: Atomic-layer chemical-vapor- deposition of silicon dioxide films with an extremely low hydrogen content. In: Appl. Surf. Sci., 1998, Vol. 130-132, S. 202-207
YOKOYAMA,S., GOTO,H., u.a.: Atomic layer controll- ed deposition of silicon nitride and in situ growth observation by infrared reflection spectro- scopy. In: Appl. surf. Sci., 1997, Vol. 112, S. 75-81
YOKOYAMA,S., GOTO,H., u.a.: Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection spectroscopy. In: Appl. surf. Sci., 1997, Vol. 112, S. 75-81 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210343520A1 (en) * 2015-03-20 2021-11-04 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11646198B2 (en) * 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control

Also Published As

Publication number Publication date
CN1392288A (zh) 2003-01-22
CN1244716C (zh) 2006-03-08
KR20020096798A (ko) 2002-12-31
US6391803B1 (en) 2002-05-21
JP3476801B2 (ja) 2003-12-10
TW546402B (en) 2003-08-11
JP2003007700A (ja) 2003-01-10
DE10137088A1 (de) 2003-01-09
KR100434186B1 (ko) 2004-06-04

Similar Documents

Publication Publication Date Title
DE10137088B4 (de) Verfahren zum Ausbilden von siliziumhaltigen Dünnschichten mittels Atomschichtabscheidung (Atomic Layer Deposition) unter Verwendung von Aminosilanen
DE10123858B4 (de) Atomschicht-Abscheidungsverfahren zur Bildung einer Siliciumnitrid-haltigen Dünnschicht
DE60315850T2 (de) Verfahren zur herstellung von siliziumnitridfilmen und siliziumoxinitridfilmen durch thermische chemische aufdampfung
DE60027401T2 (de) Radikal-unterstützte sequentielle gasphasenabscheidung
DE10132882B4 (de) Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung
DE10049257B4 (de) Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
DE60038250T2 (de) Apparat und verfahren für die minimierung parasitischer cvd während der atomschicht-beschichtung
DE60112354T2 (de) Cvd-synthese von siliziumnitridmaterialien
DE4229568C2 (de) Verfahren zum Niederschlagen dünner Titannitridschichten mit niedrigem und stabilem spezifischen Volumenwiderstand
DE19820147B4 (de) Verfahren zur Bildung einer leitfähigen Schicht mittels eines atomaren Schichtdepositionsprozesses
EP1733073B1 (de) Verfahren zum abscheiden von insbesondere metalloxiden mittels nicht kontinuierlicher precursorinjektion
DE102004020157A1 (de) Kondensator mit legierter dielektrischer Hafnium-Oxid und Aluminium-Oxid-Schicht und Verfahren zur Herstellung desselben
DE102005062965A1 (de) Kondensator mit dielektrischer Nanokomposit-Schicht und Verfahren zur Herstellung desselben
EP2695182B1 (de) Verfahren zum permanenten bonden von wafern
DE10064067B4 (de) Verfahren zur Herstellung eines Kondensators einer Halbleitereinrichtung
DE10335099B4 (de) Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
DE10238776A1 (de) Flüssiges Material für die Gasphasenabscheidung nach chemischem Verfahren, Verfahren zum Ausbilden eines Films durch Gasphasenabscheidung nach chemischem Verfahren und Vorrichtung für die Gasphasenabscheidung nach chemischem Verfahren
DE102004016162A1 (de) Verfahren zum Bilden eines Metalloxidfilmes
DE10228798A1 (de) Bilden ferroelektrischer Pb(Zr,Ti)O3-Filme
EP2695181B1 (de) Verfahren zum permanenten bonden von wafern
WO2009071076A1 (de) Substrat mit einer kupfer enthaltenden beschichtung und verfahren zu deren herstellung mittels atomic layer deposition
DE60022067T2 (de) Verfahren zur chemischen Dampfablagerung von Wolfram auf einem Halbleitersubstrat
DE112006000596T5 (de) Filmbildungssystem und -verfahren
DE10064068B4 (de) Verfahren zur Herstellung von Kondensatoren von Halbleitereinrichtungen
DE10248980A1 (de) Verfahren zur Erzeugung vertikaler strukturierter Schichten aus Siliziumdioxid

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R071 Expiry of right