DE102004050391A1 - Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung - Google Patents

Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung Download PDF

Info

Publication number
DE102004050391A1
DE102004050391A1 DE102004050391A DE102004050391A DE102004050391A1 DE 102004050391 A1 DE102004050391 A1 DE 102004050391A1 DE 102004050391 A DE102004050391 A DE 102004050391A DE 102004050391 A DE102004050391 A DE 102004050391A DE 102004050391 A1 DE102004050391 A1 DE 102004050391A1
Authority
DE
Germany
Prior art keywords
layer
conductive structures
electrically conductive
substrate
trenches
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102004050391A
Other languages
English (en)
Other versions
DE102004050391B4 (de
Inventor
Günther Dr. Schindler
Werner Dr. Pamler
Zvonimir Gabric
Eugen Dr. Unger
Martin Dr. Traving
Gernot Dr. Steinlesberger
Andreas Stich
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to DE102004050391A priority Critical patent/DE102004050391B4/de
Priority to PCT/DE2005/001754 priority patent/WO2006042498A1/de
Publication of DE102004050391A1 publication Critical patent/DE102004050391A1/de
Application granted granted Critical
Publication of DE102004050391B4 publication Critical patent/DE102004050391B4/de
Priority to US11/786,770 priority patent/US7807563B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

In einem Substrat werden leitfähige Strukturen eingebettet und eine erste Schicht wird auf einem Teil von Seitenwänden von jeder der leitfähigen Strukturen gebildet, wobei ein oberer Teilbereich der leitfähigen Strukturen von einer Bedeckung mit der ersten Schicht frei bleibt. Material des Substrats wird zumindest zwischen benachbarten leitfähigen Strukturen entfernt, so dass Airgaps zwischen benachbarten leitfähigen Strukturen gebildet werden, und eine isolierende zweite Schicht wird selektiv auf dem freien Teilbereich der leitfähigen Strukturen derart gebildet, dass die isolierende zweite Schicht benachbarte leitfähige Strukturen überbrückt.

Description

  • Die Erfindung betrifft ein Verfahren zum Herstellen einer Schicht-Anordnung und eine Schicht-Anordnung.
  • Integrierte Schaltungs-Anordnungen werden mit immer höherer Packungsdichte erzeugt. Dies hat zur Folge, dass Leiterbahnen in Metallisierungsebenen einen immer kleineren Abstand voneinander aufweisen. Dadurch steigen Kapazitäten, die zwischen den Leiterbahnen gebildet werden, und zu hohen Signallaufzeiten, einer hohen Verlustleistung und unerwünschtem Übersprechen führen, das heißt zu einer Wechselwirkung zwischen auf benachbarten Leiterbahnen angelegten Signalen.
  • Häufig wird als Isolationsmaterial zwischen den Leiterbahnen Siliziumoxid als Dielektrikum verwendet, dessen relative Dielektrizitätskonstante εr = 3.9 beträgt.
  • Zum Reduzieren der relativen Dielektrizitätskonstante εr, was zu einer Verringerung des Werts der Koppelkapazitäten zwischen in ein Isolationsmaterial eingebetteten Leiterbahnen führt, werden sogenannte Low-k-Materialien verwendet, das heißt Materialien mit einem geringen Wert εr als Material für Intermetalldielektrika.
  • Aus dem Stand der Technik ist auch bekannt, Hohlräume zwischen Leiterbahnen innerhalb einer Leiterbahnebene zu erzeugen, um den Wert der relativen Dielektrizitätskonstante und somit den Wert der Koppelkapazität zu verringern. Das isolierende Dielektrikum, das die Kapazität zwischen den Leiterbahnen bestimmt, weist im Bereich von Hohlräumen eine relative Dielektrizitätskonstante εr auf, die annähernd gleich eins ist. Die Leiterbahnen selbst sind zum Entkoppeln von der Umgebung von einer Materialschicht aus Siliziumoxid oder einem Low-k-Material umgeben.
  • Die hohen Koppelkapazitäten C zwischen benachbarten Leiterbahnen, die bei zunehmend miniaturisierten Schaltkreisen immer größer werden, führen gemeinsam mit dem Widerstand R einer Leiterbahn zu einer RC-Schaltverzögerung von auf den Leiterbahnen transportierten Signalen. Diese RC-Schaltverzögerung kann unter Verwendung von Luftlöchern (Airgaps) als Alternative zu Low-k-Materialien verringert werden, da bei Verwendung von Luftlöchern zwischen Leiterbahnen die effektive Dielektrizitätskonstante εr als Isolationsmaterial zwischen Metallisierungsbahnen erheblich verringert wird. Eine Realisierungsmöglichkeit für Airgaps ist beispielsweise in [1] offenbart.
  • Somit können zur Verringerung der parasitären Kapazität zwischen Metallbahnen Airgaps eingesetzt werden. Bei der Herstellung von Airgaps treten jedoch eine Reihe von Problemen auf. Airgaps können mittels einer nichtkonformalen Abscheidung eines Dielektrikums auf die Metallbahnen hergestellt werden, wobei Zwischenräume zwischen benachbarten Leiterbahnen zum Teil von Material frei bleiben. Die Airgaps werden dadurch jedoch sehr lang gezogen. Dadurch besteht die Gefahr eines Konflikts mit einer darüber folgenden Metallisierungsebene, zum Beispiel bei einem Öffnen der Airgaps in einem CMP-Verfahrensschritt ("chemical mechanical polishing"), siehe [1].
  • Ferner besteht ein Problem mit Airgap-Strukturen gemäß dem Stand der Technik darin, dass beim Bilden einer dielektrischen Deckschicht zum Überdecken von Leiterbahnen dielektrisches Material unerwünschterweise in Bereiche zwischen benachbarten Leiterbahnen gelangen kann, das heißt Airgaps auffüllen kann, was dem Bilden eines Intermetalldielektrikums mit einem geringen k-Wert zuwiderläuft.
  • Aus [2] ist eine Hohlraumstruktur bekannt, bei der Leiterbahnen als lateral begrenzte Schichtenfolgen auf der Oberfläche eines Substrat gebildet werden und mit einer Deckschicht überdeckt werden, so dass zwischen benachbarten Leiterbahnen Hohlräume gebildet werden.
  • Der Erfindung liegt das Problem zugrunde, eine alternative Schicht-Anordnung mit Airgaps zwischen benachbarten Leiterbahnen bereitzustellen, bei der ein unerwünschtes Auffüllen von Airgaps mit dielektrischem Material beim Aufbringen einer die Leiterbahnen bedeckenden Deckschicht sicher vermieden ist.
  • Dieses Problem wird durch ein Verfahren zum Herstellen einer Schicht-Anordnung und durch eine Schicht-Anordnung mit den Merkmalen gemäß den unabhängigen Patentansprüchen gelöst.
  • Bei dem erfindungsgemäßen Verfahren zum Herstellen einer Schicht-Anordnung wird in einem Substrat eine Mehrzahl von elektrisch leitfähigen Strukturen eingebettet, wird eine erste Schicht auf zumindest einem Teil von Seitenwänden von jeder der elektrisch leitfähigen Strukturen gebildet, wobei ein oberer Teilbereich der elektrisch leitfähigen Strukturen von einer Bedeckung mit der ersten Schicht frei bleibt, wird Material des Substrats zumindest zwischen benachbarten elektrisch leitfähigen Strukturen entfernt, so dass Airgaps zwischen benachbarten elektrisch leitfähigen Strukturen gebildet werden, und wird eine elektrisch isolierende zweite Schicht selektiv auf dem von der ersten Schicht freien Teilbereich der elektrisch leitfähigen Strukturen derart gebildet, dass die elektrisch isolierende zweite Schicht benachbarte elektrisch leitfähige Strukturen überbrückt.
  • Ferner ist erfindungsgemäß eine Schicht-Anordnung geschaffen, mit einem Substrat, einer Mehrzahl von elektrisch leitfähigen Strukturen, die in dem Substrat eingebettet sind, und mit einer ersten Schicht auf zumindest einem Teil von Seitenwänden von jeder der elektrisch leitfähigen Strukturen, wobei ein oberer Teilbereich der elektrisch leitfähigen Strukturen von einer Bedeckung mit der ersten Schicht frei ist.
  • Eine Grundidee der Erfindung ist darin zu sehen, nur einen Substrat-nahen Bereich (d.h. zumindest einem Teil von Seitenwänden), nicht hingegen einen Substrat-fernen Bereich (d.h. einen oberen Teilbereich), von elektrisch leitfähigen Strukturen (zum Beispiel Leiterbahnen eines integrierten Schaltkreises) mit einer dielektrischen Schutzschicht (erste Schicht) zu versehen, auf welcher nachfolgend ein Abscheiden einer Deckschicht (elektrisch isolierende zweite Schicht) nicht möglich ist. Ein solches Abscheiden der Deckschicht ist nur auf einem von dem Material der dielektrischen Schutzschicht freien Oberflächenbereich der elektrisch leitfähigen Strukturen (bzw. auf einer darauf gebildeten dielektrischen Zwischenschicht) möglich. Mittels Festlegens des Bereichs der (vorzugsweise lateral begrenzten) elektrisch leitfähigen Strukturen, auf welchem die Schutzschicht gebildet wird, das heißt mittels Festlegen bis zu welcher Höhe an vertikalen Seitenwänden der elektrisch leitfähigen Strukturen sich die Schutzschicht erstreckt, kann vorgegeben werden, in welchen Bereichen der Oberfläche der resultierenden Schichtenfolge nachfolgend die Deckschicht abgeschieden werden kann und auf welchen nicht.
  • Die elektrisch leitfähigen Strukturen werden in eine Matrix aus Substrat-Material eingebettet, dass heißt darin und nicht nur darauf gebildet.
  • Dies kann zum Beispiel mittels Bildens von Leiterbahnen (insbesondere Kupfer-Leiterbahnen) mittels eines Damascene-Verfahrens erfolgen. An Seitenwänden von hierfür gebildeten Gräben kann dann die erste Schicht gebildet werden, bevor darauf Material zum Bilden der elektrisch leitfähigen Strukturen abgeschieden wird.
  • Es ist auch möglich, zunächst auf einem Trägermaterial gebildete lateral begrenzte elektrisch leitfähige Strukturen zu bilden, diese vollständig mit einer Hilfsschicht zu überziehen, dann die überzogenen elektrisch leitfähigen Strukturen in eine Hilfsmatrix einzubetten, aus der Hilfsmatrix herausragende Bereiche der Hilfsschicht zu entfernen, und nachfolgend die Hilfsmatrix zu entfernen, wodurch die elektrisch leitfähigen Strukturen nur in einem unteren Bereich mit der die erste Schicht bildenden verbliebenen Bereichen der Hilfsschicht bedeckt bleiben.
  • Erfindungsgemäß wird Material der Deckschicht nur auf solchen Oberflächenbereichen der Schichtenfolge selektiv abgeschieden, die von der Schutzschicht (erste Schicht) frei sind. Wird der von der Schutzschicht freie, Substrat-ferne Oberflächenbereich der Schichtenfolge ausreichend weit nach oben verlagert, so bleibt nach dem Bilden der Deckschicht ein Bereich zwischen benachbarten und mit der dünnen Schutzschicht bedeckten Leiterbahnen von dielektrischem Material weitgehend frei, womit Airgaps gebildet werden. Dadurch wird eine Leiterbahn-Anordnung mit einem geringen Wert der Dielektrizitätskonstante erhalten, bei der aufgrund des Vorsehens der Airgaps parasitäre Kapazitäten gering sind und aufgrund der Deckschicht eine gute Abschirmung und ein sicherer Schutz vor mechanischer Beschädigung der empfindlichen Leiterbahn-Airgap-Strukturen erreicht ist. Simultan ist aufgrund der Selektivität des Abscheideverfahrens zum Bilden der elektrisch isolierenden zweiten Schicht ein unerwünschtes Hineinragen der Deckschicht in Airgap-Bereiche vermieden.
  • Anders ausgedrückt besteht ein wichtiger Aspekt der Erfindung darin, eine erste (elektrisch isolierende oder elektrisch leitfähige) Schicht als Schutzschicht auf Seitenwänden der elektrisch leitfähigen Strukturen aufzubringen, wobei auf Material der ersten Schicht Material einer elektrisch isolierenden zweiten Schicht nur sehr schlecht oder gar nicht abscheidbar ist.
  • Somit kann ein wichtiger Aspekt der Erfindung in dem Bedecken lateral strukturierter Leiterbahnen mit einer dielektrischen Schutzschicht auf einem Substrat-nahen Teilbereich der Schichtenfolge gesehen werden, wobei ein Substrat-ferner Teilbereich der Schichtenfolge von einer Bedeckung mit der Schutzschicht frei bleibt, gefolgt von einem nachfolgenden Verschließen von Airgaps zwischen benachbarten Leiterbahnen mittels selektiven Abscheidens einer Deckschicht auf von der ersten Schicht freien Substrat-fernen Teilbereichen der Schichtenfolge. Auf diese Weise lassen sich selbstjustierte Airgaps herstellen.
  • Ein selbstjustiertes Schließen von Airgaps wird ermöglicht, indem eine selektive Abscheidung durchgeführt wird. Als Material für die erste Schicht kann hierfür zum Beispiel ein mittels eines plasmaangeregten chemischen Gasphasenabscheide-Verfahrens gebildetes Silizium-Sauerstoff-Stickstoff-haltiges Material, Siliziumnitrid oder Titannitrid verwendet werden, und als Deckschicht kann basierend auf Ozon-aktiviert zersetztem Tetraethylorthosilikat (TEOS) gebildetes Siliziumoxid verwendet werden. Bei dieser exemplarischen Materialkombination erfolgt so gut wie kein Abscheiden von Material der Deckschicht auf dem Material der ersten Schicht.
  • Anschaulich beruht ein bevorzugtes Ausführungsbeispiel der vorliegenden Erfindung auf einer Kombination aus konformaler, nichtkonformaler und selektiver Abscheidung, wobei technologische Probleme infolge hochgezogener Spitzen zum Schließen von Airgaps vermieden sind. Ferner ist die Anzahl der erforderlichen Lithographieschritte erfindungsgemäß gering. Aufgrund der Kombination von nichtkonformaler und selektiver Abscheidung werden mit dem rückgeätzten Photoresist Bereiche definiert, auf denen eine selektive Abscheidung erfolgen soll.
  • Bevorzugte Weiterbildungen der Erfindung ergeben sich aus den abhängigen Ansprüchen.
  • Im Weiteren werden Ausgestaltungen des erfindungsgemäßen Verfahrens zum Herstellen einer Schicht-Anordnung beschrieben. Diese Ausgestaltungen gelten auch für die Schicht-Anordnung und umgekehrt.
  • Vor dem Bilden der ersten Schicht kann eine dritte Schicht auf der Mehrzahl von elektrisch leitfähigen Strukturen gebildet werden, wobei die elektrisch isolierende zweite Schicht dann selektiv auf dem von der ersten Schicht freien Oberflächenbereich der dritten Schicht (und nicht auf der mit der dritten Schicht bedeckten elektrisch leitfähigen Strukturen direkt) gebildet wird. Gemäß dieser Ausgestaltung wird somit zwischen den elektrisch leitfähigen Strukturen und der ersten Schicht eine dielektrische oder elektrisch leitfähige Zwischenschicht gebildet, wobei gemäß dieser Ausgestaltung das selektive Aufwachsen der Deckschicht auf dem Material der dritten Schicht erfolgt.
  • Die Mehrzahl von elektrisch leitfähigen Strukturen kann gebildet werden, indem in dem Substrat Gräben gebildet werden und in die Gräben elektrisch leitfähiges Material eingebracht wird. Gemäß diesen sogenannten Damascene-Verfahren können Leiterbahnen aus Kupfermaterial gebildet werden.
  • Material des Substrats zwischen den elektrisch leitfähigen Strukturen kann derart entfernt werden, dass die Airgaps sich bis unterhalb der elektrisch leitfähigen Strukturen in dem Substrat hineinerstrecken. Anschaulich reichen gemäß dieser Ausgestaltung die Airgaps tiefer als die Leiterbahnen bis in das Substrat hinein. Daher ist mit dieser Ausgestaltung eine Struktur mit einem besonders geringen Wert der relativen Dielektrizitätskonstante εr erreicht.
  • Die dritte Schicht kann mittels eines nichtkonformalen (nicht konformen) Abscheideverfahrens gebildet werden, das heißt unter Verwendung eines Abscheideverfahrens, bei dem nicht notwendigerweise die Dicke und/oder die Qualität der dritten Schicht über die gesamte Schichtenfolge hinweg konstant ist. Ein konformales Abscheideverfahren ist zum Bilden der dritten Schicht nicht zwingend notwendig.
  • Die erste Schicht wird dagegen vorzugsweise mittels eines konformalen Abscheideverfahrens gebildet, so dass die erste Schicht dann über die gesamte Schichtenfolge eine konstante Dicke aufweist. Dadurch ist vermieden, dass auf die elektrisch leitfähigen Strukturen aufgebrachtes (zum Beispiel dielektrisches) Material mit unkontrollierter Dicke möglicherweise in unerwünschter Weise Airgaps zwischen benachbarten Leiterbahnen schließt bzw. auffüllt.
  • Vorzugsweise wird die erste Schicht gebildet, indem Material auf allen elektrisch leitfähigen Strukturen oder – falls diese optionale Schicht vorgesehen ist – auf der gesamten dritten Schicht gebildet wird, Opfermaterial auf einem Teilbereich des Materials gebildet wird, nachfolgend von dem Opfermaterial unbedecktes Material entfernt wird, und dann das Opfermaterial entfernt wird.
  • Diese Ausgestaltung ist vorteilhaft, um die erste Schicht nur in einem dem Substrat zugewandten Oberflächenbereich der Schichtenfolge zu bilden. Hierfür wird zunächst ganzflächig eine Schicht aus dielektrischem oder elektrisch leitfähigem Material auf der Oberfläche der Schichtenfolge abgeschieden und dann Gräben zwischen benachbarten Schichtenfolgen, bedeckt mit dem zuvor abgeschiedenen Material, zum Beispiel mit Photoresist als Opfermaterial bis zu einer vorgegeben Höhe gefüllt. Die Höhe der Abscheidung der Opferschicht bestimmt dann, welcher Teilbereich zwischen den benachbarten Leiterbahnen später von der ersten Schicht bedeckt ist und somit von einer Bedeckung mit Material der Deckschicht frei bleiben wird. Der Photoresist dient dann als Maske zum Entfernen von freiliegenden, Substrat-fernen Bereichen des zuvor abgeschiedenen Materials, wobei mit Photoresist-Material bedeckte Bereiche des zuvor abgeschiedenen Materials durch einen solchen Ätzprozess nicht entfernt werden. Nachdem freiliegende Bereiche des zuvor abgeschiedenen Materials entfernt sind, wird das Opfermaterial entfernt, so dass zuvor mit Opfermaterial bedeckte Teilbereiche des zuvor abgeschiedenen Materials freigelegt werden, womit die erste Schicht zurückbleibt. Wird dann eine elektrisch isolierende zweite Schicht als Deckschicht abgeschieden, so bleiben aufgrund der Selektivität des Abscheideverfahrens diejenigen Oberflächenbereiche der Schichtenfolge von Material der elektrisch isolierenden zweiten Schicht frei, welche mit Material der ersten Schicht bedeckt sind.
  • Die erste Schicht kann mittels eines plasmaangeregten chemischen Gasphasenabscheide-Verfahrens zum Bilden eines Silizium-Sauerstoff-Stickstoff-haltigen Materials gebildet werden, wobei während des Zuführens von Silizium-Material und Sauerstoff-Material Stickstoff-Material unter Verwendung eines organischen Silizium-Precursor-Materials zugeführt wird.
  • Gemäß dieser Ausgestaltung der Erfindung hinsichtlich des Materials der ersten (gemäß dieser Ausgestaltung elektrisch isolierenden) Schicht ist ein Herstellungsverfahren für ein Silizium-Sauerstoff-Stickstoff-haltiges Material im Rahmen der erfindungsgemäßen Schicht-Anordnung geschaffen, mit welchem Verfahren ein Material erhalten wird, das überwiegend Silizium-Sauerstoff-Komponenten enthält und somit eine relative Dielektrizitätskonstante hat, die ähnlich gering wie jene von Siliziumoxid ist (εr = 3.9). Das gemäß diesem plasmaangeregten chemischen Gasphasenabscheide-Verfahren hergestellte Silizium-Sauerstoff-Stickstoff-haltige Material enthält zusätzlich eher geringe Mengen von Stickstoff (vorzugsweise im einstelligen Prozentbereich). Die Stickstoffkomponente des mittels des erfindungsgemäßen Verfahrens hergestellten Silizium-Sauerstoff-Stickstoffhaltigen Materials bewirkt anschaulich Siliziumnitridähnliche Eigenschaften hinsichtlich der Abscheidbarkeit von Ozon/TEOS (bevorzugtes Material für die elektrisch isolierende zweite Schicht) darauf. Anders ausgedrückt ist das Material der ersten Schicht gemäß dieser Ausgestaltung sehr schlecht dazu geeignet, dass Ozon/TEOS (bevorzugtes Material der elektrisch isolierenden zweiten Schicht) darauf abgeschieden werden kann. Die Kombination aus einem geringen k-Wert und einer geringen Neigung, als Träger zum Abscheiden von Ozon/TEOS zu dienen, bewirkt eine hervorragende Eignung des Materials als Intermetalldielektrikum und somit als erste Schicht eines integrierten Schaltkreises mit Airgap-Strukturen.
  • Anders ausgedrückt weist dieses Material im Wesentlichen die günstigen dielektrischen Eigenschaften von Siliziumoxid auf (das heißt einen geringen Wert der relativen Dielektrizitätskonstante und somit eine geringe RC-Schaltverzögerung), simultan hat die Materialzusammensetzung (wahrscheinlich insbesondere die Stickstoff-Komponente darin) die Wirkung, dass das Material, das auf der Basis eines organischen Precursors gebildet ist, hinsichtlich des selektiven Abscheidens von Ozon/TEOS Materialeigenschaften aufweist, die eher Siliziumnitrid ähneln.
  • Dieses Material der ersten Schicht erlaubt es, statt Siliziumnitrid als Material zwischen Airgaps ein Material auf Basis von Siliziumoxid zu verwenden, ohne die Vorteile der selektiven Abscheidung zu verlieren.
  • Dieses Material wird hergestellt, indem abgesehen von einem organischen Silizium-Precursormaterial als Quelle für die Silizium-Komponente und die Sauerstoff-Komponente des erfindungsgemäßen Materials zusätzlich Stickstoff-Material in die PECVD-Verfahrenskammer ("plasma enhanced chemical vapour deposition") eingebracht wird, wodurch das Material erhalten wird. Bei Verwendung dieses Verfahrens werden anschaulich geringe Mengen Stickstoff in eine im Wesentlichen auf Siliziumoxid-Material basierende Matrix eingebaut.
  • Siliziumoxid wird häufig durch einen plasmaaktivierten TEOS Prozess abgeschieden (sogenanntes "PE-TEOS"). Basierend auf der Beobachtung, dass auf Siliziumnitrid keine oder nur eine sehr geringe Ozon/TEOS Abscheidung erfolgt, wird nun dem PE-TEOS Prozess Stickstoff zugesetzt. Durch den Einbau geringer Stickstoffmengen, typischerweise im Prozentbereich, kann die Selektivität der Ozon/TEOS Abscheidung stark erhöht werden, so dass ähnlich wie bei Siliziumnitrid keine oder nur eine äußerst geringe Abscheidung von Ozon/TEOS auf der Schicht erfolgt. Simultan bleiben die Eigenschaften von Siliziumoxid weitgehend erhalten. Die effektive Dielektrizitätskonstante einer Airgap-Struktur mit dem modifizierten PE-TEOS sinkt, je nach Geometrie, im Vergleich zu den Varianten mit Siliziumnitrid von Werten im Bereich von drei auf Werte um zwei.
  • Die gemäß der beschriebenen Ausgestaltung hergestellten Siliziumoxid-haltigen Schichten mit eingebautem Stickstoff-Material weisen typischerweise einen Brechungsindex von n = 1.5 auf, wohingegen mittels thermischen Oxidierens hergestelltes Siliziumoxid einen Brechungsindex von 1.45 hat. Der Stickstoff-Gehalt des erfindungsgemäßen Materials liegt typischerweise im Atomprozentbereich. Eine Abscheidung von Ozon/TEOS findet auf dieser Art von mit geringen Mengen Stickstoff versehenem Siliziumoxid nicht oder nur sehr schlecht statt.
  • Zum Erreichen der vorteilhaften Materialeigenschaften dieses Materials kann ein organisches (das heißt auf Kohlenstoff- Verbindungen basierendes) Silizium-Precursormaterial, vorzugsweise Tetraethylorthosilikat (TEOS), verwendet werden. Mit dem anorganischen Material Silan (SiH4) zum Beispiel kann das Material mit den vorteilhaften Eigenschaften nicht erhalten werden.
  • Das zum Bilden des für die erste Schicht bevorzugten Materials verwendete plasmaangeregte chemische Gasphasenabscheide-Verfahren (PECVD) ist eine spezielle Technik innerhalb des allgemeineren CVD-Verfahrens ("chemical vapour deposition").
  • Das CVD-Verfahren ist eine Beschichtungstechnologie zum Abscheiden dünner Schichten aus der Gasphase auf einem festen Substrat. Das Prinzip des CVD Verfahrens besteht darin, dass gasförmige Ausgangsmaterialien, sogenannte Precursoren (Vorläufer), über ein Substrat geleitet und chemisch in deren Bestandteile zerlegt werden, wodurch auf der Substratoberfläche eine neue Schicht aufwächst. Das Zerlegen der Precursoren erfolgt zumeist thermisch, das heißt mittels Heizens des Substrats. Das eigentliche Abscheiden erfolgt unter Beteiligung einer chemischen Reaktion. Beispielsweise reagiert eine flüchtige gasförmige Komponente mit einem anderem Gas zu einem festen Material, das auf dem Substrat abgeschieden wird. Allerdings sind bei dem CVD Verfahren die Prozesstemperaturen relativ hoch.
  • Mit wesentlich geringeren Prozesstemperaturen ist das plasmaangeregte chemische Gasphasenabscheide-Verfahren (PECVD, "plasma enhanced chemical vapour deposition") durchführbar. Während bei einem CVD-Prozess die Gasphasenreaktion durch thermische Energie infolge Heizens des Substrats ausgelöst wird, beruht das PECVD-Verfahren auf der Überführung eines Gases in den Plasmazustand in der Nähe der Substratoberfläche. Eines der Reaktionsprodukte ist dabei ein fester Stoff, der sich auf der Oberfläche niederschlägt, wodurch eine neue Schicht aus dem erfindungsgemäßen Material gebildet wird. In einem PECVD-Reaktor wird zwischen dem Substrathalter, der als Elektrode dient, und einer weiteren Elektrode durch ein starkes elektrisches Wechselfeld ein Plasma gezündet. Durch die Energie des Feldes werden Bindungen der in den PECVD-Reaktor eingeleiteten Gasmoleküle aufgebrochen und die Gasmoleküle zersetzt.
  • Als organisches Silizium-Precursormaterial wird vorzugsweise ein Sauerstoff-haltiges Material verwendet. Dieses Sauerstoff-haltige Material kann als Sauerstoffquelle zum Bilden des erfindungsgemäßen Silizium-Sauerstoff-Stickstoffhaltigen Materials dienen.
  • Besonders günstig ist es, als organisches Silizium-Precursormaterial Tetraethylorthosilikat (TEOS), das heißt (C2H5O)4Si, zu verwenden. Dieses Material stellt in besonders günstiger Weise eine Silizium-Quelle und eine Sauerstoff-Quelle für das erfindungsgemäß gebildete Silizium-Sauerstoff-Stickstoff-haltige Material dar, in welches aufgrund der chemischen Prozesse beim Zersetzen des TEOS-Materials simultan eingeleitetes Stickstoff-Material eingebaut wird.
  • Alternativ können im Rahmen der Erfindung zum Beispiel die folgenden Materialien als organisches Silizium-Precursormaterial verwendet werden: Methyltriethoxysilan (MTrEOS), Dimethyldiethoxysilan (DMDEOS), Trimethylethoxysilan (TrMEOS) und/oder Tetramethylsilan (TMS).
  • Bei der erfindungsgemäßen Schicht-Anordnung kann die Zwischenschicht, das heißt die dritte (gemäß dieser Ausgestaltung elektrisch isolierende) Schicht, aus Silanbasiertem (SiH4) Siliziumoxid gebildet sein. Wird dann eine Deckschicht, das heißt die zweite elektrisch isolierende Schicht, aus Siliziumoxid selektiv abgeschieden, das basierend auf Ozon-aktiviert zersetztem Tetraethylorthosilikat gebildet ist, so wird eine Schicht- Anordnung erhalten, die nach außen hin sicher abgeschlossen ist, da das Material der Deckschicht anschaulich einen Graben der Schicht-Anordnung überwachsen kann. Simultan ist aufgrund der Materialkombination vermieden, dass beim Aufwachsen einer solchen Deckschicht der Graben teilweise mit Deckschicht-Material gefüllt wird, was aus der schlechten Abscheidbarkeit des Materials der Deckschicht auf dem erfindungsgemäßen Material innerhalb des Grabens resultiert.
  • Es ist anzumerken, dass die genauen Prozessparameter zum Herstellen des erfindungsgemäßen Materials von der Prozesskammer, etc. abhängen.
  • Somit kann bei dem Verfahren die elektrisch isolierende zweite Schicht aus Siliziumoxid gebildet werden, das basierend auf Ozon-aktiviert zersetztem Tetraethylorthosilikat gebildet wird. Ein solches Material ist insbesondere in Kombination mit den zuvor beschriebenen bevorzugten Materialien für die erste Schicht und für die dritte Schicht vorteilhaft kombinierbar, da es zu diesen Materialien hinsichtlich des Abscheideverfahrens erfindungsgemäß gewünschte selektive Abscheideigenschaften aufweist.
  • Die Mehrzahl von elektrisch leitfähigen Strukturen kann gebildet werden, indem in dem Substrat Gräben gebildet werden, zumindest auf den Seitenwänden der Gräben die erste Schicht gebildet wird, und die mit der ersten Schicht auf den Seitenwänden versehenen Gräben mit elektrisch leitfähigem Material gefüllt werden. Gemäß dieser Ausgestaltung wird somit zunächst die erste Schicht in Gräben gebildet, bevor auf der Schicht an Seitenwänden des Grabens das elektrisch leitfähige Material abgeschieden wird.
  • Vor dem Füllen mit elektrisch leitfähigem Material kann die Innenfläche der mit der ersten Schicht auf den Seitenwänden versehenen Gräben mit einer vierten Schicht bedeckt werden.
  • Eine solche vierte Schicht kann als Barrierenschicht zwischen dem Material der elektrisch leitfähigen Strukturen und dem Material der ersten Schicht vorgesehen werden, zum Beispiel aus Ta oder Ta/TaN.
  • Auf dem elektrisch leitfähigen Material kann eine fünfte Schicht gebildet wird, auf der die elektrisch isolierende zweite Schicht selektiv gebildet wird. Eine solche fünfte Schicht kann insbesondere nicht auf (vertikalen) Seitenwänden, sondern nur auf einer oberen (horizontalen) Deckfläche gebildet sein.
  • Die fünfte Schicht kann aus Tantal, Tantal-Nitrid oder Titan gebildet sein. Diese Materialien haben die für die Erfindung vorteilhafte Eigenschaft, dass darauf insbesondere basierend auf Ozon-aktiviert zersetztem Tetraethylorthosilikat gebildetes Siliziumoxid gut abscheidbar ist, welches vorzugsweise als Material für die elektrisch isolierende zweite Schicht verwendet wird.
  • Bei dem Verfahren kann in dem Substrat eine vergrabene Schicht gebildet werden, die bei dem Entfernen von Material des Substrats eine Stoppschicht bildet. Wird eine solche vergrabene Schicht unterhalb der elektrisch leitfähigen Strukturen in dem Substrat gebildet, so kann beim späteren Entfernen von Bereichen zwischen benachbarten elektrisch leitfähigen Strukturen Material zum Beispiel mittels Ätzens solange entfernt werden, bis die Ätzfront die Stoppschicht erreicht. Wird das Ätzverfahren selektiv gewählt, so dass Material zwischen benachbarten elektrisch leitfähigen Strukturen geätzt wird, nicht hingegen Material der Stoppschicht, so kann die Stoppschicht als Ätzstoppschicht dienen und somit eine strukturelle Grenze für die Tiefe der gebildeten Airgaps bilden.
  • Im Weiteren werden Ausgestaltungen der erfindungsgemäßen Schicht-Anordnung beschrieben. Ausgestaltungen der Schicht- Anordnung gelten auch für das Verfahren zum Herstellen einer Schicht-Anordnung und umgekehrt.
  • Die elektrisch leitfähigen Strukturen können Leiterbahnen sein. Anders ausgedrückt kann die Schicht-Anordnung Teil eines monolithischen Schaltkreises sein, der zum Beispiel in einer Siliziummikroelektronik-Technologie gefertigt sein kann.
  • Die Schicht-Anordnung kann eine dritte Schicht zwischen der Mehrzahl von elektrisch leitfähigen Strukturen und der ersten Schicht aufweisen, wobei die elektrisch isolierende zweite Schicht dann selektiv auf dem von der ersten Schicht freien Teilbereich der dritten Schicht gebildet ist.
  • Bei der Schicht-Anordnung kann in dem Substrat eine vergrabene Schicht gebildet sein, die als Stoppschicht für ein Entfernen von Material des Substrats eingerichtet ist. Ist eine solche vergrabene Schicht unterhalb der elektrisch leitfähigen Strukturen in dem Substrat gebildet, so kann bei einem Entfernen von Bereichen zwischen benachbarten elektrisch leitfähigen Strukturen Material zum Beispiel mittels Ätzens solange entfernt werden, bis die Ätzfront die Stoppschicht erreicht. Wird das Ätzverfahren selektiv gewählt, so dass Material zwischen benachbarten elektrisch leitfähigen Strukturen geätzt wird, nicht hingegen Material der Stoppschicht, so kann die Stoppschicht als Ätzstoppschicht dienen und somit eine strukturelle Grenze für die Tiefe der gebildeten Airgaps bilden.
  • Ausführungsbeispiele der Erfindung sind in den Figuren dargestellt und werden im Weiteren näher erläutert.
  • Es zeigen:
  • 1 eine Schichtenfolge zu einem ersten Zeitpunkt während eines Verfahrens zum Herstellen einer Schicht- Anordnung gemäß einem ersten Ausführungsbeispiel der Erfindung,
  • 2 eine Schichtenfolge zu einem zweiten Zeitpunkt während eines Verfahrens zum Herstellen einer Schicht-Anordnung gemäß dem ersten Ausführungsbeispiel der Erfindung,
  • 3 eine Schichtenfolge zu einem dritten Zeitpunkt während eines Verfahrens zum Herstellen einer Schicht-Anordnung gemäß dem ersten Ausführungsbeispiel der Erfindung,
  • 4 eine Schichtenfolge zu einem vierten Zeitpunkt während eines Verfahrens zum Herstellen einer Schicht-Anordnung gemäß dem ersten Ausführungsbeispiel der Erfindung,
  • 5 eine Schichtenfolge zu einem fünften Zeitpunkt während eines Verfahrens zum Herstellen einer Schicht-Anordnung gemäß dem ersten Ausführungsbeispiel der Erfindung,
  • 6 eine Schichtenfolge zu einem sechsten Zeitpunkt während eines Verfahrens zum Herstellen einer Schicht-Anordnung gemäß dem ersten Ausführungsbeispiel der Erfindung,
  • 7 eine Schicht-Anordnung, hergestellt mit dem Verfahren gemäß dem ersten Ausführungsbeispiel der Erfindung,
  • 8 eine Schichtenfolge zu einem ersten Zeitpunkt während eines Verfahrens zum Herstellen einer Schicht-Anordnung gemäß einem zweiten Ausführungsbeispiel der Erfindung,
  • 9 eine Schichtenfolge zu einem zweiten Zeitpunkt während eines Verfahrens zum Herstellen einer Schicht-Anordnung gemäß dem zweiten Ausführungsbeispiel der Erfindung,
  • 10 eine Schichtenfolge zu einem dritten Zeitpunkt während eines Verfahrens zum Herstellen einer Schicht-Anordnung gemäß dem zweiten Ausführungsbeispiel der Erfindung,
  • 11 eine Schichtenfolge zu einem vierten Zeitpunkt während eines Verfahrens zum Herstellen einer Schicht-Anordnung gemäß dem zweiten Ausführungsbeispiel der Erfindung,
  • 12 eine Schichtenfolge zu einem fünften Zeitpunkt während eines Verfahrens zum Herstellen einer Schicht-Anordnung gemäß dem zweiten Ausführungsbeispiel der Erfindung,
  • 13 eine Schichtenfolge zu einem sechsten Zeitpunkt während eines Verfahrens zum Herstellen einer Schicht-Anordnung gemäß dem zweiten Ausführungsbeispiel der Erfindung,
  • 14 eine Schicht-Anordnung, hergestellt mit dem Verfahren gemäß dem zweiten Ausführungsbeispiel der Erfindung,
  • 15 eine Schichtenfolge zu einem ersten Zeitpunkt während eines Verfahrens zum Herstellen einer Schicht-Anordnung gemäß einem dritten Ausführungsbeispiel der Erfindung,
  • 16 eine Schichtenfolge zu einem zweiten Zeitpunkt während eines Verfahrens zum Herstellen einer Schicht-Anordnung gemäß dem dritten Ausführungsbeispiel der Erfindung,
  • 17 eine Schichtenfolge zu einem dritten Zeitpunkt während eines Verfahrens zum Herstellen einer Schicht-Anordnung gemäß dem dritten Ausführungsbeispiel der Erfindung,
  • 18 eine Schicht-Anordnung, hergestellt mit dem Verfahren gemäß dem dritten Ausführungsbeispiel der Erfindung.
  • Gleiche oder ähnliche Komponenten in unterschiedlichen Figuren sind mit gleichen Bezugsziffern versehen.
  • Die Darstellungen in den Figuren sind schematisch und nicht maßstäblich.
  • Im Weiteren wird bezugnehmend auf 1 bis 7 ein Verfahren zum Herstellen einer Schicht-Anordnung gemäß einem ersten Ausführungsbeispiel der Erfindung beschrieben.
  • Um die in 1 gezeigte Schichtenfolge 100 zu erhalten, werden auf einem dielektrischen Substrat 101 (zum Beispiel aus Siliziumoxid-Material) unter Verwendung eines Lithographieverfahrens und eines Ätzverfahrens Gräben gebildet. Auf der so erhaltenen Schichtenfolge wird Kupfermaterial abgeschieden. Unter Verwendung eines CMP-Verfahrens ("chemical mechanical polishing") wird Material der abgeschiedenen Kupferschicht zurückgeätzt, wodurch in den Gräben Kupfer-Leiterbahnen 102 gebildet werden. Diese Kupfer-Leiterbahnen 102 sind somit nach dem Damascene-Prinzip hergestellt. Zwischen einer jeweiligen Kupfer-Leiterbahn 102 und dem Substrat 101 kann eine in 1 nicht gezeigte Diffusionsbarriere gebildet sein (zum Beispiel aus TaN/Ta). Auf der Oberseite des Kupfer-Materials kann ferner eine Barriereschicht gebildet werden.
  • Um in 2 gezeigte Schichtenfolge 200 zu erhalten, wird unter Verwendung eines Ätzverfahrens Material des dielektrischen Substrats 101 entfernt, so dass zwischen benachbarten Kupfer-Leiterbahnen 102 Gräben 201 gebildet werden. Bei dem Ätzverfahren wird solange geätzt, bis Material des Substrats 101 nicht nur zwischen den Leiterbahnen 102 entfernt ist, sondern dass die Gräben 201 tiefer in das Substrat 101 hineinragen als die Kupfer-Leiterbahnen 102. Anders ausgedrückt wird das dielektrische Material des Substrats 101 unter Verwendung der Metallbahnen 102 als Maske zurückgeätzt. Die Gräben 201 erstrecken sich tief in das Siliziumoxid-Substrat 201 hinein, wodurch eine Architektur mit einem geringen Wert der Dielektrizitätskonstante erhalten wird. Es wird Material des Dielektrikums 101 mit den Metallbahnen 102 als Maske zurückgeätzt, wobei der Ätzstopp vorzugsweise unter der Unterkante der Metallbahnen 102 liegt. Die Kupfer-Leiterbahnen 102 haben eine Breite b von typischerweise 100 nm bis 300 nm und weniger und haben zum Beispiel ein Aspektverhältnis von typischerweise eins bis zwei.
  • Um die in 3 gezeigte Schichtenfolge 300 zu erhalten, wird eine nichtkonformale elektrisch isolierende Schicht 301 aus Silan-basiertem Siliziumoxid auf den Kupfer-Leiterbahnen 102 abgeschieden, womit auf den freistehenden Metallbahnen 102 eine Pufferschicht 301 gebildet wird. Die nichtkonformale elektrisch isolierende Schicht 301 hat eine über die Schichtenfolge hinweg nicht konstante Dicke, die in einem oberen Bereich d typischerweise zwischen 0% und 100% der vertikalen Höhe der Kupfer-Leiterbahnen 102 beträgt. Es ist darauf zu achten, dass die materialfreien Bereiche zwischen benachbarten Leiterbahnen 102 (spätere Airgaps) bei dem Ausbilden der nichtkonformalen elektrisch isolierenden Schicht 301 nicht vollständig geschlossen werden. Das Material der nichtkonformalen elektrisch isolierenden Schicht 301 ist so gewählt, dass es eine gute Unterlage für das spätere Abscheiden von Ozon-basiertem TEOS-Material ist, welches als spätere Deckschicht verwendet wird.
  • Um die in 4 gezeigte Schichtenfolge 400 zu erhalten, wird eine dünne konformale elektrisch isolierende Schicht 401 einer Dicke 1 zwischen typischerweise 5nm und 30nm aus modifiziertem TEOS abgeschieden. Das Material der elektrisch isolierenden Schicht 401 ist so gewählt, das Ozon-basiertes TEOS darauf nicht oder nur sehr schlecht aufwachsen kann. Das Material der konformalen elektrisch isolierenden Schicht 401 wird unter Verwendung eines plasmaangeregten chemischen Gasphasenabscheide-Verfahrens gebildet und stellt ein Silizium-Sauerstoff-Stickstoff-haltiges Material dar, wobei während des PECVD-Verfahrens Silizium-Material und Sauerstoff-Material und Stickstoff-Material unter Verwendung eines organischen Silizium-Precursormaterials, nämlich Tetraethylorthosilikat, zugeführt wird.
  • Alternativ kann als Material für die konformale elektrisch isolierende Schicht 401 Siliziumnitrid verwendet werden, auf welchem sich Ozon-basiertes TEOS vorteilhafterweise ebenfalls nur schlecht abscheiden lässt.
  • Um die in 5 gezeigte Schichtenfolge 500 zu erhalten, wird Photoresistmaterial 501 derart auf der Schichtenfolge 400 abgeschieden, dass die Gräben 201 gefüllt werden. Anders ausgedrückt wird eine Schicht Photoresist 501 aufgebracht, der auch in die Zwischenräume 201 zwischen den späteren Airgaps eindringen soll. Das Photoresist 501 wird zunächst ausreichend dick abgeschieden und nachfolgend zurückgeätzt, bis die Oberseite des Dielektrikums 401 freiliegt, das heißt bis ein Teilbereich der konformalen elektrisch isolierenden Schicht 401 freigelegt ist.
  • Um die in 6 gezeigte Schichtenfolge 600 zu erhalten, wird von Photoresist 501 unbedecktes Material der konformalen elektrisch isolierenden Schicht 401 mittels Ätzens entfernt.
  • Die rückgeätzte Schicht aus Photoresist 501 schützt die Komponente der Schicht 401 innerhalb der späteren Airgaps vor einem Entfernen bei diesem Ätzschritt.
  • Um die in 7 gezeigte Schicht-Anordnung 700 zu erhalten, wird das restliche Photoresist 501 mittels eines Ätzverfahrens entfernt. Nachfolgend wird unter Verwendung eines selektiven Abscheideverfahrens eine elektrisch isolierende Deckschicht 701 aus Ozon-basiertem TEOS gebildet, welche Bereiche zwischen benachbarten Leiterbahnen 102 abschließt und somit Airgaps 702 bildet. Aufgrund der Materialwahl und der vorausgegangenen Prozesse wächst das Ozon-basierte TEOS 701 nur oberhalb der Metallbahn 102 auf der nichtkonformalen elektrisch isolierenden Schicht 301 auf, nicht hingegen auf der konformalen elektrisch isolierenden Schicht 401.
  • Die erfindungsgemäße selektive Abscheidung vermeidet Spitzen der Airgaps 702, die bis in die nächste Metallebene ragen könnten und somit die Funktionalität eines integrierten Schaltkreises stören könnten.
  • Im Weiteren wird bezugnehmend auf 8 bis 12 ein Verfahren zum Herstellen einer Schicht-Anordnung gemäß einem zweiten Ausführungsbeispiel der Erfindung beschrieben.
  • In diesem Zusammenhang ist darauf hinzuweisen, dass die beschriebenen Verfahren gemäß allen Ausführungsbeispielen einen selbstjustierenden Prozess zeigen und somit ohne eine zusätzliche lithographische Ebene beim Bilden von Airgaps auskommen. Dadurch ist der Prozess billiger, und eine extrem schwierige Justierung von Lithographieebenen von Airgaps ist entbehrlich.
  • Somit beruhen die Verfahren auf der selektiven Abscheidung von einem Dielektrikum und einer damit verbundenen Bildung von Airgaps.
  • Ein sehr wichtiger Vorteil ist die besonders starke Verringerung des k-Wertes, da ein Abstandshalter zwischen einer metallischen Struktur als Leiterbahn und einem Airgap besonders dünn vorgesehen werden kann. Ein wichtiger Aspekt dieses Damascene-Verfahrens ist das selbstjustierte Anordnen der Airgaps sowie das selektive Abscheiden auf der Metallbahn zur Bildung der Hohlräume.
  • Um die in 8 gezeigte Schichtenfolge 800 zu erhalten, wird zunächst in einem Substrat 801, beispielsweise aus Siliziumoxid oder einem low-k Material eine vergrabene Ätzstoppschicht 802, vorzugsweise aus Siliziumnitrid (Si3N4), gebildet. Die vergrabene Ätzstoppschicht 802 ist aus einem dielektrischen Material gebildet. Nachfolgend werden in dem Substrat 801 Gräben gebildet, wobei danach an Seitenwänden der Gräben eine Barrierenschicht 803 (z.B. TaN/Ta) abgeschieden wird. Nachfolgend werden die Gräben, deren Wände mit der Barrierenschicht 803 bedeckt sind, mit Kupfer-Material gefüllt, so dass Kupfer-Leiterbahnen 804 erhalten werden.
  • Somit ist die Ausgangsbasis des erfindungsgemäßen Verfahrens ein Standard-Damascene-Prozess zur Bildung der Leiterbahnen 804 mit einer Barrierenschicht 803 in einem dielektrischen Substrat 801. Bei dem Verfahren kann optional das Bilden der Ätzstoppschicht 802 implementiert werden, um bei einem späteren Ätzverfahren einen definierten Ätzstopp vorzugeben. Der optionale Ätzschritt, bei dem die vergrabene Ätzstoppschicht 802 als Ätzstoppschicht eingesetzt wird, kann zur gezielten Einstellung der Tiefe von zu bildenden Airgaps bei einem späteren Ätzverfahren eingesetzt werden. Der Zwischenraum zwischen der Ätzstoppschicht 802 und den Metallleiterbahnen 804 ist optional. Mit anderen Worten könnten die Metallleiterbahnen (beziehungsweise könnte die Barrierenschicht 803) direkt auf der Ätzstoppschicht 802 gebildet werden. Das Vorsehen einer unterhalb der Kupfer- Leiterbahnen 804 angeordneten Ätzstoppschicht 802 ist allerdings bevorzugt, da so die Airgaps in definierter Weise tiefer in das Substrat 801 hineinreichend ausgebildet werden können. Durch die tiefere Ätzung kann der effektive k- Wert der erhaltenen Struktur weiter verringert werden.
  • Um die in 9 gezeigte Schichtenfolge 900 zu erhalten, wird Material der Kupfer-Leiterbahnen 804 leicht zurückgeätzt, so dass das Metall gegenüber der Oberfläche der Schichtenfolge 900 zurückgesetzt ist (zum Beispiel (NH4)2S2O8).
  • Um die in 10 gezeigte Schichtenfolge 1000 zu erhalten, werden die mittels des zuvorigen Entfernens von Kupfer-Material gebildeten Vertiefungen mit Material einer Aufwachsschicht 1001 gefüllt, wie zum Beispiel Tantal, Tantal-Nitrid oder Titan.
  • Diese Schicht wird aus einem solchen Material vorgesehen, dass darauf in einem späteren selektiven Aufwachsverfahren Material einer Deckschicht abscheidbar ist. Ferner dient Schicht 1001 dazu, das darunter liegende Kupfer-Material der Leiterbahnen 804 während der nachfolgenden O3/TEOS-Abscheidung vor Oxidation zu schützen. Weiterhin dient die Schicht 1001 als Diffusionsbarriere zur Verhinderung einer Diffusion von Kupfer in das die Kupfer-Leiterbahnen 804 umgebende Material.
  • Anders ausgedrückt wird eine Cap-Layer-Barrieren- und Keimschicht 1001 aufgetragen, auf der später das selektiv auf zuwachsende Dielektrikum zum Bilden der Deckschicht aufwächst. Überflüssiges Material der Barrierenschicht 1001 wird mittels eines nachfolgenden CMP-Verfahrensschrittes abgeschliffen. Alternativ kann die Barrieren- und Keimschicht 1001 auch durch selektives Wachstum auf das Metall 804 aufgewachsen werden.
  • Um die in 11 gezeigte Schichtenfolge 1100 zu erhalten, wird Material des Substrates 801 mittels eines selektiven Ätzverfahrens zurückgeätzt, wobei das Ätzverfahren nicht zum Ätzen des Materials der Ätzstoppschicht 802 geeignet ist. Dadurch wird die Ätzstoppschicht 802 als Ätzstoppschicht eingesetzt, so dass die Ätzfront zum Entfernen von Material des Substrats 801 bei Erreichen der vergrabenen Ätzstoppschicht 802 stoppt. Auch das Material der Barrierenschicht 803, der Kupfer-Leiterbahnen 804 und der Aufwachsschicht 1001 wird mittels des Ätzverfahrens nicht entfernt.
  • Somit wird das Dielektrikum 801 (aus Siliziumoxid) zwischen den Leiterbahnen 804 zum Bilden späterer Airgaps selektiv gegenüber den Barrierenschichten 803, 1001 abgeätzt.
  • Als Alternative zu dem Vorsehen der Ätzstoppschicht 802 kann das Ätzen auch nach Zeit definiert werden, wodurch die Tiefe der Ätzfront durch die zeitliche Dauer des Ätzverfahrens bestimmt wird.
  • Um die in 12 gezeigte Schichtenfolge 1200 zu erhalten, wird auf die Schichtenfolge 1100 eine Schutzschicht 1201 aus einem Material aufgebracht, auf dem ein späteres Aufwachsen einer Deckschicht (in 12 nicht gezeigt) nicht stattfindet.
  • Somit wird gemäß dem in 13 gezeigten Ausführungsbeispiel nach dem CMP-Verfahrensschritt zum Planarisieren der Barrieren- und Keimschicht 1001 eine konforme Schicht dielektrischen Materials als Schutzschicht 1201 abgeschieden, wobei auf dem Material der Schutzschicht 1201 das selektive Dielektrikum nicht aufwächst. Die Eigenschaften bezüglich der Aufwachsbarkeit des selektiven Dielektrikums auf der Barrierenschicht 803 sind gemäß diesem Ausführungsbeispiel unerheblich. Somit kann die Barrierenschicht 803 aus einem beliebigen Material vorgesehen sein, das zum Beispiel auf das Verhindern einer Diffusion zwischen Material der Kupfer-Leiterbahnen 804 und anderen Bereichen der Schichtenfolge hin optimiert ist, wohingegen seine Aufwachseigenschaften bezüglich der Deckschicht 1201 unerheblich sind.
  • Um die in 13 gezeigte Schichtenfolge 1300 zu erhalten, wird die Schutzschicht 1201 einem sehr anisotropen Ätzschritt unterzogen, so dass nur noch schmale Spacer an den Seitenwänden der Gräben übrigbleiben, wobei Material der Aufwachsschicht 1001 freigelegt wird. Obwohl in den Figuren nicht gezeigt, kann nach Erhalt der Schichtenfolge 1300 aus 13 eine Deckschicht auf der so erhaltenen Schichtenfolge 1300 aufgewachsen werden, wie in 14 gezeigt und im Folgenden näher erläutert.
  • Um die in 14 gezeigte Schicht-Anordnung 1400 zu erhalten, wird eine elektrisch isolierende Deckschicht 1401 selektiv nur auf dem Material der Aufwachschicht 1001 aufgewachsen. Auf dem Material der Schutzschicht 1201, vorzugsweise Silizium-Sauerstoff-Stickstoff-haltiges Material (modifiziertes TEOS), sowie auf dem Material des Substrats 801, vorzugsweise ebenfalls Silizium-Sauerstoff-Stickstoffhaltiges Material, sowie gegebenenfalls auf dem Material der Ätzstoppschicht 802, vorzugsweise Siliziumnitrid, wächst die Deckschicht 1401 nicht auf, anders ausgedrückt, das Material der Deckschicht 1401 ist auf diesen Schichten 801, 802, 1201 nicht abscheidbar. Dadurch werden Airgaps 1202 gebildet, die abgegrenzt sind durch die Ätzstoppschicht 802 oder das Substrat 801, die elektrisch isolierende Deckschicht 1401, und den Spacern der Schutzschicht 1201.
  • Somit wird das selektive Dielektrikum 1201 aufgewachsen, welches auf der oberen Barrieren- und Keimschicht 1001 wächst, aber nicht auf der Schutzschicht 1201 und nicht auf dem Dielektrikum 801 beziehungsweise auf der Ätzstoppschicht 802.
  • Zur Planarisierung der Oberfläche wird eine weitere Schicht Dielektrikum aufgetragen, vorzugsweise mindestens so dick wie die Höhe der Airgaps 1202, um die Bereiche zwischen weit entfernten Leiterbahnen 804 zu füllen. Danach wird die so erhaltene Schichtenfolge mittels eines CMP-Verfahrens planarisiert.
  • Im Weiteren wird bezugnehmend auf 15, 16 ein Verfahren zum Herstellen einer Schicht-Anordnung gemäß einem dritten Ausführungsbeispiel der Erfindung beschrieben.
  • Um die in 15 gezeigte Schichtenfolge 1500 zu erhalten, wird zunächst eine vergrabene Ätzstoppschicht 802 in einem dielektrischen Substrat 801 gebildet. Das Substrat besteht vorzugsweise aus Silizium-Sauerstoff-Stickstoff-haltigem Material. Nachfolgend werden Gräben 1501 in dem Substrat 801 unter Verwendung eines Lithographie- und eines Ätzverfahrens gebildet.
  • An Seitenwänden der Gräben 1501 werden Siliziumnitrid-Abstandhalter 1502 gebildet, indem zunächst ganzflächig und weitgehend konform Siliziumnitrid-Material abgeschieden wird und dieses nachfolgend einem Ätzverfahren unterzogen wird. Das Vorsehen des Siliziumnitrid-Materials bietet einen besseren Schutz für das Kupfer-Material der Leiterbahnen und verhindert insbesondere in einem späteren Schritt das Wachstum der Deckschicht 1201 an den Seitenwänden der Metallbahnen.
  • Um die in 16 gezeigte Schichtenfolge 1600 zu erhalten, wird ähnlich wie oben bezugnehmend auf 8 bis 10 beschrieben zunächst eine Barrierenschicht 1601 in dem mit den Abstandhaltern 1502 bedeckten Graben gebildet, die gemäß dem beschriebenen Ausführungsbeispiel aus Tantal/Tantalnitrid vorgesehen ist. Nachfolgend werden die Gräben mit Kupfer-Material gefüllt, wodurch Kupfer-Leiterbahnen 804 erhalten werden. Dann wird, wie in zweiten Ausführungsbeispiel erläutert, Material der Kupfer-Leiterbahnen 804 etwas zurückgeätzt, und die dadurch gebildeten Vertiefungen werden mit Tantal-Material gefüllt, wodurch eine Aufwachsschicht 1001 gebildet wird.
  • Gemäß dem beschriebenen Ausführungsbeispiel bilden die Siliziumnitrid-Abstandshalter 1502 die Schutzschicht, an dem ein Aufwachsen einer Deckschicht 1201 nicht erfolgt.
  • Um die in 17 gezeigte Schichtenfolge 1700 zu erhalten, wird das Substrat 801 einem sehr anisotropen, selektiven Ätzschritt unterzogen, so dass nur noch die Metallbahnen 804, seitlich versehen mit den Siliziumnitrid-Abstandshaltern 1502 und Barrierenschicht 1601 und oben versehen mit der Aufwachsschicht 1001 übrigbleiben. Unterhalb der Kupfer-Leiterbahnen 804, der Barrierenschicht 1601 und den Abstandhaltern 1502 verbleibt noch Substratmaterial 801.
  • Um die in 18 gezeigte Schicht-Anordnung 1800 zu erhalten, wird eine elektrisch isolierende Deckschicht 1801 selektiv nur auf dem Material der Aufwachschicht 1001 aufgewachsen. Auf dem Material der Abstandshalter 1502, vorzugsweise Silizium-Nitrid, sowie auf dem Material des Substrats 801, vorzugsweise Silizium-Sauerstoff-Stickstoff-haltiges Material, sowie gegebenenfalls auf dem Material der Ätzstoppschicht 802, vorzugsweise Siliziumnitrid, wächst die Deckschicht 1801 nicht auf, anders ausgedrückt, das Material der Deckschicht 1801 ist auf diesen Schichten 801, 802, 1502 nicht abscheidbar. Dadurch werden Airgaps 1801 gebildet, die abgegrenzt sind durch die Ätzstoppschicht 802 oder das Substrat 801, die elektrisch isolierende Deckschicht 1801, und den Abstandshaltern 1502.
  • In diesem Dokument sind folgende Veröffentlichungen zitiert:
    • [1] Arnal, V et al. "Integration of a 3 Level Cu – SiO2 Air Gap Interconnect for Sub 0.1 micron CMOS Technologies", Proceedings IITC 2001
    • [2] DE 101 25 019 A1
  • 100
    Schichtenfolge
    101
    Substrat
    102
    Kupfer-Leiterbahnen
    200
    Schichtenfolge
    201
    Gräben
    300
    Schichtenfolge
    301
    nichtkonformale elektrisch isolierende Schicht
    400
    Schichtenfolge
    401
    konformale elektrisch isolierende Schicht
    500
    Schichtenfolge
    501
    Photoresist
    600
    Schichtenfolge
    700
    Schicht-Anordnung
    701
    elektrisch isolierende Deckschicht
    702
    Airgaps
    800
    Schichtenfolge
    801
    Substrat
    802
    Ätzstoppschicht
    803
    Titanschicht
    804
    Kupfer-Leiterbahnen
    900
    Schichtenfolge
    1000
    Schichtenfolge
    1001
    Aufwachsschicht
    1100
    Schichtenfolge
    1200
    Schicht-Anordnung
    1201
    elektrisch isolierende Deckschicht
    1202
    Airgaps
    1300
    Schicht-Anordnung
    1301
    Schutzschicht
    1400
    Schichtenfolge
    1500
    Schichtenfolge
    1501
    Gräben
    1502
    Siliziumnitrid-Abstandshalter
    1600
    Schichtenfolge

Claims (21)

  1. Verfahren zum Herstellen einer Schicht-Anordnung, bei dem • in einem Substrat eine Mehrzahl von elektrisch leitfähigen Strukturen eingebettet wird; • eine erste Schicht auf zumindest einem Teil von Seitenwänden von jeder der elektrisch leitfähigen Strukturen gebildet wird, wobei ein oberer Teilbereich der elektrisch leitfähigen Strukturen von einer Bedeckung mit der ersten Schicht frei bleibt; • Material des Substrats zumindest zwischen benachbarten elektrisch leitfähigen Strukturen entfernt wird, so dass Airgaps zwischen benachbarten elektrisch leitfähigen Strukturen gebildet werden; • eine elektrisch isolierende zweite Schicht selektiv auf dem von der ersten Schicht freien Teilbereich der elektrisch leitfähigen Strukturen derart gebildet wird, dass die elektrisch isolierende zweite Schicht benachbarte elektrisch leitfähige Strukturen überbrückt.
  2. Verfahren nach Anspruch 1, bei dem vor dem Bilden der ersten Schicht eine dritte Schicht auf der Mehrzahl von elektrisch leitfähigen Strukturen gebildet wird, und wobei die elektrisch isolierende zweite, Schicht selektiv auf einem von der ersten Schicht freien Teilbereich der dritten Schicht gebildet wird.
  3. Verfahren nach Anspruch 1 oder 2, bei dem die Mehrzahl von elektrisch leitfähigen Strukturen gebildet wird, indem • in dem Substrat Gräben gebildet werden; und • in die Gräben elektrisch leitfähiges Material eingebracht wird.
  4. Verfahren nach einem der Ansprüche 1 bis 3, bei dem Material des Substrats zwischen den elektrisch leitfähigen Strukturen derart entfernt wird, dass die Airgaps sich bis unterhalb der elektrisch leitfähigen Strukturen in das Substrat hinein erstrecken.
  5. Verfahren nach einem der Ansprüche 1 bis 4, bei dem die dritte Schicht mittels eines nichtkonformalen Abscheideverfahrens gebildet wird.
  6. Verfahren nach einem der Ansprüche 1 bis 5, bei dem die erste Schicht mittels eines konformalen Abscheideverfahrens gebildet wird.
  7. Verfahren nach einem der Ansprüche 1 bis 6, bei dem die erste Schicht gebildet wird, indem • Material auf allen elektrisch leitfähigen Strukturen oder auf der gesamten dritten Schicht gebildet wird; • Opfermaterial auf einem Teilbereich des Materials gebildet wird; • von dem Opfermaterial unbedecktes Material entfernt wird; • das Opfermaterial entfernt wird.
  8. Verfahren nach einem der Ansprüche 1 bis 7, bei dem die erste Schicht mittels eines plasmaangeregten chemischen Gasphasenabscheide-Verfahrens zum Bilden eines Silizium-Sauerstoff-Stickstoff-haltigen Materials gebildet wird, bei dem während des Zuführens von Silizium-Material und Sauerstoff-Material Stickstoff-Material unter Verwendung eines organischen Silizium-Precursormaterials zugeführt wird.
  9. Verfahren nach Anspruch 8, bei dem als organisches Silizium-Precursormaterial ein Sauerstoff-haltiges Material verwendet wird.
  10. Verfahren nach Anspruch 8 oder 9, bei dem als organisches Silizium-Precursormaterial Tetraethylorthosilikat verwendet wird.
  11. Verfahren nach einem der Ansprüche 1 bis 10, bei dem die dritte Schicht aus Silan-basiertem Siliziumoxid gebildet wird.
  12. Verfahren nach einem der Ansprüche 1 bis 11, bei dem die elektrisch isolierende zweite Schicht aus Siliziumoxid gebildet wird, das basierend auf Ozon-aktiviert zersetztem Tetraethylorthosilikat gebildet ist.
  13. Verfahren nach einem der Ansprüche 1 bis 12, bei dem die Mehrzahl von elektrisch leitfähigen Strukturen gebildet wird, indem • in dem Substrat Gräben gebildet werden; und • zumindest auf den Seitenwänden der Gräben die erste Schicht gebildet wird; • die mit der ersten Schicht auf den Seitenwänden versehenen Gräben mit elektrisch leitfähigem Material gefüllt werden.
  14. Verfahren nach Anspruch 13, bei dem vor dem Füllen mit elektrisch leitfähigem Material die Innenfläche der mit der ersten Schicht auf den Seitenwänden versehenen Gräben mit einer vierten Schicht bedeckt werden.
  15. Verfahren nach einem der Ansprüche 1 bis 14, bei dem auf dem elektrisch leitfähigen Material eine fünfte Schicht gebildet wird, auf der die elektrisch isolierende zweite Schicht selektiv gebildet wird.
  16. Verfahren nach Anspruch 15, bei dem die fünfte Schicht aus • Tantal; • Tantal-Nitrid; oder • Titan; gebildet wird.
  17. Verfahren nach einem der Ansprüche 1 bis 16, bei dem in dem Substrat eine vergrabene Schicht gebildet wird, die bei dem Entfernen von Material des Substrats eine Stoppschicht bildet.
  18. Schicht-Anordnung, mit • einem Substrat • einer Mehrzahl von elektrisch leitfähigen Strukturen, die in dem Substrat eingebettet sind; • einer ersten Schicht auf zumindest einem Teil von Seitenwänden von jeder der elektrisch leitfähigen Strukturen, wobei ein oberer Teilbereich der elektrisch leitfähigen Strukturen von einer Bedeckung mit der ersten Schicht frei ist.
  19. Schicht-Anordnung nach Anspruch 18, bei dem die elektrisch leitfähigen Strukturen Leiterbahnen sind.
  20. Schicht-Anordnung nach Anspruch 18 oder 19, mit einer dritten Schicht zwischen der Mehrzahl von elektrisch leitfähigen Strukturen und der ersten Schicht, wobei die elektrisch isolierende zweite Schicht auf dem von der ersten Schicht freien Teilbereich der dritten Schicht gebildet ist.
  21. Schicht-Anordnung nach einem der Ansprüche 18 bis 20, mit einer vergrabenen Schicht in dem Substrat, die als Stoppschicht bei einem Entfernen von Material des Substrats eingerichtet ist.
DE102004050391A 2004-10-15 2004-10-15 Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung Expired - Fee Related DE102004050391B4 (de)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE102004050391A DE102004050391B4 (de) 2004-10-15 2004-10-15 Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
PCT/DE2005/001754 WO2006042498A1 (de) 2004-10-15 2005-09-30 Verfahren zum herstellen einer schicht-anordnung und schicht-anordnung
US11/786,770 US7807563B2 (en) 2004-10-15 2007-04-12 Method for manufacturing a layer arrangement and layer arrangement

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102004050391A DE102004050391B4 (de) 2004-10-15 2004-10-15 Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung

Publications (2)

Publication Number Publication Date
DE102004050391A1 true DE102004050391A1 (de) 2006-05-04
DE102004050391B4 DE102004050391B4 (de) 2007-02-08

Family

ID=35677416

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102004050391A Expired - Fee Related DE102004050391B4 (de) 2004-10-15 2004-10-15 Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung

Country Status (3)

Country Link
US (1) US7807563B2 (de)
DE (1) DE102004050391B4 (de)
WO (1) WO2006042498A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102008044964A1 (de) * 2008-08-29 2010-04-22 Advanced Micro Devices, Inc., Sunnyvale Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssysteme von Halbleiterbauelementen durch die Herstellung von Aussparungen

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7670946B2 (en) * 2006-05-15 2010-03-02 Chartered Semiconductor Manufacturing, Ltd. Methods to eliminate contact plug sidewall slit
US7973409B2 (en) 2007-01-22 2011-07-05 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
DE102007020269A1 (de) * 2007-04-30 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Halbleiterstruktur mit einer elektrischen Verbindung und Verfahren zu ihrer Herstellung
KR101382564B1 (ko) 2008-05-28 2014-04-10 삼성전자주식회사 에어갭을 갖는 층간 절연막의 형성 방법
JP5396065B2 (ja) * 2008-10-28 2014-01-22 株式会社日立製作所 半導体装置の製造方法
DE102009010845B4 (de) * 2009-02-27 2016-10-13 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten und wieder aufgefüllten Luftspaltausschließungszonen
US8471346B2 (en) * 2009-02-27 2013-06-25 Infineon Technologies Ag Semiconductor device including a cavity
KR101692364B1 (ko) * 2010-11-15 2017-01-05 삼성전자 주식회사 비휘발성 메모리 장치의 제조 방법 및 그에 의해 제조된 비휘발성 메모리 장치
KR20130007378A (ko) * 2011-07-01 2013-01-18 삼성전자주식회사 반도체 장치
US8575000B2 (en) * 2011-07-19 2013-11-05 SanDisk Technologies, Inc. Copper interconnects separated by air gaps and method of making thereof
KR101619682B1 (ko) * 2011-12-29 2016-05-10 인텔 코포레이션 후드층을 갖는 에어갭 인터커넥트 및 그 형성 방법
JP5898991B2 (ja) * 2012-02-10 2016-04-06 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
KR20140030483A (ko) * 2012-08-30 2014-03-12 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
CN103077921B (zh) * 2012-12-19 2017-08-08 上海华虹宏力半导体制造有限公司 互连线结构及互连线结构的形成方法
US9558999B2 (en) * 2013-09-12 2017-01-31 Globalfoundries Inc. Ultra-thin metal wires formed through selective deposition
US9159671B2 (en) * 2013-11-19 2015-10-13 International Business Machines Corporation Copper wire and dielectric with air gaps
US9343294B2 (en) * 2014-04-28 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having air gap and method of forming the same
US10163792B2 (en) * 2014-07-28 2018-12-25 Qualcomm Incorporated Semiconductor device having an airgap defined at least partially by a protective structure
US9401305B2 (en) * 2014-11-05 2016-07-26 Sandisk Technologies Llc Air gaps structures for damascene metal patterning
US9748093B2 (en) * 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
KR20160120891A (ko) * 2015-04-09 2016-10-19 삼성전자주식회사 반도체 장치
JP2017005227A (ja) * 2015-06-16 2017-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP6707328B2 (ja) * 2015-09-01 2020-06-10 ローム株式会社 パワーモジュール、パワーモジュールの放熱構造、およびパワーモジュールの接合方法
US9728447B2 (en) * 2015-11-16 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-barrier deposition for air gap formation
WO2017111854A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Methods of forming low capacitance interconnect structures utilizing low dielectric materials
WO2018125124A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Creating dielectric helmet films using pulsed gas deposition
US10665499B2 (en) * 2018-06-28 2020-05-26 Intel Corporation Integrated circuit with airgaps to control capacitance
US11605558B2 (en) * 2021-03-26 2023-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit interconnect structure having discontinuous barrier layer and air gap
CN113611655A (zh) * 2021-06-11 2021-11-05 联芯集成电路制造(厦门)有限公司 半导体结构及其制作方法
CN117438411A (zh) * 2022-07-12 2024-01-23 长鑫存储技术有限公司 半导体结构和半导体结构的制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10125019A1 (de) * 2001-05-22 2002-12-05 Infineon Technologies Ag Hohlraumstruktur, Mehrfach-Hohlraumstruktur und Verfahren zum Herstellen einer Hohlraumstruktur
US6524948B2 (en) * 2000-10-13 2003-02-25 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
DE10246830A1 (de) * 2002-09-30 2004-02-12 Infineon Technologies Ag Kupfermetallisierung

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5067673A (en) 1990-02-07 1991-11-26 Hughes Aircraft Company Essentially passive method for inverting the orientation of a dual spin spacecraft
JP2814009B2 (ja) 1990-06-05 1998-10-22 三菱電機株式会社 半導体装置の製造方法
JPH06216122A (ja) 1993-01-13 1994-08-05 Kawasaki Steel Corp 半導体装置の製造方法
US5407860A (en) * 1994-05-27 1995-04-18 Texas Instruments Incorporated Method of forming air gap dielectric spaces between semiconductor leads
US5955786A (en) * 1995-06-07 1999-09-21 Advanced Micro Devices, Inc. Semiconductor device using uniform nonconformal deposition for forming low dielectric constant insulation between certain conductive lines
US5792706A (en) * 1996-06-05 1998-08-11 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to reduce permitivity
US5759913A (en) * 1996-06-05 1998-06-02 Advanced Micro Devices, Inc. Method of formation of an air gap within a semiconductor dielectric by solvent desorption
US5814555A (en) * 1996-06-05 1998-09-29 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to lessen capacitive coupling
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
KR100286126B1 (ko) * 1999-02-13 2001-03-15 윤종용 다층의 패시배이션막을 이용한 도전층 사이에 공기 공간을 형성하는 방법
US6440839B1 (en) * 1999-08-18 2002-08-27 Advanced Micro Devices, Inc. Selective air gap insulation
US6211057B1 (en) 1999-09-03 2001-04-03 Taiwan Semiconductor Manufacturing Company Method for manufacturing arch air gap in multilevel interconnection
DE19957302C2 (de) 1999-11-29 2001-11-15 Infineon Technologies Ag Substrat mit mindestens zwei darauf angeordneten Metallstrukturen und Verfahren zu dessen Herstellung
US6423629B1 (en) 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6445072B1 (en) 2000-07-17 2002-09-03 Advanced Micro Devices, Inc. Deliberate void in innerlayer dielectric gapfill to reduce dielectric constant
US20030176055A1 (en) 2000-07-24 2003-09-18 United Microelectronics Corp. Method and structure for reducing capacitance between interconnect lines
US6803318B1 (en) 2000-09-14 2004-10-12 Cypress Semiconductor Corp. Method of forming self aligned contacts
DE10109778A1 (de) 2001-03-01 2002-09-19 Infineon Technologies Ag Hohlraumstruktur und Verfahren zum Herstellen einer Hohlraumstruktur
DE10140754A1 (de) 2001-08-20 2003-03-27 Infineon Technologies Ag Leiterbahnanordnung und Verfahren zum Herstellen einer Leiterbahnanordnung
US7042095B2 (en) * 2002-03-29 2006-05-09 Renesas Technology Corp. Semiconductor device including an interconnect having copper as a main component
DE10223954A1 (de) 2002-05-29 2003-12-11 Infineon Technologies Ag Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren zum Abscheiden von Siliziumnitrid oder Siliziumoxinitrid, Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
US6861332B2 (en) 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US7009272B2 (en) 2002-12-28 2006-03-07 Intel Corporation PECVD air gap integration
JP2004259964A (ja) 2003-02-26 2004-09-16 Renesas Technology Corp 成膜装置およびその成膜装置を用いた半導体装置の製造方法
US6995073B2 (en) * 2003-07-16 2006-02-07 Intel Corporation Air gap integration
DE10341544B4 (de) 2003-09-09 2005-10-13 Infineon Technologies Ag Verfahren zum Herstellen einer Leiterbahnanordnung und Leiterbahnanordnung
JP4864307B2 (ja) * 2003-09-30 2012-02-01 アイメック エアーギャップを選択的に形成する方法及び当該方法により得られる装置
US7071532B2 (en) * 2003-09-30 2006-07-04 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring
DE102004003337A1 (de) 2004-01-22 2005-08-18 Infineon Technologies Ag Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren, Silizium-Sauerstoff-Stickstoff-haltiges Material und Schicht-Anordnung
US7071091B2 (en) 2004-04-20 2006-07-04 Intel Corporation Method of forming air gaps in a dielectric material using a sacrificial film
US7285474B2 (en) 2004-09-16 2007-10-23 International Business Machines Corporation Air-gap insulated interconnections
US20060105581A1 (en) 2004-11-18 2006-05-18 Bielefeld Jeffery D Glycol doping agents in carbon doped oxide films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6524948B2 (en) * 2000-10-13 2003-02-25 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
DE10125019A1 (de) * 2001-05-22 2002-12-05 Infineon Technologies Ag Hohlraumstruktur, Mehrfach-Hohlraumstruktur und Verfahren zum Herstellen einer Hohlraumstruktur
DE10246830A1 (de) * 2002-09-30 2004-02-12 Infineon Technologies Ag Kupfermetallisierung

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Arnal, V. u.a.: Integration of a 3 Level Cu-SiO¶2¶Air Gap Interconnect for Sub 0.1 micron CMOS Technologies, Proceedings of the IEEE 2001 Inter- national Interconnect Technology Conference, 2001,S. 298-300 *
Arnal, V. u.a.: Integration of a 3 Level Cu-SiO2 Air Gap Interconnect for Sub 0.1 micron CMOS Technologies, Proceedings of the IEEE 2001 Inter- national Interconnect Technology Conference, 2001, S. 298-300

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102008044964A1 (de) * 2008-08-29 2010-04-22 Advanced Micro Devices, Inc., Sunnyvale Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssysteme von Halbleiterbauelementen durch die Herstellung von Aussparungen
DE102008044964B4 (de) * 2008-08-29 2015-12-17 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen

Also Published As

Publication number Publication date
WO2006042498A1 (de) 2006-04-27
DE102004050391B4 (de) 2007-02-08
US20070246831A1 (en) 2007-10-25
US7807563B2 (en) 2010-10-05

Similar Documents

Publication Publication Date Title
DE102004050391B4 (de) Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
DE10230088B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
WO2005071739A2 (de) Plasmaangeregtes chemisches gasphasenabscheide-verfahren, silizium-sauerstoff-stickstoff-haltiges material und schicht-anordnung
DE60005874T2 (de) Herstellungsverfahren für einen porösen Siliziumoxid-Film
EP1678746A2 (de) Verfahren zum ausbilden eines dielektrikums auf einer kupferhaltigen metallisierung und kondensatoranordnung
DE102005035740A1 (de) Verfahren zur Herstellung einer isolierenden Barrierenschicht für eine Kupfermetallisierungsschicht
EP0582724A1 (de) Verfahren zur lokal und global planarisierenden CVD-Abscheidung von SiO2-Schichten auf strukturierten Siliziumsubstraten
DE60034979T2 (de) Eine verbesserte Methode für die Abscheidung und Planarisierung von fluorierten BPSG Filmen
WO2003019649A2 (de) Leiterbahnanordnung und verfahren zum herstellen einer leiterbahnanordnung
DE60005875T2 (de) Herstellungsverfahren für einen porösen Siliziumdioxid-Film
DE10154346A1 (de) Ausffüllen von Substratvertiefungen mit SiO ¶¶2¶¶ durch HDP-Gasphasenabscheidung unter Beteiligung von H¶¶2¶¶O¶¶2¶¶ oder H¶¶2¶¶O als Reaktionsgas
DE19957302C2 (de) Substrat mit mindestens zwei darauf angeordneten Metallstrukturen und Verfahren zu dessen Herstellung
DE102021108491A1 (de) Interconnect-struktur mit stapel aus dielektrischer kappschicht und ätzstoppschicht
WO1999016125A1 (de) Verfahren zur ausbildung einer grabenstruktur in einem siliziumsubstrat
DE10339990B4 (de) Verfahren zur Herstellung einer Metallleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung
DE102004042459B3 (de) Verfahren zur Herstellung einer Grabenisolationsstruktur mit hohem Aspektverhältnis
DE10138510B4 (de) Grabenisolation mit selbstjustierender Oberflächenversiegelung und Verfahren zur Herstellung einer solchen Grabenisolation
EP1706901A1 (de) Integrierte schaltung mit lateraler dielektrischer isolation aktiver bereiche über elektrisch kontaktiertem vergrabenem material und herstellungsverfahren
DE10311312B4 (de) Isolatorstruktur und Verfahren zur Erzeugung von Isolatorstrukturen in einem Halbleitersubstrat
DE112004002638B4 (de) Verfahren zur Herstellung einer integrierten Schaltung mit Seitenwandabstandshaltern mit geringer Verspannung
DE10314574B4 (de) Verfahren zur Herstellung einer Grabenisolationsstruktur
DE10341544B4 (de) Verfahren zum Herstellen einer Leiterbahnanordnung und Leiterbahnanordnung
WO2002095820A2 (de) Hohlraumstruktur in einer integrierten schaltung
DE102007032387B4 (de) Leistungshalbleiterbauelement und DMOS - Leistungshalbleiterbauelement
DE4135810A1 (de) Halbleitereinrichtung und verfahren zu deren herstellung

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee