DE102005063582B3 - Verfahren zum Herstellen eines Halbleiterbauelements - Google Patents

Verfahren zum Herstellen eines Halbleiterbauelements Download PDF

Info

Publication number
DE102005063582B3
DE102005063582B3 DE102005063582A DE102005063582A DE102005063582B3 DE 102005063582 B3 DE102005063582 B3 DE 102005063582B3 DE 102005063582 A DE102005063582 A DE 102005063582A DE 102005063582 A DE102005063582 A DE 102005063582A DE 102005063582 B3 DE102005063582 B3 DE 102005063582B3
Authority
DE
Germany
Prior art keywords
gate
gate dielectric
workpiece
dielectric material
transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102005063582A
Other languages
English (en)
Inventor
Hong-Jyh Li
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Application granted granted Critical
Publication of DE102005063582B3 publication Critical patent/DE102005063582B3/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2

Abstract

Verfahren zum Herstellen eines Halbleiterbauelements mit den Schritten: Bereitstellen eines Werkstücks (102; 202), wobei das Werkstück einen ersten Bereich (104; 204) und einen zweiten Bereich (106; 206) umfasst; Ausbilden einer Hartmaske (112) über dem ersten Bereich (104; 204) des Werkstücks (102; 202); Abscheiden eines ersten Gatedielektrikummaterials (120) über der Hartmaske (112) und dem zweiten Bereich (106; 206) des Werkstücks (102; 202); Abscheiden eines ersten Gatematerials (122) über dem ersten Gatedielektrikummaterial (120); Entfernen des ersten Gatematerials (122), des ersten Gatedielektrikummaterials (120) und der Hartmaske (112) über dem ersten Bereich (104; 204) des Werkstücks, wobei das erste Gatematerial (122) und das erste Gatedielektrikummaterial (120) über dem zweiten Bereich (106; 206) des Werkstücks (102, 202) befindlich übrig gelassen werden; Abscheiden eines zweiten Gatedielektrikummaterials (126) mit einer ersten Isolationsschicht (250) über dem ersten Bereich (104; 204) des Werkstücks (102; 202) und über dem ersten Gatematerial (122) über dem zweiten Bereich (106; 206) des Werkstücks (102; 202), wobei das zweite Gatedielektrikummaterial (126) ein anderes Material als das erste Gatedielektrikummaterial (120) umfasst; Implantieren eines Fermi-Pinning-Materials in das zweite Gatedielektrikummaterial (126), wodurch eine zweite Isolationsschicht (252) an der Oberseite des zweiten Gatedielektrikummaterials ausgebildet wird; Abscheiden eines zweiten Gatematerials (128) über dem zweiten Gatedielektrikummaterial (126); Entfernen des zweiten Gatematerials (128) und des zweiten Gatedielektrikummaterials oberhalb des zweiten Bereichs (106; 206) des Werkstücks (102; 202), wobei das zweite Gatematerial (128) und das zweite Gatedielektrikummaterial (126) über dem ersten Bereich (104; 204) des Werkstücks (102; 202) übrig gelassen werden ...

Description

  • Die vorliegende Erfindung bezieht sich auf ein Verfahren zum Herstellen eines Halbleiterbauelements.
  • Halbleiterbauelemente werden in einer Vielzahl von elektronischen Anwendungen wie beispielsweise Personal Computern, Mobiltelefonen, Digitalkameras und anderer elektronischer Ausrüstung verwendet. Halbleiterbauelemente werden typischerweise durch ein sequentielles Abscheiden von isolierenden (oder dielektrischen) Schichten, leitenden Schichten und halbleitenden Schichten von Materialien über einem Halbleitersubstrat und ein Strukturieren der verschiedenen Schichten unter Verwendung von Lithographieprozessen zum Ausbilden von Schaltungskomponenten und Elementen darauf gefertigt.
  • Ein Transistor ist ein Element, das in Halbleiterbauelementen umfangreich genutzt wird. Es können zum Beispiel Millionen von Transistoren auf einer einzelnen integrierten Schaltung (IC) vorhanden sein. Ein bei einer Fertigung von Halbleiterbauelementen verwendeter üblicher Transistortyp ist ein Metall-Oxid-Halbleiter-Feldeffekttransistor (MOSFET).
  • Frühe MOSFET-Prozesse haben eine Art von Dotierung verwendet, um entweder p-Kanal-Transistoren oder n-Kanal-Transistoren zu erzeugen. Neuere Entwürfe, welche als Komplementär-MOS-(CMOS-)Schaltungen bezeichnet werden, verwenden sowohl p-Kanal- als auch n-Kanal-Bauelemente in komplementären Konfigurationen. Obwohl zu ihrer Herstellung mehr Herstellungsschritte und mehr Transistoren erforderlich sind, sind CMOS-Schaltungen vorteilhaft, da sie weniger Energie verbrauchen und kleinere und schnellere Bauelemente ausgebildet werden können.
  • In der Vergangenheit wurde typischerweise Siliziumdioxid, das eine Dielektrizitätskonstante von etwa 3,9 hat, als Gatedielektrikum für MOSFET-Bauelemente verwendet. Mit der Reduzierung der Größe der Bauelemente wird jedoch die Verwendung von Siliziumdioxid als Gatedielektrikum aufgrund des Gateleckstroms, der die Leistungsfähigkeit des Bauelements vermindern kann, problematisch. Um die Vorteile der Transistorskalierung vollständig zu realisieren, muss die Gateoxiddicke auf weniger als 2 nm reduziert werden. Der sich ergebende Gateleckstrom macht jedoch die Verwendung von derartig dünnen Oxiden bei vielen Bauelementanwendungen unmöglich, bei denen ein niedriger Bereitschafts-Leistungsverbrauch erforderlich ist. Aus diesem Grund wird das dielektrische Gateoxid-Material schließlich durch ein alternatives Dielektrikummaterial ersetzt, das eine höhere Dielektrizitätskonstante hat.
  • Folglich besteht in der Industrie eine Tendenz, Materialien mit hoher Dielektrizitätskonstante (hohem k) als Gatedielektrikum in MOSFET-Bauelementen zu verwenden. Der Ausdruck ”High-k-Materialien”, wie er hier verwendet wird, bezieht sich auf ein Dielektrikummaterial, das eine Dielektrizitätskonstante von etwa 4,0 oder mehr hat.
  • Die Entwicklung eines High-k-Gatedielektrikums ist in der Ausgabe 2002 des Internationalen Technologiefahrplans für Halbleiter (ITRS, International Technology Roadmap for Semiconductors) aufgeführt, der die technologischen Herausforderungen und Bedürfnisse aufzeigt, welche der Halbleiterindustrie für die nächsten 15 Jahre gegenüberstehen. Für eine leistungsarme Logik (zum Beispiel für tragbare elektronische Anwendungen) ist es wichtig, Bauelemente zu verwenden, die einen niedrigen Leckstrom haben, um die Batterielebensdauer zu verlängern. Der Gate-Leckstrom sowie der Unter-Schwellwert-Leckstrom, der pn-Übergang-Leckstrom und der Band-zu-Band-Tunneleffekt müssen bei leistungsarmen Anwendungen kontrolliert werden.
  • Bei der Verwendung von High-k-Dielektrikummaterialien leidet jedoch die Leistungsfähigkeit des Bauelements unter in der Dielektrikumschicht eingefangener Ladung, wodurch die Beweglichkeit verschlechtert und wodurch der Ansteuerstrom gegenüber Transistoren mit Siliziumdioxid-Gateoxiden verringert wird, so dass sich die Geschwindigkeit und die Leistungsfähigkeit von Transistoren mit High-k-Gatedielektrikummaterialien verschlechtern.
  • Ein weiteres Problem bei der Verwendung eines High-k-Dielektrikummaterials als Gatedielektrikum eines CMOS-Transistors wird in der Fachwelt als ”Fermi-Pinning”-Effekt bzw. ”fermi-level-pinning”-Effekt bezeichnet und tritt an der Schnittstelle der Gateelektrode und des Gatedielektrikummaterials auf. „Fermi-Pinning” ist ein Problem, das sowohl bei CMOS-Schaltungen mit Polysiliziumgates als auch bei CMOS-Schaltungen mit Metallgates auftritt. Der „Fermi-Pinning”-Effekt verursacht eine Schwellwertspannungsverschiebung und eine niedrige Beweglichkeit, die der durch den „Fermi-Pinning”-Effekt verursachten erhöhten Ladung zuzuschreiben sind. „Fermi-Pinning” verursacht eine unerwünschte asymmetrische Einschaltschwellwertspannung Vt für die zwei Transistoren einer CMOS-Schaltung.
  • In der Elektronik ist die ”Austrittsarbeit” die (üblicherweise in Elektronenvolt gemessene) Energie, die zum Entfernen eines Elektrons von dem Fermi-Niveau zu einem eine unendliche Strecke entfernten Punkt außerhalb der Oberfläche benötigt wird. Die Austrittsarbeit ist eine Materialeigenschaft jedes Materials, sei es, dass das Material ein Leiter, ein Halbleiter oder ein Dielektrikum ist. Die Austrittsarbeit eines Metalls ist festgelegt und kann nicht geändert werden, sofern nicht zum Beispiel die Materialzusammensetzung geändert wird.
  • Die Austrittsarbeit eines Halbleiters kann durch ein Dotieren des Halbleitermaterials geändert werden. Undotiertes Polysilizium hat zum Beispiel eine Austrittsarbeit von etwa 4,5 eV, während mit Bor dotiertes Polysilizium eine Austrittsarbeit von etwa 5,0 eV hat. Die Austrittsarbeit eines Halbleiters oder eines Leiters beeinflusst die Schwellwertspannung eines Transistors direkt, wenn das Material als eine Gateelektrode verwendet wird.
  • Bei herkömmlichen CMOS-Schaltungen mit SiO2-Gatedielektrikummaterial kann die Austrittsarbeit durch ein Dotieren des für das Gateelektrodenmaterial verwendeten Polysiliziums geändert oder abgestimmt werden. So wurde bei herkömmlichen CMOS-Transistorschaltungen typischerweise SiO2 als Gatedielektrikummaterial für den CMOS verwendet, und die Gateelektrode war aus Polysilizium. Eine symmetrische Schwellwertspannung Vt für das PMOS-Bauelement und das NMOS-Bauelement einer herkömmlichen CMOS-Schaltung war unter Verwendung von SiO2 als ein Gatedielektrikummaterial leicht erreichbar. Für das PMOS-Bauelement war die Gateelektrode vom P-Typ, was typischerweise unter Verwendung von beispielsweise mit Bor dotiertem Polysilizium als das PMOS-Gateelektrodenmaterial erreicht wurde. Für das NMOS-Bauelement war die Gateelektrode vom N-Typ, was typischerweise unter Verwendung von beispielsweise mit Phosphor dotiertem Polysilizium als das NMOS-Gateelektrodenmaterial erreicht wurde.
  • Werden jedoch High-k-Gatedielektrikummaterialien als Gatedielektrikum verwendet, so wird hierdurch „Fermi-Pinning” verursacht, das diese Austrittsarbeit festheftet oder sie fixiert, so dass ein Dotieren des Polysiliziumgatematerials die Austrittsarbeit nicht ändert. Somit kann ein symmetrisches Vt für die NMOS- und PMOS-Transistoren einer CMOS-Schaltung mit einem High-k-Material für das Gatedielektrikum nicht wie bei CMOS-Schaltungen mit einem SiO2-Gatedielektrikum durch ein Dotieren von Polysiliziumgatematerial erreicht werden.
  • So treten z. B. Probleme auf bei dem Versuch ein auf Hafnium basierendes Dielektrikummaterial, ein High-k-Dielektrikummaterial, für das Gatedielektrikummaterial einer CMOS-Schaltung zu verwenden. Für das NMOS-Bauelement kann mit Phosphor dotiertes Polysilizium als Material für die Gateelektrode verwendet werden, wodurch man ein gewünschtes N-Typ-Gate erhält. Falls jedoch für das PMOS-Bauelement zum Beispiel mit Bor dotiertes Polysilizium für das Gateelektrodenmaterial verwendet wird, interagiert das auf Hafnium basierende Gateelektrodenmaterial durch das „Fermi-Pinning” bedingt mit benachbarten Materialien, was zu einem N-Typ-Gate führt, das für das PMOS-Bauelement nicht wirkungsvoll ist. Ein Gate des N-Typs an dem PMOS-Transistor ist unerwünscht: das Gate des PMOS-Bauelements sollte vom P-Typ sein, um die Leistungsfähigkeit der CMOS-Schaltung zu optimieren und ein symmetrisches Vtp und Vtn zu erreichen. Somit hat eine CMOS-Schaltung mit einer Gateelektrode vom N-Typ für den PMOS-Transistor wegen des „Fermi-Pinning”-Effekts des High-k-Dielektrikummaterials ein asymmetrisches Vtn und Vtp. Es sind Anstrengungen zum Verbessern der Qualität von filmartigen High-k-Dielektrikumschichten und Lösen der Fermi-Pinning-Probleme unternommen worden, aber die Anstrengungen haben zu wenig Erfolg geführt.
  • Aus der Druckschrift JP 2002151598 A ist ein Verfahren zum Ausbilden von Transistoren mit unterschiedlichen Kenngrößen bekannt. Hierzu werden unter Verwendung einer Hartmaske zunächst in einem Bereich des Werkstücks ein erstes Gatedielektrikummaterial sowie ein erstes Gatematerial ausgebildet. Im Anschluss daran werden über einem zweiten Bereich des Werkstücks ein zweites Gatedielektrikummaterial sowie ein zweites Gatematerial ausgebildet. Das erste Gatematerial wird zum Ausbilden einer ersten Gateelektrode strukturiert. Anschließend wird in dem zweiten Bereich das zweite Gatematerial zum Ausbilden einer zweiten Gateelektrode strukturiert.
  • Die Druckschrift US 6 528 858 B1 zeigt ein Halbleiterbauelement sowie ein zugehöriges Herstellungsverfahren, wobei zunächst ein NMOS-Transistor mit high-k Dielektrikum und anschließend ein PMOS-Transistor mit Standard-Dielektrikum ausgebildet wird.
  • Weiterhin ist aus der Druckschrift US 6 171 910 B1 ein Verfahren bekannt, bei dem zunächst Dummy-Gate-Strukturen ausgebildet werden, die in weiteren Prozessschritten einzeln entfernt und durch funktionale Gatestrukturen ersetzt werden.
  • Aus der Druckschrift US 2002/0 135 030 A1 ist ein Verfahren zur Herstellung von einer Mehrzahl von MISFETs bekannt, die jeweils unabhängig eingestellte Schwellwertspannungen aufweisen. Hierzu werden zur Erzeugung geladener Defekte Metallionen in die Gatedielektrika eingefügt, ggf. durch Implantation.
  • Der Erfindung liegt daher die Aufgabe zugrunde, ein einfaches und verbessertes Verfahren zur Herstellung eines Halbleiterbauelements anzugeben, bei dem die Vt-Einstellbarkeit optimiert ist.
  • Diese Aufgabe wird durch die Maßnahmen des Patentanspruchs 1 gelöst.
  • Gemäß dem Verfahren zum Herstellen eines Halbleiterbauelements wird ein Werkstück bereitgestellt, wobei das Werkstück einen ersten Bereich und einen zweiten Bereich umfasst. Eine Hartmaske wird über dem ersten Bereich des Werkstücks ausgebildet und ein erstes Gatedielektrikummaterial wird über der Hartmaske und dem zweiten Bereich des Werkstücks abgeschieden. Ein erstes Gatematerial wird über dem ersten Gatedielektrikummaterial abgeschieden. Das erste Gatematerial, das erste Gatedielektrikummaterial und die Hartmaske werden über dem ersten Bereich des Werkstücks entfernt, wobei das erste Gatematerial und das erste Gatedielektrikummaterial über dem zweiten Bereich des Werkstücks befindlich übrig gelassen werden. Ein zweites Gatedielektrikummaterial mit einer ersten Isolationsschicht wird über dem ersten Bereich des Werkstücks und über dem ersten Gatematerial über dem zweiten Bereich des Werkstücks abgeschieden, wobei das zweite Gatedielektrikummaterial ein anderes Material als das erste Gatedielektrikummaterial umfasst. Ein Fermi-Pinning-Material wird in das zweite Gatedielektrikummaterial implantiert, wodurch eine zweite Isolationsschicht an der Oberseite des zweiten Gatedielektrikummaterials ausgebildet wird. Ein zweites Gatematerial wird über dem zweiten Gatedielektrikummaterial abgeschieden. Das zweite Gatematerial und das zweite Gatedielektrikummaterial werden oberhalb des zweiten Bereichs des Werkstücks entfernt, wobei das zweite Gatematerial und das zweite Gatedielektrikummaterial über dem ersten Bereich des Werkstücks übrig gelassen werden. Das erste Gatematerial, das zweite Gatematerial, das erste Gatedielektrikummaterial und das zweite Gatedielektrikummaterial werden strukturiert, wobei das erste Gatematerial ein erstes Gate eines ersten Transistors umfasst, wobei das erste Gatedielektrikummaterial ein erstes Gatedielektrikum des ersten Transistors umfasst, wobei das zweite Gatematerial ein zweites Gate eines zweiten Transistors umfasst und wobei das zweite Gatedielektrikummaterial ein zweites Gatedielektrikum des zweiten Transistors umfasst, wobei das Fermi-Pinning-Material ein Fermi-Pinning des zweiten Gatematerials herbeiführt.
  • In den Unteransprüchen sind weitere vorteilhafte Ausführungsbeispiele der Erfindung gekennzeichnet.
  • Die Erfindung wird nachstehend anhand von Ausführungsbeispielen unter Bezugnahme auf die Zeichnungen näher beschrieben, in denen:
  • 1 bis 9 Querschnittsansichten einer Halbleiterschaltung in verschiedenen Stadien der Herstellung zur Veranschaulichung von Aspekten des erfindungsgemäßen Verfahrens zeigen, bei dem eine CMOS-Schaltung einen PMOS-Transistor mit einem ersten Gatedielektrikummaterial und einen NMOS-Transistor mit einem zweiten Gatedielektrikummaterial umfasst, wobei das erste Gatedielektrikummaterial und das zweite Gatedielektrikummaterial verschiedene Materialien umfassen;
  • 10 ein Halbleiterbauelement, hergestellt durch ein Verfahren gemäß einem bevorzugten Ausführungsbeispiel der vorliegenden Erfindung, zeigt, bei dem das Gatedielektrikum des PMOS-Transistors eine erste Schicht und eine zweite Schicht umfasst, wobei die zweite Schicht der Gateelektrode des PMOS-Transistors benachbart ist und an sie angrenzt und wobei die zweite Schicht ein Fermi-Pinning-Material umfasst;
    Entsprechende Bezugszeichen und Symbole in den verschiedenen Figuren beziehen sich allgemein auf entsprechende Teile, sofern es nicht anders angegeben ist. Die Figuren sind zum klaren Veranschaulichen der relevanten Aspekte des bevorzugten Ausführungsbeispiels gezeichnet und sind nicht notwendigerweise maßstabsgetreu gezeichnet.
  • High-k-Gatedielektrika erzielen im Allgemeinen einen um Größenordnungen niedrigeren Gateleckstrom als SiO2-Gatedielektrika mit der gleichen effektiven Oxiddicke (EOT). Für Anwendungen mit niedriger Bereitschaftsleistung (LSTP) ist die Verwendung eines High-k-Materials für ein Dielektrikum eine potentielle Lösung im Fahrplan für die weiter entwickelten Technologieschwerpunkte. Die Verwendung von High-k-Materialien für Gatedielektrika in CMOS-Schaltungen hat zu einer guten ägivalenten Oxiddicke (EOT), niedrigeren Gateleckstrom-(Jg), Mobilitäts- und Hystereseparametern geführt, aber die Bauelemente leiden unter einem Mangel an Kontrollierbarkeit von Vt. Um High-k-Materialien als Gatedielektrika in CMOS-Anwendungen verwendbar zu machen, ist es wünschenswert, dass die CMOS-Schaltung derart hergestellt werden sollte, dass Vtn und Vtp symmetrisch sind; zum Beispiel Vtn = 0,3 V und Vtp = –0,3 V als Beispiele.
  • Versuche zum Verwenden eines High-k-Dielektrikummaterials wie beispielsweise HfO2 sind problematisch gewesen. Insbesondere sind Versuche unternommen worden HfO2, das ein High-k-Dielektrikummaterial mit einer Dielektrizitätskonstante von etwa 25 ist, als das Gatedielektrikum für sowohl die PMOS- als auch die NMOS-FETs einer CMOS-Schaltung zu verwenden. Es ist herausgefunden worden, dass die Austrittsarbeit eines ein HfO2-Gatedielektrikum verwendenden Polysiliziumgates infolge des Fermi-Pinning an einem Punkt nahe dem Leitungsband von Polysilizium festgeheftet ist, wodurch sogar das mit Dotierstoff des p-Typs dotierte Polysiliziumgate wie Polysilizium des N-Typs für das PMOS-Bauelement wirkt. Daher war die Schwellwertspannung Vtp des PMOS-Bauelements viel höher als erwartet; zum Beispiel war Vtp –1,2 V, während Vtn 0,4 V war, was sehr asymmetrisch ist. Es wird vermutet, dass der Fermi-Pinning-Effekt in Beziehung zu dem Hf-Si-Bond an der Gateelektrode-Gatedielektrikum-Schnittstelle steht, der mit einer Polysilizium-HfO2-Gatestapelstruktur beinahe unmöglich vermieden werden kann. Daher macht der Fermi-Pinning-Effekt bei CMOS-Schaltungen die Verwendung von Polysilizium als eine Gateelektrode inkompatibel mit auf Hf basierenden High-k-Gatedielektrikummaterialien. Gates aus voll silizidiertem Polysilizium (FUSI) haben ebenfalls Fermi-Pinning-Effekte gezeigt und sind nicht zur Verwendung als Gateelektrodenmaterialien wünschenswert, wenn ein High-k-Dielektrikum wie beispielsweise Hafnium für ein Gatedielektrikum verwendet wird.
  • Beispielsweise wird vorteilhafterweise eine dünne Schicht eines Fermi-Pinning-Materials wie beispielsweise Al2O3 einer Gateelektrode eines PMOS-Bauelements benachbart und an sie angrenzend angeordnet, die über einem High-k-Dielektrikummaterial wie beispielsweise HfO2 angeordnet ist, während eine einzelne Schicht aus High-k-Dielektrikummaterial als das Gatedielektrikum für das NMOS-Bauelement verwendet wird. Indem dies getan wird, kann Polysilizium oder FUSI als die Gateelektrode verwendet werden, während immer noch ein symmetrisches Vtp und Vtn für die CMOS-Schaltung erreicht wird. In dem PMOS-Abschnitt stellt eine Polysilizium-Al2O3-Schnittstelle die Austrittsarbeit in dem p-Typ-Regime ein, und in dem NMOS-Abschnitt stellt eine Polysilizium-Hf-Schnittstelle die Austrittsarbeit in dem n-Typ-Regime ein.
  • Die vorliegende Erfindung wird mit Bezug auf ein bevorzugtes Ausführungsbeispiel in einem spezifischen Zusammenhang, das heißt einem CMOS-Transistor, beschrieben. Beispielsweise kann die vorliegende Erfindung jedoch auch auf andere Halbleiterschaltungsanwendungen, bei denen zwei oder mehr Transistoren erforderlich sind, angewendet werden. Es ist zu beachten, dass in den gezeigten Zeichnungen nur ein PMOS-Bauelement und ein NMOS-Bauelement gezeigt sind; es können jedoch während jedes der dabei beschriebenen Herstellungsprozesse viele PMOS- und NMOS-Bauelemente ausgebildet werden.
  • Die 1 bis 9 zeigen Querschnittsansichten einer Halbleiterschaltung 100 in verschiedenen Stadien der Herstellung zur Veranschaulichung von Aspekten des erfindungsgemäßen Verfahrens. Nachstehend auf die 1 Bezug nehmend ist eine Halbleiterbauelement 100 einschließlich eines Werkstücks 102 in einer Querschnittsansicht gezeigt. Das Werkstück 102 kann ein Halbleitersubstrat enthalten, das zum Beispiel Silizium oder andere Halbleitermaterialien umfasst, die durch eine isolierende Schicht bzw. Isolationsschicht bedeckt sind. Das Werkstück 102 kann auch andere aktive Komponenten oder Schaltungen enthalten, die nicht gezeigt sind. Das Werkstück 102 kann zum Beispiel Siliziumoxid über einkristallinem Silizium enthalten. Das Werkstück 102 kann andere leitfähige Schichten oder andere Halbleiterelemente, zum Beispiel Transistoren, Dioden usw., enthalten. Verbundhalbleiter wie zum Beispiel GaAs, InP, Si/Ge oder SiC können anstelle von Silizium verwendet werden. Das Werkstück 102 kann auch ein Silizium-auf-Isolator-(SOI-)Substrat umfassen.
  • Das Werkstück 102 enthält einen ersten Bereich 104 und einen zweiten Bereich 106. Der erste Bereich 104 umfasst einen Bereich, in dem ein erster Transistor ausgebildet wird, der beispielsweise ein PMOS-Bauelement oder einen PMOSFET umfasst. Der zweite Bereich 106 umfasst einen Bereich, in dem ein zweiter Transistor ausgebildet wird, der beispielsweise ein NMOS-Bauelement oder einen NMOSFET umfasst. Das PMOS-Bauelement und das NMOS-Bauelement sind nicht in der 1 gezeigt: siehe die 8 und 9 bei 136 bzw. 138.
  • Der erste Bereich 104 und der zweite Bereich 106 können wie gezeigt durch einen in dem Werkstück 102 ausgebildeten optionalen Bereich einer Isolation durch einen flachen Graben (STI) 108 getrennt sein. Der erste Bereich 104 kann mit Dotierstoffen des N-Typs leicht dotiert sein, und der zweite Bereich 106 kann mit Dotierstoffen des P-Typs leicht dotiert sein, was ebenfalls gezeigt ist. Im Allgemeinen ist das Werkstück 102 abhängig davon, ob die pn-Übergänge des auszubildenden Transistors jeweils von dem P- oder N-Typ sein werden, mit Dotierstoffen des N- oder P-Typs dotiert.
  • Das Werkstück 102 wird vorzugsweise unter Verwendung eines Vor-Gate-Reinigungsprozesses gereinigt, um eine verschmutzende Substanz oder ein natürliches Oxid von der Oberseite des Werkstücks 102 zu entfernen. Die Vor-Gate-Behandlung kann beispielsweise eine auf HF, HCl oder Ozon basierende Reinigungsbehandlung umfassen, obwohl die Vor-Gate-Behandlung alternativ andere Chemikalien umfassen kann.
  • Eine Hartmaske 112 wird über dem Werkstück 102 abgeschieden, wie es in der 2 gezeigt ist. Die Hartmaske 112 umfasst vorzugsweise eine erste Schicht 114 und eine über der ersten Schicht 114 angeordnete zweite Schicht 116 wie gezeigt. Alternativ kann die Hartmaske 112 zum Beispiel eine einzelne Schicht aus einem Oxid- oder einem Nitridmaterial umfassen. Bei dem in der 2 gezeigten Beispiel umfasst die erste Schicht 114 der Hartmaske 112 vorzugsweise etwa 30 nm (Nanometer) eines Oxidmaterials wie beispielsweise Tetraethylorthosilikat (TEOS), obwohl die erste Schicht 114 alternativ zum Beispiel in anderen Dimensionen abgeschiedene andere isolierende Materialien bzw. Isolationsmaterialien umfassen kann. Die erste Schicht 114 kann beispielsweise durch eine plasmagestützte chemische Gasphasenabscheidung (PECVD) oder durch andere Abscheidungstechniken abgeschieden werden. Die zweite Schicht 116 umfasst vorzugsweise etwa 150 nm eines Nitridmaterials wie zum Beispiel SixNy, obwohl die zweite Schicht 116 alternativ zum Beispiel in anderen Dimensionen abgeschiedene andere Isolationsmaterialien umfassen kann. Die zweite Schicht 114 kann beispielsweise durch eine PECVD oder durch andere Abscheidungstechniken abgeschieden werden.
  • Eine erste Schicht aus Photoresist 118 wird über der zweiten Schicht 116 der Hartmaske 112 abgeschieden, wie es in der 2 gezeigt ist. Die erste Schicht aus Photoresist 118 kann unter Verwendung von herkömmlichen Lithographietechniken mit einer Maske strukturiert werden, obwohl die erste Schicht aus Photoresist 118 alternativ beispielsweise unter Verwendung einer Elektronenstrahllithographie (EBL) oder einer anderen Direktätztechnik direkt strukturiert werden kann.
  • Die erste Schicht aus Photoresist 118 wird zum Strukturieren zumindest der zweiten Schicht 116 der Hartmaske 112 verwendet, wie es in der 3 gezeigt ist. Zum Beispiel können unbedeckte Abschnitte der zweiten Schicht 116 in dem zweiten Bereich 106 unter Verwendung der über dem ersten Bereich 104 verbleibenden ersten Schicht aus Photoresist 118 als eine Maske geätzt werden. Der Ätzprozess kann derart gestaltet sein, dass er anhält, wenn die erste Schicht 114 der Hartmaske 112 erreicht wird. Die erste Schicht aus Photoresist 118 wird daraufhin gestrippt bzw. abgelöst oder entfernt, und die zweite Schicht 116 wird daraufhin als eine Maske zum Strukturieren der ersten Schicht 114 verwendet. Alternativ kann die erste Schicht aus Photoresist 118 zum Beispiel als eine Maske zum Ätzen sowohl der zweiten Schicht 116 als auch der ersten Schicht 114 der Hartmaske 112 verwendet werden. Die erste Schicht aus Photoresist 118 wird daraufhin gestrippt, wie es in der 3 gezeigt ist.
  • Ein erstes Gatedielektrikummaterial 120 wird über der strukturierten Hartmaske 112 und unbedeckten Abschnitten des Werkstücks 102 abgeschieden, wie es in der 3 gezeigt ist. Beispielsweise umfasst das erste Gatedielektrikummaterial 120 vorzugsweise ein High-k-Dielektrikummaterial, das eine Dielektrizitätskonstante von etwa 4,0 oder mehr hat. Das erste Gatedielektrikummaterial 120 umfasst zum Beispiel vorzugsweise HfO2, HfSiOx, Al2O3, ZrO2, ZrSiOx, Ta2O5, La2O3, SiO2, Nitride davon, SixNy, SiON oder Kombinationen davon, obwohl das erste Gatedielektrikummaterial 120 alternativ andere High-k-Isolationsmaterialien oder andere Dielektrikummaterialien umfassen kann. Das erste Gatedielektrikummaterial 120 kann eine einzelne Schicht von Material umfassen, oder das erste Gatedielektrikummaterial 120 kann alternativ zwei oder mehr Schichten umfassen. Beispielsweise können ein Material oder mehrere Materialien dieser Materialien in verschiedenen Kombinationen oder in gestapelten Schichten in dem ersten Gatedielektrikummaterial 120 enthalten sein. Das erste Gatedielektrikummaterial 120 kann beispielsweise durch eine chemische Gasphasenabscheidung (CVD), eine Atomschichtabscheidung (ALD), eine metallorganische Gasphasenabscheidung (MOCVD), eine physikalische Gasphasenabscheidung (PVD) oder eine Düsengasphasenabscheidung (JVD) abgeschieden werden, obwohl das erste Gatedielektrikummaterial 120 alternativ unter Verwendung von anderen geeigneten Abscheidungstechniken abgeschieden werden kann. Beispielsweise umfasst das erste Gatedielektrikummaterial 120 vorzugsweise eine Dicke von etwa 1 nm bis etwa 6 nm, obwohl das erste Gatedielektrikummaterial 120 alternativ andere Dimensionen wie beispielsweise etwa 8 nm oder weniger als ein Beispiel umfassen kann.
  • Ein erstes Gatematerial 122 wird über dem ersten Gatedielektrikummaterial 120 abgeschieden, wie es ebenfalls in der 3 gezeigt ist. Das erste Gatematerial 122 umfasst vorzugsweise einen Leiter wie beispielsweise ein Metall oder Polysilizium, obwohl alternativ andere leitfähige und halbleitfähige Materialien für das erste Gatematerial 122 verwendet werden können. Bei dem in den 19 gezeigten Beispiel umfasst das erste Gatematerial 122 vorzugsweise Polysilizium oder andere Halbleitermaterialien. Das erste Gatematerial 122 kann jedoch alternativ beispielsweise TiN, HfN, TaN, W, Al, Ru, RuTa, TaSiN, NiSix, CoSix, TiSix, Ir, Y, Pt, Ti, PtTi, Pd, Re, Rh, Boride von Ti, Phosphide von Ti oder Antimonide von Ti, Hf, Zr, TiAlN, Mo, MoN, ZrSiN, ZrN, HfN, HfSiN, WN, Ni, Pr, VN, TiW, ein voll silizidiertes Gatematerial (FUSI), andere Metalle und/oder Kombinationen davon umfassen. Falls das Gatematerial 122 zum Beispiel FUSI umfasst, kann Polysilizium über dem Gatedielektrikummaterial 120 abgeschieden werden, und ein Metall wie beispielsweise Nickel kann über dem Polysilizium abgeschieden werden, obwohl andere Metalle verwendet werden können. Das Werkstück 102 kann daraufhin auf etwa 600 oder 700 Grad Celsius erhitzt werden, um eine einzelne Schicht aus Nickelsilizid auszubilden.
  • Das erste Gatematerial 122 kann eine Vielzahl von gestapelten Gatematerialien wie beispielsweise eine Metallunterschicht mit einer über der Metallunterschicht angeordneten Polysiliziumdeckschicht oder eine Kombination einer Vielzahl von Metallschichten, die einen Gateelektrodenstapel bilden, umfassen. Das erste Gatematerial 122 kann beispielsweise unter Verwendung einer CVD, einer PVD, einer ALD oder von anderen Abscheidungstechniken abgeschieden werden. Das erste Gatematerial 122 umfasst vorzugsweise eine Dicke von etwa 150 nm, obwohl das erste. Gatematerial 122 alternativ zum Beispiel etwa 100 nm bis etwa 200 nm oder andere Dimensionen umfassen kann.
  • Falls das erste Gatematerial 122, wie beispielsweise in den 19 gezeigt, ein halbleitendes Material umfasst, wird das erste Gatematerial 122 vorzugsweise durch ein Dotieren des ersten Gatematerials 122 mit Dotierstoffen des N-Typs wie zum Beispiel Phosphor oder Antimon N-dotiert. Das Dotieren des ersten Gatematerials 122 macht das halbleitende Material leitfähig oder leitfähiger.
  • Eine zweite Schicht aus Photoresist 124 wird über dem ersten Gatematerial 122 abgeschieden, wie es in der 3 gezeigt ist. Die zweite Schicht aus Photoresist 124 kann unter Verwendung einer Maske und unter Verwendung von herkömmlichen Lithographietechniken strukturiert werden, um die zweite Schicht aus Photoresist 124 oberhalb des ersten Bereichs 104 des Werkstücks 102 zu entfernen wie gezeigt, obwohl die zweite Schicht aus Photoresist 124 alternativ direkt strukturiert werden kann.
  • Die zweite Schicht aus Photoresist 124 wird als eine Maske zum Strukturieren des ersten Gatematerials 122 und des ersten Gatedielektrikummaterials 120 sowie zum Entfernen der Hartmaske 112 aus dem ersten Bereich 104 des Werkstücks 102 verwendet, wie es in der 4 gezeigt ist. Unbedeckte Abschnitte des ersten Gatematerials 122, des ersten Gatedielektrikummaterials 120 und der Hartmaske 112 können zum Beispiel unter Verwendung der zweiten Schicht aus Photoresist 124 als eine Maske aus dem ersten Bereich 104 des Werkstücks 102 weggeätzt werden. Die zweite Schicht aus Photoresist 124 wird daraufhin oberhalb dem zweiten Bereich 106 des Werkstücks 102 gestrippt oder entfernt. Irgendwelches überschüssiges erstes Gatematerial 122 und erstes Gatedielektrikummaterial 120 kann zum Beispiel unter Verwendung eines Prozesses des chemisch-mechanischen Polierens (CMP) oder eines Ätzprozesses oberhalb des der Schnittstelle des ersten Bereichs 104 und des zweiten Bereichs 106 nahe liegenden optionalen STI-Bereichs 108 entfernt werden, wobei die in der 4 gezeigte Struktur übrig gelassen wird. Die unbedeckte Oberfläche des Werkstücks 102 kann unter Verwendung eines Vor-Gate-Reinigungsprozesses gereinigt werden.
  • Als Nächstes wird ein zweites Gatedielektrikummaterial 126 über unbedeckten Abschnitten des Werkstücks 102 in dem ersten Bereich 104 und über dem strukturierten ersten Gatematerial 122 und ersten Gatedielektrikummaterial 120 in dem zweiten Bereich 106 abgeschieden, wie es in der 5 gezeigt ist. Beispielsweise umfasst das zweite Gatedielektrikummaterial 126 vorzugsweise ein anderes Material als das erste Gatedielektrikummaterial 120. Beispielsweise umfasst das zweite Gatedielektrikummaterial vorzugsweise ein High-k-Dielektrikummaterial mit einer Dielektrizitätskonstante von etwa 4,0 oder mehr. Das zweite Gatedielektrikummaterial 126 umfasst zum Beispiel vorzugsweise HfO2, HfSiOx, Al2O3, ZrO2, ZrSiOx, Ta2O5, La2O3, Nitride davon, SixNy, SiON, SiO2 oder Kombinationen davon, obwohl das zweite Gatedielektrikummaterial 126 alternativ andere High-k-Isolationsmaterialien oder andere Dielektrikummaterialien umfassen kann.
  • Das zweite Gatedielektrikummaterial 126 kann eine einzelne Schicht von Material umfassen, oder das zweite Gatedielektrikummaterial 126 kann alternativ zwei oder mehr Schichten umfassen, wobei die oberste Schicht ein Fermi-Pinning-Material umfasst, das dabei unter Bezugnahme auf die 10 weiter beschrieben wird. Beispielsweise können ein Material oder mehrere Materialien dieser Materialien in verschiedenen Kombinationen oder in gestapelten Schichten in dem zweiten Gatedielektrikummaterial 126 enthalten sein. Das zweite Gatedielektrikummaterial 126 kann beispielsweise durch eine CVD, eine ALD, eine MOCVD, eine PVD oder eine JVD abgeschieden werden, obwohl das zweite Gatedielektrikummaterial 126 alternativ unter Verwendung von anderen geeigneten Abscheidungstechniken abgeschieden werden kann. Beispielsweise umfasst das zweite Gatedielektrikummaterial 126 vorzugsweise eine Dicke von etwa 1 nm bis etwa 6 nm, obwohl das zweite Gatedielektrikummaterial 126 alternativ andere Dimensionen wie beispielsweise etwa 8 nm oder weniger als ein Beispiel umfassen kann. Das zweite Gatedielektrikummaterial 126 umfasst vorzugsweise ein Fermi-Pinning-Material wie beispielsweise ein Aluminium enthaltendes Material, das an der Oberseite davon angeordnet ist.
  • Als Nächstes wird ein zweites Gatematerial 128 über dem zweiten Gatedielektrikummaterial 126 abgeschieden, wie es ebenfalls in der 5 gezeigt ist. Das zweite Gatematerial 128 umfasst vorzugsweise einen Leiter wie beispielsweise ein Metall oder Polysilizium, obwohl alternativ andere leitfähige und halbleitfähige Materialien für das zweite Gatematerial 128 verwendet werden können. Bei dem in der 19 gezeigten Beispiel umfasst das zweite Gatematerial 128 vorzugsweise Polysilizium oder andere Halbleitermaterialien. Das zweite Gatematerial 128 kann jedoch alternativ beispielsweise TiN, HfN, TaN, W, Al, Ru, RuTa, TaSiN, NiSix, CoSix, TiSix, Ir, Y, Pt, Ti, PtTi, Pd, Re, Rh, Boride von Ti, Phosphide von Ti oder Antimonide von Ti, Hf, Zr, TiAlN, Mo, MoN, ZrSiN, ZrN, HfN, HfSiN, WN, Ni, Pr, VN, TiW, ein voll silizidiertes Gatematerial (FUSI), andere Metalle und/oder Kombinationen davon umfassen. Das zweite Gatematerial 128 kann eine Vielzahl von gestapelten Gatematerialien wie beispielsweise eine Metallunterschicht mit einer über der Metallunterschicht angeordneten Polysiliziumdeckschicht oder eine Kombination einer Vielzahl von Metallschichten, die einen Gateelektrodenstapel bilden, umfassen. Das zweite Gatematerial 128 kann beispielsweise unter Verwendung einer CVD, einer PVD, einer ALD oder von anderen Abscheidungstechniken abgeschieden werden. Das zweite Gatematerial 128 umfasst vorzugsweise eine Dicke von etwa 150 nm, obwohl das zweite Gatematerial 128 alternativ zum Beispiel etwa 100 nm bis etwa 200 nm oder andere Dimensionen umfassen kann. Das zweite Gatematerial 128 kann das gleiche Material wie das erste Gatematerial 122 umfassen, oder das zweite Gatematerial 128 kann alternativ zum Beispiel ein anderes Material als das erste Gatematerial 122 umfassen.
  • Falls das zweite Gatematerial 128, wie beispielsweise in den 19 gezeigt, ein halbleitendes Material umfasst, wird das zweite Gatematerial 128 durch ein Dotieren des zweiten Materials 128 mit einem Dotierstoff des P-Typs wie beispielsweise Bor als ein Beispiel P-dotiert. Das Dotieren des zweiten Gatematerials 128 macht das halbleitende Material leitfähig oder leitfähiger.
  • Eine dritte Schicht aus Photoresist 130 wird über dem zweiten Gatematerial 128 abgeschieden, wie es in der 5 gezeigt ist. Die dritte Schicht aus Photoresist 130 kann unter Verwendung einer Maske durch herkömmliche Lithographietechniken strukturiert werden, um die dritte Schicht aus Photoresist 130 wie gezeigt aus dem zweiten Bereich 106 des Werkstücks 102 zu entfernen, obwohl die dritte Schicht aus Photoresist 130 alternativ direkt strukturiert werden kann.
  • Die dritte Schicht aus Photoresist 130 wird daraufhin als eine Maske zum Strukturieren des zweiten Gatematerials 128 und des zweiten Gatedielektrikummaterials 126 verwendet, wie es in der 6 gezeigt ist. Es können zum Beispiel unbedeckte Abschnitte des zweiten Gatematerials 128 und des zweiten Gatedielektrikummaterials 126 unter Verwendung der dritten Schicht aus Photoresist 130 als eine Maske aus dem zweiten Bereich 106 des Werkstücks 102 weggeätzt werden. Die dritte Schicht aus Photoresist 130 wird daraufhin oberhalb dem ersten Bereich 104 des Werkstücks 102 gestrippt oder entfernt.
  • Irgendwelches überschüssiges zweites Gatematerial 128 und zweites Gatedielektrikummaterial 126 (zum Beispiel wie bei einer Spitze 132 gezeigt) kann zum Beispiel (nicht gezeigt) unter Verwendung eines chemisch-mechanischen Polierprozesses (CMP) oder eines Ätzprozesses oberhalb des der Schnittstelle des ersten Bereichs 104 und des zweiten Bereichs 106 nahe liegenden optionalen STI-Bereichs 108 entfernt werden, wobei die in der 7 gezeigte Struktur übrig gelassen wird.
  • Das erste Gatematerial 122, das erste Gatedielektrikummaterial 120, das zweite Gatematerial 128 und das zweite Gatedielektrikummaterial 126 werden vorzugsweise unter Verwendung eines einzelnen Lithographieschritts, zum Beispiel unter Verwendung einer einzelnen Schicht aus Photoresist und unter Verwendung einer einzelnen Maske zum Strukturieren des Photoresists, gleichzeitig mit einem gewünschten Muster für eine CMOS-Schaltung strukturiert, wobei die in der 8 gezeigte Struktur übrig gelassen wird, wobei ein PMOS-Transistor 136 in dem ersten Bereich 104 ausgebildet wird und ein NMOS-Transistor 138 in dem zweiten Bereich 106 ausgebildet wird.
  • Wieder mit Bezug auf die 7 ist es zu beachten, dass während ein vertikaler Abschnitt 160 des zweiten Gatedielektrikummaterials 126, der an der Seitenwand des ersten Gatematerials 122 ausgebildet ist, in der in der 7 gezeigten Struktur verbleibend übrig gelassen wird, dies nicht problematisch ist, da der Abschnitt 160 weggeätzt oder entfernt wird, wenn die PMOS- und NMOS-Transistoren 136 und 138 ausgebildet werden, wie es in der 8 gezeigt ist.
  • Die Herstellung der CMOS-Schaltung 100 wird daraufhin fortgesetzt, um die Fertigung der CMOS-Schaltung 100 abzuschließen. Es können zum Beispiel Abstandshalter 134 an den Seitenwänden der Gateelektrodenmaterialien 128 und 122 und an den Seitenwänden der Gatedielektrikummaterialien 126 und 120 ausgebildet werden, wobei die in der 9 gezeigte Struktur ausgebildet wird. Source- und Drainbereiche S1 und D1 sowie S2 und D2 können in unbedeckten Oberflächen des PMOS-Transistors 136 bzw. des NMOS-Transistors 138 ausgebildet werden. Die Source- und Drainbereiche S1 und D1 können zum Beispiel mit Dotierstoffen des P-Typs dotiert werden, um p-n-p-Übergänge in dem PMOS-Transistor 136 auszubilden. Desgleichen können die Source- und Drainbereiche S2 und D2 mit Dotierstoffen des N-Typs dotiert werden, um n-p-n-Übergänge in dem NMOS-Transistor 138 auszubilden.
  • Ein Isolationsmaterial oder mehrere Isolationsmaterialien (nicht gezeigt) können über dem PMOS-Transistor 136 und dem NMOS-Transistor 138 abgeschieden werden, und Kontakte können in den Isolationsmaterialien ausgebildet werden, um einen elektrischen Kontakt mit den Gates, den Sources und/oder den Drains auszubilden. Zusätzliche Metallisierungs- und Isolationsschichten können über der Oberseite des Isolationsmaterials und Kontakten ausgebildet und strukturiert werden. Eine Passivierungsschicht (nicht gezeigt) kann über den Isolationsschichten oder dem PMOS-Transistor 136 und dem NMOS-Transistor 138 abgeschieden werden. Bond-Pads (ebenfalls nicht gezeigt) können über Kontakten ausgebildet werden, und die Halbleiterschaltung 100 kann daraufhin vereinzelt oder in einzelne Plättchen (Dies) getrennt werden. Die Bond-Pads können daraufhin zum Beispiel mit Zuführungen eines Pakets einer integrierten Schaltung (nicht gezeigt) oder eines anderen Dies verbunden werden, um einen elektrischen Kontakt mit den Transistoren 136 und 138 der Halbleiterschaltung 100 bereitzustellen.
  • Somit wird eine einen PMOS-Transistor 136 und einen NMOS-Transistor 138 umfassende neue Halbleiter-CMOS-Schaltung 100 ausgebildet, wie es in der 9 gezeigt ist, wobei das Gatedielektrikum GD1 des PMOS-Transistors 136 ein von dem Material des Gatedielektrikums GD2 des NMOS-Transistors 138 verschiedenes Material umfasst. Das Gatedielektrikum GD1 des PMOS-Transistors 136 umfasst vorzugsweise ein an das Gate G1 angrenzendes Fermi-Pinning-Material. Der PMOS-Transistor 136 enthält eine Source S1 und ein Drain D1, die durch einen ersten Kanalbereich C1 getrennt sind. Ein Gatedielektrikum GD1 ist über dem ersten Kanalbereich C1 angeordnet, und ein Gate G1 ist über dem Gatedielektrikum GD1 angeordnet. Der NMOS-Transistor 138 enthält eine Source S2 und ein Drain D2, die durch einen Kanalbereich C2 getrennt sind. Ein Gatedielektrikum GD2 ist über dem Kanalbereich C2 angeordnet, und ein Gate G2 ist über dem Gatedielektrikum GD2 angeordnet. Ein beispielsweise ein Oxid oder ein Nitrid umfassender Abstandshalter 134 kann wie gezeigt an den Seitenwänden der Gates G1 und G2 sowie der Gatedielektrika GD1 und GD2 ausgebildet werden.
  • Beispielsweise können entweder die Gate- und Gatedielektrikummaterialien für den PMOS-Transistor 136 oder den NMOS-Transistor 138 zuerst abgeschieden werden. Bei dem dabei beschriebenen Beispiel werden zum Beispiel die Gatedielektrikum- und Gatematerialien des NMOS-Transistors 138 zuerst abgeschieden. Alternativ können die Gatedielektrikum- und Gatematerialien des PMOS-Transistors 136 zuerst abgeschieden werden.
  • Ein Ausführungsbeispiel der vorliegenden Erfindung ist in der 10 gezeigt. Es sind gleiche Bezugszeichen für die verschiedenen Elemente verwendet, die in den 1 bis 9 beschrieben wurden. Zum Vermeiden einer Wiederholung ist dabei nicht jedes in der 10 gezeigte Bezugszeichen wieder ausführlich beschrieben. Vielmehr werden vorzugsweise ähnliche Materialien x02, x04, x06, x08 usw. ... für die gezeigten verschiedenen Materialschichten verwendet, wie sie für die 1 bis 9 beschrieben wurden, wobei in den 1 bis 9 x = 1 und in der 10 x = 2. Als ein Beispiel werden die in der Beschreibung für die 1 bis 9 beschriebenen bevorzugten und alternativen Materialien und Dimensionen für die ersten und zweiten Gatedielektrikummaterialien 120 und 126 (GD2 bzw. GD1) vorzugsweise auch für die Gatedielektrikummaterialien GD1 und GD2 gemäß der 10 verwendet.
  • Bei diesem Ausführungsbeispiel ist das PMOS-Bauelement 236 auf der rechten Seite der Figur gezeigt, und das NMOS-Bauelement 238 ist auf der linken Seite gezeigt. Bei diesem Ausführungsbeispiel umfasst das Gatedielektrikum GD1 zumindest zwei Isolationsschichten: eine erste Isolationsschicht 250 und eine über der ersten Isolationsschicht 250 angeordnete zweite Isolationsschicht 252. Die erste Isolationsschicht 250 umfasst vorzugsweise ein High-k-Dielektrikummaterial und kann beispielsweise HfO2, HfSiOx, ZrO2, ZrSiOx, Ta2O5, La2O3, Nitride davon, SixNy, SiON, SiO2 oder Kombinationen davon umfassen, obwohl die erste Isolationsschicht 250 alternativ andere High-k-Isolationsmaterialien oder andere Dielektrikummaterialien umfassen kann. Die erste Isolationsschicht 250 umfasst zum Beispiel vorzugsweise eine Dicke von etwa 8 nm oder weniger. Die zweite Isolationsschicht 252 umfasst vorzugsweise etwa 1 bis 6 nm eines Fermi-Pinning-Materials. Die zweite Isolationsschicht 252 umfasst zum Beispiel vorzugsweise ein Aluminium enthaltendes Material wie beispielsweise Aluminiumoxid (AlxOy oder Al2O3) oder Nitride davon wie beispielsweise AlxOyN1-x-y als Beispielen, obwohl die zweite Isolationsschicht 252 alternativ andere Materialien umfassen kann, die ein Fermi-Pinning des Gatedielektrikums GD1 bei der Gateelektrode G1 des PMOS-Bauelements 236 herbeiführen. Die zweite Isolationsschicht 252 kann abgeschieden werden oder kann ausgebildet werden, indem zum Beispiel ein Fermi-Pinning-Material wie beispielsweise Aluminium implantiert wird.
  • Dieses Ausführungsbeispiel zeigt auch andere optionale Elemente, die in der CMOS-Schaltung 200 enthalten sein können. Bevor Abstandshalter 234 über den Seitenwänden der Gatedielektrika GD1 und GD2 sowie der Gates G1 und G2 ausgebildet werden, kann wie gezeigt ein optionaler dünner Isolator 248 über der Oberseite der Sources S1 und S2 sowie der Drains D1 und D2 und den Seitenwänden der Gatedielektrika GD1 und GD2 sowie der Gates G1 und G2 ausgebildet werden. Die Abstandshalter 234 werden daraufhin über dem dünnen Isolator 248 ausgebildet. Der dünne Isolator 248 kann ein Oxid umfassen, und die Abstandshalter 234 können ein Nitrid umfassen, obwohl alternativ zum Beispiel andere Materialien für den dünnen Isolator 248 und die Abstandshalter 234 verwendet werden können.
  • Die Sources S1 und S2 oder die Drains D1 und D2 oder die Gates G1 und G2 können ein an der Oberseite davon ausgebildetes optionales Silizidmaterial 244 bzw. 246 (auf das häufig als ein Salizid Bezug genommen wird, da die Ausbildung des Silizids selbstausrichtend sein kann) enthalten. Das Silizid 244 und 246 kann etwa 10 nm bis 30 nm von TiSix, CoSix oder NiSix umfassen, obwohl das Silizid 244 und 246 alternativ beispielsweise andere Materialien und Dicken umfassen kann. Die Sources S1 und S2 sowie die Drains D1 und D2 können wie gezeigt gleich dotierte Gebiete und tiefere Implantierungsbereiche enthalten.
  • Die in den 9 und 10 gezeigte CMOS-Schaltung hat verschiedene Gatedielektrikummaterialien und ein symmetrisches Vt für einen PMOS-Transistor und einen NMOS-Transistor.
  • Vorteilhafterweise schließt das Ausführungsbeispiel der Erfindung ein Verfahren zum Fertigen einer CMOS-Schaltung 200 ein, wobei der PMOS-Transistor 236 und der NMOS-Transistor 238 ein im Wesentlichen symmetrisches Vt haben. Zum Beispiel kann Vt etwa +0,2 bis +5 V betragen, und Vtn kann im Wesentlichen den gleichen negativen Wert betragen, zum Beispiel etwa –0,2 bis –5 V. Die Schwellspannungen Vt können alternativ zum Beispiel andere Spannungspegel umfassen. Unter Verwendung eines verschiedenen Dielektrikummaterials GD1 und GD2 für den PMOS-Transistor 136/236 bzw. den NMOS-Transistor 138/238 wird eine Austrittsarbeitssymmetrie erreicht. Die Schwellspannung Vt wird im Vergleich zu CMOS-Schaltungen gemäß dem Stand der Technik herabgesetzt, und die Flachbandspannung ist leichter abzustimmen. Ausführungsbeispiele der Erfindung können High-k-Dielektrikummaterialien als das Gatedielektrikum GD1/GD2 nutzen, wobei Polysilizium-, Metall- oder FUSI-Gateelektroden G1/G2 verwendet werden. Die Metallgateelektroden G1/G2 können entweder ein einzelnes Metall oder Metalle mit doppelter Austrittsarbeit umfassen, zum Beispiel kann die Gateelektrode G1/G2 für die PMOS- und NMOS-Transistoren aus dem gleichen Material oder verschiedenen Materialien sein. Beispielsweise wird, wenn die oberste Schicht des Gatedielektrikums des PMOS-Transistors 136/236 ein Aluminium enthaltendes Material umfasst, die Tatsache, dass Si-Al an dem p-Typ haftet und Si-Hf an dem n-Typ haftet, genutzt, um eher einen Vorteil aus dem Fermi-Pinning-Effekt zu ziehen als zu versuchen, den Fermi-Pinning-Effekt zu lösen oder ihn zu umgehen, indem das Material der Gateelektrode geändert wird.

Claims (15)

  1. Verfahren zum Herstellen eines Halbleiterbauelements mit den Schritten: Bereitstellen eines Werkstücks (102; 202), wobei das Werkstück einen ersten Bereich (104; 204) und einen zweiten Bereich (106; 206) umfasst; Ausbilden einer Hartmaske (112) über dem ersten Bereich (104; 204) des Werkstücks (102; 202); Abscheiden eines ersten Gatedielektrikummaterials (120) über der Hartmaske (112) und dem zweiten Bereich (106; 206) des Werkstücks (102; 202); Abscheiden eines ersten Gatematerials (122) über dem ersten Gatedielektrikummaterial (120); Entfernen des ersten Gatematerials (122), des ersten Gatedielektrikummaterials (120) und der Hartmaske (112) über dem ersten Bereich (104; 204) des Werkstücks, wobei das erste Gatematerial (122) und das erste Gatedielektrikummaterial (120) über dem zweiten Bereich (106; 206) des Werkstücks (102, 202) befindlich übrig gelassen werden; Abscheiden eines zweiten Gatedielektrikummaterials (126) mit einer ersten Isolationsschicht (250) über dem ersten Bereich (104; 204) des Werkstücks (102; 202) und über dem ersten Gatematerial (122) über dem zweiten Bereich (106; 206) des Werkstücks (102; 202), wobei das zweite Gatedielektrikummaterial (126) ein anderes Material als das erste Gatedielektrikummaterial (120) umfasst; Implantieren eines Fermi-Pinning-Materials in das zweite Gatedielektrikummaterial (126), wodurch eine zweite Isolationsschicht (252) an der Oberseite des zweiten Gatedielektrikummaterials ausgebildet wird; Abscheiden eines zweiten Gatematerials (128) über dem zweiten Gatedielektrikummaterial (126); Entfernen des zweiten Gatematerials (128) und des zweiten Gatedielektrikummaterials oberhalb des zweiten Bereichs (106; 206) des Werkstücks (102; 202), wobei das zweite Gatematerial (128) und das zweite Gatedielektrikummaterial (126) über dem ersten Bereich (104; 204) des Werkstücks (102; 202) übrig gelassen werden; Strukturieren des ersten Gatematerials (122), des zweiten Gatematerials (128), des ersten Gatedielektrikummaterials (120) und des zweiten Gatedielektrikummaterials (126), wobei das erste Gatematerial (122) ein erstes Gate (G2) eines ersten Transistors (238) umfasst, wobei das erste Gatedielektrikummaterial (120) ein erstes Gatedielektrikum (GD2) des ersten Transistors (238) umfasst, wobei das zweite Gatematerial (128) ein zweites Gate (G1) eines zweiten Transistors (236) umfasst und wobei das zweite Gatedielektrikummaterial (126) ein zweites Gatedielektrikum (GD1) des zweiten Transistors (236) umfasst, wobei das Fermi-Pinning-Material ein Fermi-Pinning des zweiten Gatematerials (128) herbeiführt.
  2. Verfahren nach Anspruch 1, wobei das Ausbilden der Hartmaske (112) die Schritte umfasst: Abscheiden einer ersten Isolations-Hartmaskenschicht (114) über dem Werkstück (102; 202); Abscheiden einer zweiten Isolations-Hartmaskenschicht (116) über der ersten Isolations-Hartmaskenschicht (114); Abscheiden eines Photoresists (118) über der zweiten Isolations-Hartmaskenschicht (116); Entfernen des Photoresists (118) oberhalb des zweiten Bereichs (106; 206) des Werkstücks (102; 202); Entfernen der zweiten Isolations-Hartmaskenschicht (116) und der ersten Isolations-Hartmaskenschicht von dem zweiten Bereich (106; 206) unter Verwendung des Photoresists (118) als eine Maske; und Strippen des Photoresists (118).
  3. Verfahren nach Anspruch 2, wobei das Abscheiden der ersten Isolations-Hartmaskenschicht (114) ein Abscheiden von etwa 30 nm von Tetraethoxysilan umfasst und wobei das Abscheiden der zweiten Isolations-Hartmaskenschicht (116) ein Abscheiden von etwa 150 nm von Siliziumnitrid umfasst.
  4. Verfahren nach einem der Ansprüche 1 bis 3, wobei das Entfernen des ersten Gatematerials (122), des ersten Gatedielektrikummaterials (120) und der Hartmaske (112) oberhalb des ersten Bereichs (104; 204) des Werkstücks (102; 202) die Schritte umfasst: Abscheiden eines ersten Photoresists (124) über dem ersten Gatematerial (122), Entfernen des ersten Photoresists (124) oberhalb des ersten Bereichs (104; 204) des Werkstücks (102; 202), Ätzen des ersten Gatematerials (122), des ersten Gatedielektrikummaterials (120) und der Hartmaske (112) von dem ersten Bereich (104; 204) unter Verwendung des ersten Photoresists (124) als eine Maske und Entfernen des ersten Photoresists (124); und wobei das Entfernen des zweiten Gatematerials (128) und des zweiten Gatedielektrikummaterials (126) oberhalb des zweiten Bereichs des Werkstücks (102; 202) die Schritte umfasst: Abscheiden eines zweiten Photoresists (130) über dem zweiten Gatematerial (128), Entfernen des zweiten Photoresists (130) oberhalb des zweiten Bereichs (106; 206) des Werkstücks (102; 202), Ätzen des zweiten Gatematerials (128) und des zweiten Gatedielektrikummaterials (126) von dem zweiten Bereich (106; 206) unter Verwendung des zweiten Photoresists (130) als eine Maske und Entfernen des zweiten Photoresists (130).
  5. Verfahren nach einem der Ansprüche 1 bis 4, wobei das Verfahren ferner die Schritte umfasst: Ausbilden einer ersten Source (S2) und eines ersten Drains (D2), die dem ersten Gate (G2) und dem ersten Gatedielektrikum (GD2) des ersten Transistors (238) nahe liegen, und Ausbilden einer zweiten Source (S1) und eines zweiten Drains (D1), die dem zweiten Gate (G1) und dem zweiten Gatedielektrikum (GD1) des zweiten Transistors (236) nahe liegen, und Ausbilden einer Silizidschicht (244, 246) über der ersten Source (S2), dem ersten Drain (D2) oder dem ersten Gate (G2) des ersten Transistors (238) oder über der zweiten Source (S1), dem zweiten Drain (D1) oder dem zweiten Gate (G1) des zweiten Transistors (236).
  6. Verfahren nach Anspruch 5, wobei der erste Bereich (104; 204) des Werkstücks (102; 202) nahe dem zweiten Bereich (106; 206) des Werkstücks (102; 202) liegt, wobei das Halbleiterbauelement (200) eine Komplementär-Metall-Oxid-Halbleiterschaltung umfasst, wobei der erste Transistor (238) ein n-Kanal-Metall-Oxid-Halbleiter-Transistor-Bauelement umfasst und wobei der zweite Transistor (236) ein p-Kanal-Metall-Oxid-Halbleiter-Transistor-Bauelement umfasst, wobei der erste Transistor (238) und der zweite Transistor (236) symmetrische Schwellspannungen Vt haben.
  7. Verfahren nach Anspruch 6, wobei das Verfahren ferner die Schritte umfasst: leichtes Dotieren des ersten Bereichs (104; 204) mit einem N-Dotierstoff und leichtes Dotieren des zweiten Bereichs (106; 206) mit einem P-Dotierstoff, wobei das Ausbilden der ersten Source (S2) und des ersten Drains (S2) des ersten Transistors (238) ein Dotierendes zweiten Bereichs (106; 206) des Werkstücks (102; 202) mit einem N-Dotierstoff umfasst und wobei das Ausbilden der zweiten Source (S1) und des zweiten Drains < D1) des zweiten Transistors (236) ein Dotieren des ersten Bereichs (104; 204) des Werkstücks (102; 202) mit einem P-Dotierstoff umfasst.
  8. Verfahren nach einem der Ansprüche 1 bis 7, wobei das Implantieren des Fermi-Pinning-Materials ein Implantieren von Aluminium ist.
  9. Verfahren nach einem der Ansprüche 1 bis 8, wobei der erste Transistor (238) einen NMOS-Transistor umfasst und der zweite Transistor (236) einen PMOS-Transistor umfasst, wobei das Abscheiden der ersten Isolationsschicht (250) ein Abscheiden eines High-k-Dielektrikummaterials umfasst.
  10. Verfahren nach einem der Ansprüche 1 bis 9, wobei das Ausbilden der zweiten Isolationsschicht (252) ein Ausbilden von AlxOy oder AlxOyN1-x-y umfasst.
  11. Verfahren nach einem der Ansprüche 1 bis 10, wobei das Ausbilden der zweiten Isolationsschicht (252) ein Ausbilden einer Schicht, die eine Dicke von 1 bis 6 nm hat, umfasst.
  12. Verfahren nach einem der Ansprüche 1 bis 11, wobei das Abscheiden der ersten Isolationsschicht (250) ein Abscheiden von HfO2, HfSiOx, ZrO2, ZrSiOx, Ta2O5, La2O3, Nitriden davon, SixNy, SiON, SiO2 oder Kombinationen davon umfasst.
  13. Verfahren nach einem der Ansprüche 1 bis 12, wobei das Abscheiden des ersten Gatedielektrikummaterials (120) ein Abscheiden von HfO2, HfSiOx, Al2O3, ZrO2, ZrSiOx, Ta2O5, La2O3, Nitriden davon, SixNy, SiON, SiO2 oder Kombinationen davon umfassen.
  14. Verfahren nach einem der Ansprüche 1 bis 13, wobei das Abscheiden des ersten Gatematerials (122) und das Abscheiden des zweiten Gatematerials (128) ein Abscheiden eines Halbleitermaterials oder eines Metalls umfassen.
  15. Verfahren nach Anspruch 14, wobei das Abscheiden des ersten Gatematerials (122) und das Abscheiden des zweiten Gatematerials (128) ein Abscheiden von Polysilizium, TiN, HfN, TaN, W, Al, Ru, RuTa, TaSiN, NiSix, CoSix, TiSix, Ir, Y, Pt, Ti, PtTi, Pd, Re, Rh, Boriden von Ti, Phosphiden von Ti, Antimoniden von Ti, Hf, Zr, TiAlN, Mo, MoN, ZrSiN, ZrN, HfN, HfSiN, WN, Ni, Pr, VN, TiW, einem voll silizidierten Gatematerial oder Kombinationen davon umfassen.
DE102005063582A 2004-06-17 2005-05-27 Verfahren zum Herstellen eines Halbleiterbauelements Expired - Fee Related DE102005063582B3 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/870,616 2004-06-17
US10/870,616 US8178902B2 (en) 2004-06-17 2004-06-17 CMOS transistor with dual high-k gate dielectric and method of manufacture thereof

Publications (1)

Publication Number Publication Date
DE102005063582B3 true DE102005063582B3 (de) 2013-10-24

Family

ID=35479761

Family Applications (3)

Application Number Title Priority Date Filing Date
DE102005024417A Expired - Fee Related DE102005024417B4 (de) 2004-06-17 2005-05-27 Halbleiterbauelement sowie Verfahren zum Herstellen eines Halbleiterbauelements
DE102005063535A Expired - Fee Related DE102005063535B4 (de) 2004-06-17 2005-05-27 Verfahren zur Herstellung einer Halbleiteranordnung
DE102005063582A Expired - Fee Related DE102005063582B3 (de) 2004-06-17 2005-05-27 Verfahren zum Herstellen eines Halbleiterbauelements

Family Applications Before (2)

Application Number Title Priority Date Filing Date
DE102005024417A Expired - Fee Related DE102005024417B4 (de) 2004-06-17 2005-05-27 Halbleiterbauelement sowie Verfahren zum Herstellen eines Halbleiterbauelements
DE102005063535A Expired - Fee Related DE102005063535B4 (de) 2004-06-17 2005-05-27 Verfahren zur Herstellung einer Halbleiteranordnung

Country Status (3)

Country Link
US (5) US8178902B2 (de)
CN (1) CN1710718B (de)
DE (3) DE102005024417B4 (de)

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4748927B2 (ja) * 2003-03-25 2011-08-17 ローム株式会社 半導体装置
DE102004005694B3 (de) * 2004-02-05 2005-10-06 Infineon Technologies Ag Grabenkondensator mit Isolationskragen und entsprechendes Herstellungsverfahren
US6921691B1 (en) 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
US7592678B2 (en) * 2004-06-17 2009-09-22 Infineon Technologies Ag CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof
US8399934B2 (en) 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US8178902B2 (en) 2004-06-17 2012-05-15 Infineon Technologies Ag CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
WO2006001271A1 (ja) * 2004-06-23 2006-01-05 Nec Corporation 半導体装置及びその製造方法
TWI367560B (en) * 2004-07-05 2012-07-01 Samsung Electronics Co Ltd Integrated circuit devices including a dual gate stack structure and methods of forming the same
US7416933B2 (en) * 2004-08-06 2008-08-26 Micron Technology, Inc. Methods of enabling polysilicon gate electrodes for high-k gate dielectrics
KR100889362B1 (ko) 2004-10-19 2009-03-18 삼성전자주식회사 다층 유전체막으로 이루어진 트랜지스터 및 그 제조 방법
US20060094180A1 (en) * 2004-11-02 2006-05-04 Intel Corporation Method for making a semiconductor device with a high-k gate dielectric layer and a silicide gate electrode
US20060091483A1 (en) * 2004-11-02 2006-05-04 Doczy Mark L Method for making a semiconductor device with a high-k gate dielectric layer and a silicide gate electrode
US7344934B2 (en) * 2004-12-06 2008-03-18 Infineon Technologies Ag CMOS transistor and method of manufacture thereof
US7253050B2 (en) * 2004-12-20 2007-08-07 Infineon Technologies Ag Transistor device and method of manufacture thereof
US7645687B2 (en) * 2005-01-20 2010-01-12 Chartered Semiconductor Manufacturing, Ltd. Method to fabricate variable work function gates for FUSI devices
US7361538B2 (en) * 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US20060251872A1 (en) * 2005-05-05 2006-11-09 Wang Jenn Y Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof
US20070059502A1 (en) * 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US7195999B2 (en) * 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
US7381649B2 (en) * 2005-07-29 2008-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for a multiple-gate FET device and a method for its fabrication
US7214994B2 (en) * 2005-08-31 2007-05-08 Micron Technology, Inc. Self aligned metal gates on high-k dielectrics
US8188551B2 (en) 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070052036A1 (en) * 2005-09-02 2007-03-08 Hongfa Luan Transistors and methods of manufacture thereof
US20070052037A1 (en) * 2005-09-02 2007-03-08 Hongfa Luan Semiconductor devices and methods of manufacture thereof
US7655994B2 (en) * 2005-10-26 2010-02-02 International Business Machines Corporation Low threshold voltage semiconductor device with dual threshold voltage control means
US7462538B2 (en) * 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7495290B2 (en) * 2005-12-14 2009-02-24 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7510943B2 (en) * 2005-12-16 2009-03-31 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7709402B2 (en) * 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7297618B1 (en) * 2006-07-28 2007-11-20 International Business Machines Corporation Fully silicided gate electrodes and method of making the same
US20080116543A1 (en) * 2006-11-17 2008-05-22 Shrinivas Govindarajan Semiconductor devices and methods of manufacture thereof
US7611972B2 (en) * 2006-11-29 2009-11-03 Qimonda North America Corp. Semiconductor devices and methods of manufacture thereof
US7564114B2 (en) * 2006-12-21 2009-07-21 Qimonda North America Corp. Semiconductor devices and methods of manufacture thereof
US20080164582A1 (en) * 2007-01-05 2008-07-10 Shrinivas Govindarajan Semiconductor devices and methods of manufacture thereof
US20080211065A1 (en) * 2007-03-02 2008-09-04 Shrinivas Govindarajan Semiconductor devices and methods of manufacture thereof
US20080214015A1 (en) * 2007-03-02 2008-09-04 Tim Boescke Semiconductor devices and methods of manufacture thereof
JP2008288465A (ja) * 2007-05-18 2008-11-27 Panasonic Corp 半導体装置及びその製造方法
JP4459257B2 (ja) * 2007-06-27 2010-04-28 株式会社東芝 半導体装置
US7666730B2 (en) * 2007-06-29 2010-02-23 Freescale Semiconductor, Inc. Method for forming a dual metal gate structure
US8173532B2 (en) * 2007-07-30 2012-05-08 International Business Machines Corporation Semiconductor transistors having reduced distances between gate electrode regions
US20090039436A1 (en) * 2007-08-07 2009-02-12 Doris Bruce B High Performance Metal Gate CMOS with High-K Gate Dielectric
US7880243B2 (en) * 2007-08-07 2011-02-01 International Business Machines Corporation Simple low power circuit structure with metal gate and high-k dielectric
EP2053653A1 (de) * 2007-10-24 2009-04-29 Interuniversitair Microelektronica Centrum Vzw Halbleitervorrichtung mit dualer Austrittsarbeit und Verfahren zu deren Herstellung
US7736965B2 (en) * 2007-12-06 2010-06-15 International Business Machines Corporation Method of making a FinFET device structure having dual metal and high-k gates
US20090152651A1 (en) * 2007-12-18 2009-06-18 International Business Machines Corporation Gate stack structure with oxygen gettering layer
US7622341B2 (en) * 2008-01-16 2009-11-24 International Business Machines Corporation Sige channel epitaxial development for high-k PFET manufacturability
US20090191468A1 (en) * 2008-01-29 2009-07-30 International Business Machines Corporation Contact Level Mask Layouts By Introducing Anisotropic Sub-Resolution Assist Features
US8189376B2 (en) * 2008-02-08 2012-05-29 Micron Technology, Inc. Integrated circuit having memory cells including gate material having high work function, and method of manufacturing same
JP5104373B2 (ja) * 2008-02-14 2012-12-19 日本ゼオン株式会社 位相差板の製造方法
US20090250760A1 (en) * 2008-04-02 2009-10-08 International Business Machines Corporation Methods of forming high-k/metal gates for nfets and pfets
US7863126B2 (en) * 2008-05-15 2011-01-04 International Business Machines Corporation Fabrication of a CMOS structure with a high-k dielectric layer oxidizing an aluminum layer in PFET region
US7975246B2 (en) * 2008-08-14 2011-07-05 International Business Machines Corporation MEEF reduction by elongation of square shapes
US7888195B2 (en) * 2008-08-26 2011-02-15 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
US7994051B2 (en) 2008-10-17 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Implantation method for reducing threshold voltage for high-K metal gate device
US20100102393A1 (en) * 2008-10-29 2010-04-29 Chartered Semiconductor Manufacturing, Ltd. Metal gate transistors
US8252649B2 (en) 2008-12-22 2012-08-28 Infineon Technologies Ag Methods of fabricating semiconductor devices and structures thereof
US20100219481A1 (en) * 2009-01-09 2010-09-02 Imec Method for manufacturing a dual work function semiconductor device and the semiconductor device made thereof
JP2011003664A (ja) * 2009-06-17 2011-01-06 Renesas Electronics Corp 半導体装置およびその製造方法
US8878363B2 (en) 2009-06-26 2014-11-04 Intel Corporation Fermi-level unpinning structures for semiconductive devices, processes of forming same, and systems containing same
CN102110653A (zh) * 2009-12-29 2011-06-29 中芯国际集成电路制造(上海)有限公司 形成双金属栅极结构的方法
US8921176B2 (en) * 2012-06-11 2014-12-30 Freescale Semiconductor, Inc. Modified high-K gate dielectric stack
US8716136B1 (en) * 2012-10-19 2014-05-06 Globalfoundries Inc. Method of forming a semiconductor structure including a wet etch process for removing silicon nitride
US8722494B1 (en) * 2012-11-01 2014-05-13 International Business Machines Corporation Dual gate finFET devices
KR102201114B1 (ko) * 2014-02-05 2021-01-12 에스케이하이닉스 주식회사 트랜지스터의 문턱전압조절을 위한 방법 및 게이트구조물
CN104916522B (zh) * 2014-03-10 2017-12-22 中芯国际集成电路制造(上海)有限公司 去除hasti制备过程中形成的残留颗粒的方法
TWI671805B (zh) 2014-06-18 2019-09-11 聯華電子股份有限公司 半導體元件及其製作方法
FR3030882B1 (fr) * 2014-12-22 2018-03-09 Commissariat A L'energie Atomique Et Aux Energies Alternatives Circuit integre comportant des transistors pmos a tensions de seuil distinctes
KR102376503B1 (ko) 2015-04-23 2022-03-18 삼성전자주식회사 집적회로 장치 및 이의 제조 방법
US9698145B1 (en) * 2015-12-28 2017-07-04 International Business Machines Corporation Implementation of long-channel thick-oxide devices in vertical transistor flow
US10256159B2 (en) * 2017-01-23 2019-04-09 International Business Machines Corporation Formation of common interfacial layer on Si/SiGe dual channel complementary metal oxide semiconductor device
US10553495B2 (en) * 2017-10-19 2020-02-04 International Business Machines Corporation Nanosheet transistors with different gate dielectrics and workfunction metals
KR102553778B1 (ko) 2018-05-23 2023-07-10 삼성전자주식회사 반도체 소자
KR20200028548A (ko) 2018-09-06 2020-03-17 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11575023B2 (en) 2020-11-11 2023-02-07 International Business Machines Corporation Secure chip identification using random threshold voltage variation in a field effect transistor structure as a physically unclonable function

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
JP2002151598A (ja) * 2000-11-16 2002-05-24 Mitsubishi Electric Corp 半導体装置およびその製造方法
US20020135030A1 (en) * 2001-03-22 2002-09-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US6528858B1 (en) * 2002-01-11 2003-03-04 Advanced Micro Devices, Inc. MOSFETs with differing gate dielectrics and method of formation

Family Cites Families (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4432035A (en) * 1982-06-11 1984-02-14 International Business Machines Corp. Method of making high dielectric constant insulators and capacitors using same
US5066995A (en) * 1987-03-13 1991-11-19 Harris Corporation Double level conductor structure
US5053349A (en) 1988-06-16 1991-10-01 Kabushiki Kaisha Toshiba Method for interconnecting semiconductor devices
US4990974A (en) * 1989-03-02 1991-02-05 Thunderbird Technologies, Inc. Fermi threshold field effect transistor
IT1235693B (it) * 1989-05-02 1992-09-21 Sgs Thomson Microelectronics Transistore ad effetto di campo superficiale con regione di source e/o di drain scavate per dispositivi ulsi.
US5223451A (en) * 1989-10-06 1993-06-29 Kabushiki Kaisha Toshiba Semiconductor device wherein n-channel MOSFET, p-channel MOSFET and nonvolatile memory cell are formed in one chip and method of making it
JP2921889B2 (ja) * 1989-11-27 1999-07-19 株式会社東芝 半導体装置の製造方法
US5108935A (en) * 1990-11-16 1992-04-28 Texas Instruments Incorporated Reduction of hot carrier effects in semiconductor devices by controlled scattering via the intentional introduction of impurities
US5352631A (en) * 1992-12-16 1994-10-04 Motorola, Inc. Method for forming a transistor having silicided regions
US5780330A (en) * 1996-06-28 1998-07-14 Integrated Device Technology, Inc. Selective diffusion process for forming both n-type and p-type gates with a single masking step
US6048769A (en) * 1997-02-28 2000-04-11 Intel Corporation CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers
US5763922A (en) * 1997-02-28 1998-06-09 Intel Corporation CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers
JP3077630B2 (ja) * 1997-06-05 2000-08-14 日本電気株式会社 半導体装置およびその製造方法
US6777759B1 (en) * 1997-06-30 2004-08-17 Intel Corporation Device structure and method for reducing silicide encroachment
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US5994747A (en) * 1998-02-13 1999-11-30 Texas Instruments-Acer Incorporated MOSFETs with recessed self-aligned silicide gradual S/D junction
US6348390B1 (en) * 1998-02-19 2002-02-19 Acer Semiconductor Manufacturing Corp. Method for fabricating MOSFETS with a recessed self-aligned silicide contact and extended source/drain junctions
JP2000012856A (ja) * 1998-06-26 2000-01-14 Sony Corp Mosトランジスタの製造方法
US6166417A (en) 1998-06-30 2000-12-26 Intel Corporation Complementary metal gates and a process for implementation
US6027961A (en) * 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
JP4245692B2 (ja) 1998-08-11 2009-03-25 シャープ株式会社 デュアルゲートcmos型半導体装置およびその製造方法
JP2000077613A (ja) * 1998-08-28 2000-03-14 Nec Corp 半導体装置の製造方法
US6124171A (en) * 1998-09-24 2000-09-26 Intel Corporation Method of forming gate oxide having dual thickness by oxidation process
US6084280A (en) * 1998-10-15 2000-07-04 Advanced Micro Devices, Inc. Transistor having a metal silicide self-aligned to the gate
US6410967B1 (en) * 1998-10-15 2002-06-25 Advanced Micro Devices, Inc. Transistor having enhanced metal silicide and a self-aligned gate electrode
US6911707B2 (en) * 1998-12-09 2005-06-28 Advanced Micro Devices, Inc. Ultrathin high-K gate dielectric with favorable interface properties for improved semiconductor device performance
KR100316707B1 (ko) 1999-02-05 2001-12-28 윤종용 모스 트랜지스터 및 그 제조방법
JP3287403B2 (ja) * 1999-02-19 2002-06-04 日本電気株式会社 Mis型電界効果トランジスタ及びその製造方法
US6344378B1 (en) 1999-03-01 2002-02-05 Micron Technology, Inc. Field effect transistors, field emission apparatuses, thin film transistors, and methods of forming field effect transistors
US6159782A (en) 1999-08-05 2000-12-12 Advanced Micro Devices, Inc. Fabrication of field effect transistors having dual gates with gate dielectrics of high dielectric constant
US6753556B2 (en) * 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
US6861304B2 (en) * 1999-11-01 2005-03-01 Hitachi, Ltd. Semiconductor integrated circuit device and method of manufacturing thereof
US6373111B1 (en) * 1999-11-30 2002-04-16 Intel Corporation Work function tuning for MOSFET gate electrodes
US6444555B2 (en) * 1999-12-07 2002-09-03 Advanced Micro Devices, Inc. Method for establishing ultra-thin gate insulator using anneal in ammonia
US6448127B1 (en) * 2000-01-14 2002-09-10 Advanced Micro Devices, Inc. Process for formation of ultra-thin base oxide in high k/oxide stack gate dielectrics of mosfets
JP3430102B2 (ja) 2000-01-31 2003-07-28 Necエレクトロニクス株式会社 半導体装置の製造方法
US6225163B1 (en) * 2000-02-18 2001-05-01 National Semiconductor Corporation Process for forming high quality gate silicon dioxide layers of multiple thicknesses
US6297103B1 (en) * 2000-02-28 2001-10-02 Micron Technology, Inc. Structure and method for dual gate oxide thicknesses
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
JP2001284466A (ja) * 2000-03-29 2001-10-12 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6426534B1 (en) * 2000-05-01 2002-07-30 Xilinx, Inc. Methods and circuits employing threshold voltages for mask-alignment detection
US6184072B1 (en) * 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
JP3658342B2 (ja) 2000-05-30 2005-06-08 キヤノン株式会社 電子放出素子、電子源及び画像形成装置、並びにテレビジョン放送表示装置
JP3629187B2 (ja) 2000-06-28 2005-03-16 株式会社東芝 電気フューズ、この電気フューズを備えた半導体装置及びその製造方法
JP2002118175A (ja) 2000-10-05 2002-04-19 Toshiba Corp 半導体装置及びその製造方法
US20040113211A1 (en) * 2001-10-02 2004-06-17 Steven Hung Gate electrode with depletion suppression and tunable workfunction
US6831339B2 (en) * 2001-01-08 2004-12-14 International Business Machines Corporation Aluminum nitride and aluminum oxide/aluminum nitride heterostructure gate dielectric stack based field effect transistors and method for forming same
US6436759B1 (en) * 2001-01-19 2002-08-20 Microelectronics Corp. Method for fabricating a MOS transistor of an embedded memory
US6858865B2 (en) * 2001-02-23 2005-02-22 Micron Technology, Inc. Doped aluminum oxide dielectrics
KR100399356B1 (ko) * 2001-04-11 2003-09-26 삼성전자주식회사 듀얼 게이트를 가지는 씨모스형 반도체 장치 형성 방법
US6586296B1 (en) * 2001-04-30 2003-07-01 Cypress Semiconductor Corp. Method of doping wells, channels, and gates of dual gate CMOS technology with reduced number of masks
US6693333B1 (en) * 2001-05-01 2004-02-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator circuit with multiple work functions
US6740944B1 (en) * 2001-07-05 2004-05-25 Altera Corporation Dual-oxide transistors for the improvement of reliability and off-state leakage
US6794252B2 (en) 2001-09-28 2004-09-21 Texas Instruments Incorporated Method and system for forming dual work function gate electrodes in a semiconductor device
US6475908B1 (en) 2001-10-18 2002-11-05 Chartered Semiconductor Manufacturing Ltd. Dual metal gate process: metals and their silicides
EP1315200B1 (de) * 2001-11-26 2008-07-09 Interuniversitair Microelektronica Centrum Vzw Herstellungsverfahren für CMOS-Halbleiter-Bauelemente mit wählbaren Gatedicken
US6891513B2 (en) * 2001-11-26 2005-05-10 Vega Greishaber, Kg Antenna system for a level measurement apparatus
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6747318B1 (en) * 2001-12-13 2004-06-08 Lsi Logic Corporation Buried channel devices and a process for their fabrication simultaneously with surface channel devices to produce transistors and capacitors with multiple electrical gate oxides
US6653698B2 (en) * 2001-12-20 2003-11-25 International Business Machines Corporation Integration of dual workfunction metal gate CMOS devices
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6563183B1 (en) * 2001-12-31 2003-05-13 Advanced Micro Devices, Inc. Gate array with multiple dielectric properties and method for forming same
US20030141560A1 (en) * 2002-01-25 2003-07-31 Shi-Chung Sun Incorporating TCS-SiN barrier layer in dual gate CMOS devices
JP2003273350A (ja) 2002-03-15 2003-09-26 Nec Corp 半導体装置及びその製造方法
JP2003282875A (ja) * 2002-03-27 2003-10-03 Toshiba Corp 半導体装置及び半導体装置の製造方法
KR100487525B1 (ko) * 2002-04-25 2005-05-03 삼성전자주식회사 실리콘게르마늄 게이트를 이용한 반도체 소자 및 그 제조방법
US20030211682A1 (en) 2002-05-10 2003-11-13 Jenq Jason Jyh-Shyang Method for fabricating a gate electrode
US6656764B1 (en) 2002-05-15 2003-12-02 Taiwan Semiconductor Manufacturing Company Process for integration of a high dielectric constant gate insulator layer in a CMOS device
US6621114B1 (en) * 2002-05-20 2003-09-16 Advanced Micro Devices, Inc. MOS transistors with high-k dielectric gate insulator for reducing remote scattering
JP2003347420A (ja) * 2002-05-23 2003-12-05 Nec Electronics Corp 半導体装置及びその製造方法
US6894931B2 (en) 2002-06-20 2005-05-17 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
JP3621695B2 (ja) * 2002-07-29 2005-02-16 株式会社東芝 半導体装置及び素子形成用基板
US6894353B2 (en) 2002-07-31 2005-05-17 Freescale Semiconductor, Inc. Capped dual metal gate transistors for CMOS process and method for making the same
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040029321A1 (en) 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US6716685B2 (en) * 2002-08-09 2004-04-06 Micron Technology, Inc. Methods for forming dual gate oxides
US7122414B2 (en) 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US6841441B2 (en) * 2003-01-08 2005-01-11 Chartered Semiconductor Manufacturing Ltd. Method to produce dual gates (one metal and one poly or metal silicide) for CMOS devices using sputtered metal deposition, metallic ion implantation, or silicon implantation, and laser annealing
US6861712B2 (en) 2003-01-15 2005-03-01 Sharp Laboratories Of America, Inc. MOSFET threshold voltage tuning with metal gate stack control
US6852645B2 (en) * 2003-02-13 2005-02-08 Texas Instruments Incorporated High temperature interface layer growth for high-k gate dielectric
US6873048B2 (en) * 2003-02-27 2005-03-29 Sharp Laboratories Of America, Inc. System and method for integrating multiple metal gates for CMOS applications
US6873003B2 (en) * 2003-03-06 2005-03-29 Infineon Technologies Aktiengesellschaft Nonvolatile memory cell
US7019351B2 (en) * 2003-03-12 2006-03-28 Micron Technology, Inc. Transistor devices, and methods of forming transistor devices and circuit devices
JP4524995B2 (ja) 2003-03-25 2010-08-18 ルネサスエレクトロニクス株式会社 半導体装置
US6737313B1 (en) * 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
US7071086B2 (en) 2003-04-23 2006-07-04 Advanced Micro Devices, Inc. Method of forming a metal gate structure with tuning of work function by silicon incorporation
US6890807B2 (en) * 2003-05-06 2005-05-10 Intel Corporation Method for making a semiconductor device having a metal gate electrode
US7179754B2 (en) 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
US6889682B2 (en) * 2003-05-30 2005-05-10 Leon Styles Electropneumatic paintball gun, method of making and operating, and retrofit kit assembly
JP2004356472A (ja) 2003-05-30 2004-12-16 Renesas Technology Corp 半導体装置及びその製造方法
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6936882B1 (en) * 2003-07-08 2005-08-30 Advanced Micro Devices, Inc. Selective silicidation of gates in semiconductor devices to achieve multiple threshold voltages
US7045847B2 (en) * 2003-08-11 2006-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with high-k gate dielectric
JP3793190B2 (ja) * 2003-09-19 2006-07-05 株式会社東芝 半導体装置の製造方法
US7105886B2 (en) * 2003-11-12 2006-09-12 Freescale Semiconductor, Inc. High K dielectric film
KR100618815B1 (ko) * 2003-11-12 2006-08-31 삼성전자주식회사 이종의 게이트 절연막을 가지는 반도체 소자 및 그 제조방법
TWI258811B (en) 2003-11-12 2006-07-21 Samsung Electronics Co Ltd Semiconductor devices having different gate dielectrics and methods for manufacturing the same
JP4473710B2 (ja) 2003-12-05 2010-06-02 株式会社東芝 半導体装置
KR100546401B1 (ko) 2003-12-17 2006-01-26 삼성전자주식회사 자기정렬된 전하트랩층을 포함하는 반도체 메모리 소자 및그 제조방법
US7223679B2 (en) * 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
JP4085051B2 (ja) * 2003-12-26 2008-04-30 株式会社東芝 半導体装置およびその製造方法
US7247578B2 (en) 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US6921691B1 (en) * 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
JP2005268553A (ja) 2004-03-19 2005-09-29 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US20050224897A1 (en) * 2004-03-26 2005-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. High-K gate dielectric stack with buffer layer to improve threshold voltage characteristics
US7001852B2 (en) 2004-04-30 2006-02-21 Freescale Semiconductor, Inc. Method of making a high quality thin dielectric layer
US6897095B1 (en) 2004-05-12 2005-05-24 Freescale Semiconductor, Inc. Semiconductor process and integrated circuit having dual metal oxide gate dielectric with single metal gate electrode
US7105889B2 (en) * 2004-06-04 2006-09-12 International Business Machines Corporation Selective implementation of barrier layers to achieve threshold voltage control in CMOS device fabrication with high k dielectrics
US8178902B2 (en) 2004-06-17 2012-05-15 Infineon Technologies Ag CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US8399934B2 (en) 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US7060568B2 (en) * 2004-06-30 2006-06-13 Intel Corporation Using different gate dielectrics with NMOS and PMOS transistors of a complementary metal oxide semiconductor integrated circuit
TWI367560B (en) * 2004-07-05 2012-07-01 Samsung Electronics Co Ltd Integrated circuit devices including a dual gate stack structure and methods of forming the same
US7279756B2 (en) * 2004-07-21 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with high-k gate dielectric and quasi-metal gate, and method of forming thereof
US7416933B2 (en) 2004-08-06 2008-08-26 Micron Technology, Inc. Methods of enabling polysilicon gate electrodes for high-k gate dielectrics
US7348284B2 (en) * 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7595538B2 (en) * 2004-08-17 2009-09-29 Nec Electronics Corporation Semiconductor device
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
KR100604908B1 (ko) * 2004-10-11 2006-07-28 삼성전자주식회사 이종의 게이트 절연막을 구비하는 씬-바디 채널 씨모스소자 및 그 제조방법
US7344934B2 (en) 2004-12-06 2008-03-18 Infineon Technologies Ag CMOS transistor and method of manufacture thereof
US7091568B2 (en) * 2004-12-22 2006-08-15 Freescale Semiconductor, Inc. Electronic device including dielectric layer, and a process for forming the electronic device
US7205186B2 (en) * 2004-12-29 2007-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for suppressing oxide formation
US7160781B2 (en) * 2005-03-21 2007-01-09 Infineon Technologies Ag Transistor device and methods of manufacture thereof
US7282426B2 (en) * 2005-03-29 2007-10-16 Freescale Semiconductor, Inc. Method of forming a semiconductor device having asymmetric dielectric regions and structure thereof
US20060275975A1 (en) 2005-06-01 2006-12-07 Matt Yeh Nitridated gate dielectric layer
TWI277178B (en) 2005-06-07 2007-03-21 Promos Technologies Inc Non-volatile memory and fabricating method thereof
US7361561B2 (en) 2005-06-24 2008-04-22 Freescale Semiconductor, Inc. Method of making a metal gate semiconductor device
US7375394B2 (en) * 2005-07-06 2008-05-20 Applied Intellectual Properties Co., Ltd. Fringing field induced localized charge trapping memory
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
EP1944801A1 (de) * 2007-01-10 2008-07-16 Interuniversitair Microelektronica Centrum Verfahren zur Herstellung einer CMOS-Vorrichtung mit dualer Austrittsarbeit

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
JP2002151598A (ja) * 2000-11-16 2002-05-24 Mitsubishi Electric Corp 半導体装置およびその製造方法
US20020135030A1 (en) * 2001-03-22 2002-09-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US6528858B1 (en) * 2002-01-11 2003-03-04 Advanced Micro Devices, Inc. MOSFETs with differing gate dielectrics and method of formation

Also Published As

Publication number Publication date
US8637357B2 (en) 2014-01-28
CN1710718B (zh) 2011-08-31
US20140315362A1 (en) 2014-10-23
US20120193725A1 (en) 2012-08-02
CN1710718A (zh) 2005-12-21
DE102005063535B4 (de) 2011-12-22
US20050280104A1 (en) 2005-12-22
US8178902B2 (en) 2012-05-15
DE102005024417A1 (de) 2006-02-09
DE102005024417B4 (de) 2011-08-11
US8476678B2 (en) 2013-07-02
US20120199914A1 (en) 2012-08-09
US8729633B2 (en) 2014-05-20
US9269635B2 (en) 2016-02-23
US20130285154A1 (en) 2013-10-31

Similar Documents

Publication Publication Date Title
DE102005063582B3 (de) Verfahren zum Herstellen eines Halbleiterbauelements
DE112006001809B4 (de) Verfahren zur Herstellung einer Halbleiteranordnung
DE112005003007B4 (de) CMOS-Bauelement und Verfahren zur Herstellung eines Halbleiterbauelements
DE112005002998B4 (de) Transistoranordnung und Verfahren zur Herstellung derselben
DE102006059013B4 (de) Halbleiteranordnung und Verfahren zur Herstellung derselben
DE112006000598B4 (de) Transistor, Verfahren zur Herstellung einer Halbleiteranordnung sowie zugehörige Komplementär-Halbleiter-Anordnung
DE102005009976B4 (de) Transistor mit Dotierstoff tragendem Metall im Source- und Drainbereich
DE102006059014B4 (de) Verfahren zur Herstellung einer Halbleiteranordnung
DE102009055392B4 (de) Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
DE112006003059B4 (de) Halbleiteranordnungen und Verfahren zur Herstellung derselben
DE112004002307B4 (de) Verfahren zur Herstellung eines Transistors und Transistor mit Silizium- und Kohlenstoffschicht in dem Kanalbereich
DE102005009974B4 (de) Transistor mit flachem Germaniumimplantationsbereich im Kanalund Verfahren zur Herstellung
DE102008064715B4 (de) Verfahren zur Herstellung eines Transistors
DE102009006886B4 (de) Verringerung von Dickenschwankungen einer schwellwerteinstellenden Halbleiterlegierung durch Verringern der Strukturierungsungleichmäßigkeiten vor dem Abscheiden der Halbleiterlegierung
DE102009031110B4 (de) Verbesserte Deckschichtintegrität in einem Gatestapel durch Verwenden einer Hartmaske für die Abstandshalterstrukturierung
DE102009015747A1 (de) Schwellwerteinstellung von Transistoren mit Metallgateelektrodenstrukturen mit großem ε und einer Zwischenätzstoppschicht
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102009023376A1 (de) Einstellen der Austrittsarbeit in Metallgateelektrodenstrukturen mit großem ε durch selektives Entfernen einer Barrierenschicht
DE102007039440A1 (de) Halbleiterbauelemente und Verfahren zu deren Herstellung
DE102007046849A1 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
DE102019117656A1 (de) Gate structures having interfacial layers
DE112012004134T5 (de) Anpassung von Schwellenspannungen für Thin-Body-Mosfets
DE102010017245B4 (de) Verfahren zum Herstellen von Halbkeiterbauellementen und Halbleiterbauelement
DE102010017306A1 (de) Halbleiterbauelemente und Verfahren zu ihrer Herstellung
DE102009021489A1 (de) Erhöhen der Abscheidegleichmäßigkeit für eine Halbleiterlegierung durch einen in-situ-Ätzprozess

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R129 Divisional application from

Ref document number: 102005063535

Country of ref document: DE

Effective date: 20110916

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R082 Change of representative
R020 Patent grant now final

Effective date: 20140125

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee