DE112004003046B4 - Power semiconductor devices - Google Patents

Power semiconductor devices Download PDF

Info

Publication number
DE112004003046B4
DE112004003046B4 DE112004003046.3T DE112004003046T DE112004003046B4 DE 112004003046 B4 DE112004003046 B4 DE 112004003046B4 DE 112004003046 T DE112004003046 T DE 112004003046T DE 112004003046 B4 DE112004003046 B4 DE 112004003046B4
Authority
DE
Germany
Prior art keywords
trench
gate
trenches
gate electrode
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE112004003046.3T
Other languages
German (de)
Inventor
Joseph A. Yedinak
Nathan Lawrence Kraft
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fairchild Semiconductor Corp
Original Assignee
Fairchild Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fairchild Semiconductor Corp filed Critical Fairchild Semiconductor Corp
Application granted granted Critical
Publication of DE112004003046B4 publication Critical patent/DE112004003046B4/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/0619Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE] with a supplementary region doped oppositely to or in rectifying contact with the semiconductor containing or contacting region, e.g. guard rings with PN or Schottky junction
    • H01L29/0623Buried supplementary region, e.g. buried guard ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/063Reduced surface field [RESURF] pn-junction structures
    • H01L29/0634Multiple reduced surface field (multi-RESURF) structures, e.g. double RESURF, charge compensation, cool, superjunction (SJ), 3D-RESURF, composite buffer (CB) structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/407Recessed field plates, e.g. trench field plates, buried field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66734Vertical DMOS transistors, i.e. VDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7803Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device
    • H01L29/7804Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device the other device being a pn-junction diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7803Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device
    • H01L29/7806Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device the other device being a Schottky barrier diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7811Vertical DMOS transistors, i.e. VDMOS transistors with an edge termination structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7815Vertical DMOS transistors, i.e. VDMOS transistors with voltage or current sensing structure, e.g. emulator section, overcurrent sensing cell
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • H01L29/7828Vertical transistors without inversion channel, e.g. vertical ACCUFETs, normally-on vertical MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16245Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0661Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body specially adapted for altering the breakdown voltage by removing semiconductor material at, or in the neighbourhood of, a reverse biased junction, e.g. by bevelling, moat etching, depletion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • H01L29/0696Surface layout of cellular field-effect devices, e.g. multicellular DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0873Drain regions
    • H01L29/0878Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/404Multiple field plate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41741Source or drain electrodes for field effect devices for vertical or pseudo-vertical devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1203Rectifying Diode
    • H01L2924/12032Schottky diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1301Thyristor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1301Thyristor
    • H01L2924/13034Silicon Controlled Rectifier [SCR]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • H01L2924/13055Insulated gate bipolar transistor [IGBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Halbleitervorrichtung (3300) mit: – einem Driftbereich (3306) von einem ersten Leitfähigkeitstyp, – einem Wannenbereich (p), der sich über dem Driftbereich (3306) erstreckt und einen zweiten Leitfähigkeitstyp aufweist, der dem ersten Leitfähigkeitstyp entgegengesetzt ist, – einer Mehrzahl von aktiven Gräben (3302), die sich durch den Wannenbereich (p) und in den Driftbereich (3306) erstrecken, wobei innerhalb eines jeden der Mehrzahl von aktiven Gräben (3302) gebildet sind: – eine erste leitfähige Gate-Elektrode (3310), die entlang einer ersten Grabenseitenwand angeordnet und gegenüber dieser isoliert ist, – eine zweite leitfähige Gate-Elektrode (3310), die entlang einer zweiten Grabenseitenwand angeordnet und gegenüber dieser isoliert ist, – eine leitfähige Abschirmelektrode (3311), die zwischen der ersten (3310) und der zweiten (3310) leitfähigen Gate-Elektrode angeordnet ist, wobei die Abschirmelektrode (3311) gegenüber der ersten (3310) und der zweiten (3310) Gate-Elektrode isoliert ist und sich tiefer in den Graben (3302) erstreckt als die erste (3310) und die zweite (3310) Gate-Elektrode, wobei sich das leitfähige Abschirm-Poly vertikal bis zu der Siliziumoberfläche entlang der Höhe des Grabens erstreckt, bis oberhalb einer Hohe der ersten und zweiten leitfähigen Gate-Elektroden, – Source-Bereichen (n+) mit dem ersten Leitfähigkeittyp, die innerhalb des Wannenbereichs (p) und benachbart zu der Mehrzahl von aktiven Gräben (3302) gebildet sind, und – einem Umfangsgraben (2603A, 3213), der sich zumindest teilweise um die Mehrzahl von aktiven Gräben (3302) erstreckt, so dass zumindest einige der Gräben (3302) der Mehrzahl von aktiven Gräben (3302) senkrecht zu dem Umfangsgraben (2603A, 3213) sind, wobei die leitfähige Abschirmelektrode (3311) mit der Source-Metallisierung elektrisch leitend verbunden ist, wobei der Umfangsgraben (2603A, 3213) mit einem Dielektrikum (2605A) ausgekleidet und mit leitfähigem Material (2607A) gefüllt ist, wobei die erste leitfähige Gate-Elektrode (3310) und die zweite leitfähige Gate-Elektrode (3310) entlang einer dritten Dimension innerhalb der Mehrzahl von aktiven Gräben (3302) verbunden sind.A semiconductor device (3300) comprising: - a drift region (3306) of a first conductivity type, - a well region (p) extending over the drift region (3306) and having a second conductivity type opposite to the first conductivity type, - a plurality of active trenches (3302) extending through the well region (p) and into the drift region (3306), wherein within each of the plurality of active trenches (3302) are formed: a first conductive gate electrode (3310) is disposed along and insulated from a first trench sidewall, a second conductive gate electrode (3310) disposed along and insulated from a second trench sidewall, a conductive shield electrode (3311) disposed between the first (3310) and the second (3310) conductive gate electrode is arranged, wherein the shielding electrode (3311) opposite to the first (3310) and the second (331 0) gate electrode and extends deeper into the trench (3302) than the first (3310) and second (3310) gate electrodes, the conductive shield poly extending vertically to the silicon surface along the height of the trench extends to above a height of the first and second conductive gate electrodes, first conductivity type source regions (n +) formed within the well region (p) and adjacent to the plurality of active trenches (3302), and a circumferential trench (2603A, 3213) extending at least partially around the plurality of active trenches (3302) so that at least some of the trenches (3302) of the plurality of active trenches (3302) are perpendicular to the circumferential trench (2603A, 3213) wherein the conductive shield electrode (3311) is electrically connected to the source metallization, wherein the peripheral trench (2603A, 3213) is lined with a dielectric (2605A) and coated with conductive material (2607A) wherein the first conductive gate electrode (3310) and the second conductive gate electrode (3310) are connected along a third dimension within the plurality of active trenches (3302).

Description

HINTERGRUND DER ERFINDUNGBACKGROUND OF THE INVENTION

Die vorliegende Erfindung betrifft im Allgemeinen Halbleitervorrichtungen und im Besonderen verschiedene Ausführungsformen für verbesserte Leistungshalbleitervorrichtungen, wie etwa Transistoren und Dioden, und deren Herstellungsverfahren, einschließlich Packages und Schaltungen, die selbige enthalten.The present invention relates generally to semiconductor devices and, more particularly, to various embodiments for improved power semiconductor devices, such as transistors and diodes, and their fabrication processes, including packages and circuits incorporating the same.

Die Schlüsselkomponente in Leistungselektronikanwendungen ist der Festkörperschalter. Von der Zündsteuerung in Kraftfahrzeuganwendungen bis hin zu batteriebetriebenen elektronischen Geräten von Endverbrauchern sowie zu Leistungsumwandlern in industriellen Anwendungen gibt es einen Bedarf für einen Leistungsschalter, der die Anforderungen der besonderen Anwendung optimal erfüllt. Festkörperschalter, die beispielsweise den Leistungs-Metalloxid-Halbleiter-Feldeffekttransistor (Leistungs-MOSFET), den Bipolar-Transistor mit isoliertem Gate (IGBT) und verschiedene Arten von Thyristoren umfassen, haben sich fortlaufend weiterentwickelt, um diesen Bedarf zu erfüllen. Im Fall des Leistungs-MOSFET sind beispielsweise doppelt diffundierte Strukturen (DMOS) mit lateralem Kanal (z. B. US 4 682 405 A für Blanchard et al.), Trench-Gate-Strukturen (z. B. U.S. Patent Nr. 6,429,481 für Mo et al.) und verschiedene Techniken zum Ladungsausgleich im Transistordriftbereich (z.B. US 4 941 026 A für Temple US 5 216 275 A für Chen und US 6 081 009 A für Neilson) neben vielen anderen Techniken entwickelt worden, um die differierenden und häufig im Widerstreit stehenden Leistungsanforderungen anzusprechen.The key component in power electronics applications is the solid state switch. From ignition control in automotive applications to end-user battery-powered electronic devices, as well as to power converters in industrial applications, there is a need for a circuit breaker that optimally meets the needs of the particular application. Solid-state switches, including, for example, the power metal oxide semiconductor field effect transistor (MOSFET), the insulated gate bipolar transistor (IGBT), and various types of thyristors, have been continually evolving to meet this need. In the case of the power MOSFET, for example, double-diffused structures (DMOS) with lateral channel (e.g. US 4 682 405 A for Blanchard et al.), trench gate structures (e.g. U.S. Patent No. 6,429,481 for Mo et al.) and various techniques for charge compensation in the transistor drift region (eg US 4,941,026 A for Temple US 5 216 275 A for Chen and US Pat. No. 6,081,009 A for Neilson) along with many other techniques have been developed to address the divergent and often conflicting performance requirements.

Einige der definierenden Leistungseigenschaften für den Leistungsschalter sind sein Ein-Widerstand, die Durchbruchspannung und die Schaltgeschwindigkeit. Abhängig von den Anforderungen einer besonderen Anwendung wird eine unterschiedliche Betonung auf jedes dieser Leistungsfähigkeitskriterien gelegt. Beispielsweise für Leistungsanwendungen von größer als ungefähr 300–400 Volt zeigt der IGBT einen inhärent niedrigeren Ein-Widerstand im Vergleich mit dem Leistungs-MOSFET, aber seine Schaltgeschwindigkeit ist aufgrund seiner langsameren Ausschaltkennlinien niedriger. Deshalb ist der IGBT für Anwendungen von mehr als 400 Volt mit niedrigen Schaltfrequenzen, die einen niedrigen Ein-Widerstand erfordern, der bevorzugte Schalter, wohingegen der Leistungs-MOSFET häufig die Vorrichtung der Wahl für relativ höherfrequentige Anwendungen ist. Wenn die Frequenzanforderungen einer gegebenen Anwendung die Art von Schalter, die verwendet wird, vorschreiben, bestimmen die Spannungsanforderungen die konstruktive Ausbildung des besonderen Schalters. Beispielsweise im Fall des Leistungs-MOSFET stellt die Verbesserung des Spannungsleistungsvermögens des Transistors, während ein niedriger RDSon aufrechterhalten wird, wegen der proportionalen Beziehung zwischen dem Drain-Source-Ein-Widerstand RDSon und der Durchbruchspannung eine Herausforderung dar. Um diese Herausforderung anzusprechen, sind verschiedene Ladungsausgleichsstrukturen in dem Transistordriftbereich mit unterschiedlichen Graden an Erfolg entwickelt worden.Some of the defining performance characteristics for the circuit breaker are its on-resistance, breakdown voltage and switching speed. Depending on the requirements of a particular application, a different emphasis is placed on each of these performance criteria. For example, for power applications greater than about 300-400 volts, the IGBT exhibits an inherently lower on-resistance compared to the power MOSFET, but its switching speed is lower because of its slower turn-off characteristics. Therefore, for applications of more than 400 volts with low switching frequencies requiring low on-resistance, the IGBT is the preferred switch, whereas the power MOSFET is often the device of choice for relatively higher frequency applications. When the frequency requirements of a given application dictate the type of switch that is used, the voltage requirements determine the structural design of the particular switch. For example, in the case of the power MOSFET, improving the voltage capability of the transistor while maintaining a low R DSon poses a challenge because of the proportional relationship between the drain-source on-resistance R DSon and the breakdown voltage. To address this challenge, Various charge balancing structures have been developed in the transistor drift area with varying degrees of success.

Die Leistungsfähigkeitsparameter der Vorrichtung werden auch durch den Fertigungsprozess und das Verpacken des Chips beeinflusst. Es sind Versuche unternommen worden, einige dieser Herausforderung durch Entwickeln einer Vielfalt von verbesserten Verarbeitungs- und Verpackungstechniken anzusprechen.The performance parameters of the device are also affected by the manufacturing process and packaging of the chip. Attempts have been made to address some of this challenge by developing a variety of improved processing and packaging techniques.

Ob dies nun in besonders tragbaren elektronischen Geräten für Endverbraucher oder Routern und Hubs in Kommunikationssystemen ist, wachsen die Arten von Anwendungen für den Leistungsschalter weiterhin mit der Ausbreitung der elektronischen Industrie an. Der Leistungsschalter bleibt deshalb eine Halbleitervorrichtung mit einem hohen Entwicklungspotenzial.Whether this is in particularly portable consumer electronic devices or routers and hubs in communications systems, the types of circuit breaker applications continue to grow with the spread of the electronic industry. The power switch therefore remains a semiconductor device with a high development potential.

Halbleitervorrichtungen der eingangs genannten Art sind beispielsweise aus der US 2003/0197220 A1 , der WO 03/023861 A2 , der EP 1 369 927 A2 , der US 5 998 833 A , der US 6 037 628 A , der EP 1 168 455 A2 , der US 2003/0 006 452 A1 , der US 2003/0 080 378 A1 , der US 2003/0 047 776 A1 , und der DE 100 38 177 A1 bekannt geworden.Semiconductor devices of the type mentioned are, for example, from US 2003/0197220 A1 , of the WO 03/023861 A2 , of the EP 1 369 927 A2 , of the US Pat. No. 5,998,833 , of the US Pat. No. 6,037,628 , of the EP 1 168 455 A2 , of the US 2003/0 006 452 A1 , of the US 2003/0 080 378 A1 , of the US 2003/0 047 776 A1 , and the DE 100 38 177 A1 known.

KURZE ZUSAMMENFASSUNG DER ERFINDUNGBRIEF SUMMARY OF THE INVENTION

Die vorliegende Erfindung stellt verschiedene Ausführungsformen für Leistungsvorrichtungen für eine breite Vielfalt von Leistungselektronikanwendungen bereit. Im weiteren Sinn kombiniert ein Aspekt der Erfindung eine Anzahl von Ladungsausgleichstechniken und andere Techniken, zum Reduzieren parasitärer Kapazität, um zu verschiedenen Ausführungsformen für Leistungsvorrichtungen mit verbessertem Spannungsleistungsvermögen, höherer Schaltgeschwindigkeit und niedrigerem Ein-Widerstand zu gelangen. Ein anderer Aspekt der Erfindung stellt verbesserte Terminierungsstrukturen für Nieder-, Mittel- und Hochspannungsvorrichtungen bereit. Gemäß einem anderen Aspekt der Erfindung umfassen Leistungsvorrichtungen mit Ladungsausgleich Temperatur- und Strommesselemente, wie etwa Dioden, auf dem gleichen Chip. Andere Aspekte der Erfindung verbessern den Ersatzreihenwiderstand (ESR) oder Gate-Widerstand für Leistungsvorrichtungen. The present invention provides various embodiments of power devices for a wide variety of power electronics applications. More broadly, an aspect of the invention combines a number of charge balancing techniques and other techniques to reduce parasitic capacitance to arrive at various embodiments for power devices having improved voltage performance, higher switching speed, and lower on-resistance. Another aspect of the invention provides improved termination structures for low, medium and high voltage devices. According to another aspect of the invention, charge balanced power devices include temperature and current sensing elements, such as diodes, on the same chip. Other aspects of the invention improve equivalent series resistance (ESR) or gate resistance for power devices.

Diese und andere Aspekte der Erfindung werden nachstehend ausführlicher in Verbindung mit den begleitenden Zeichnungen beschrieben. These and other aspects of the invention will be described in more detail below in conjunction with the accompanying drawings.

KURZBESCHREIBUNG DER ZEICHNUNGENBRIEF DESCRIPTION OF THE DRAWINGS

1 zeigt eine Querschnittsansicht eines Abschnittes eines beispielhaften mit n-leitendem Trench-Leistungs-MOSFET; 1 FIG. 12 is a cross-sectional view of a portion of an exemplary n-type trench power MOSFET; FIG.

2A zeigt eine beispielhafte Ausführungsform eines Doppel-Trench-Leistungs-MOSFET; 2A shows an exemplary embodiment of a dual trench power MOSFET;

2B zeigt eine beispielhafte Ausführungsform für einen MOSFET mit planarem Gate und einer Source-Abschirm-Grabenstruktur; 2 B shows an exemplary embodiment for a planar gate MOSFET and a source-shield trench structure;

3A zeigt einen Teil einer beispielhaften Ausführungsform eines Trench-Leistungs-MOSFET mit abgeschirmtem Gate; 3A FIG. 12 shows part of an exemplary embodiment of a shielded gate trench power MOSFET; FIG.

3B veranschaulicht eine alternative Ausführungsform für einen Trench-Leistungs-MOSFET mit abgeschirmtem Gate, der die Doppel-Trench(Graben)struktur von 2A mit der abgeschirmten Gate-Struktur von 3A kombiniert; 3B FIG. 12 illustrates an alternative embodiment for a shielded gate trench power MOSFET that incorporates the dual trench structure of FIG 2A with the shielded gate structure of 3A combined;

4A ist ein vereinfachtes partielles Diagramm einer beispielhaften Ausführungsform eines Doppel-Gate-Trench-Leistungs-MOSFET; 4A FIG. 10 is a simplified partial diagram of an exemplary embodiment of a dual-gate trench power MOSFET; FIG.

4B zeigt einen beispielhaften Leistungs-MOSFET, der eine planare Doppel-Gate-Struktur mit Trench-Elektroden zur vertikalen Ladungssteuerung kombiniert; 4B shows an exemplary power MOSFET combining a planar dual gate structure with vertical charge control trench electrodes;

4C zeigt eine beispielhafte Implementierung eines Leistungs-MOSFET, der die Techniken mit Doppel-Gate und abgeschirmtem Gate innerhalb des gleichen Grabens (Trench) kombiniert; 4C FIG. 12 shows an exemplary implementation of a power MOSFET that combines the dual gate and shielded gate techniques within the same trench; FIG.

4D und 4E sind Querschnittsdiagramm von alternativen Ausführungsformen für einen Leistungs-MOSFET mit einer tiefen Body-Struktur; 4D and 4E FIG. 12 are cross-sectional diagrams of alternative embodiments for a power MOSFET having a deep body structure; FIG.

4F und 4G veranschaulichen den Einfluss von mit einem Graben versehenen tiefen Body-Strukturen auf die Verteilung von Potenziallinien innerhalb des Leistungs-MOSFETs in der Nähe der Gate-Elektrode; 4F and 4G illustrate the influence of trenched deep body structures on the distribution of potential lines within the power MOSFET near the gate electrode;

6 zeigt eine vereinfachte Querschnittsansicht eines Leistungs-MOSFET, der eine beispielhafte vertikale Ladungssteuerstruktur mit einer abgeschirmten Gate-Struktur kombiniert; 6 shows a simplified cross-sectional view of a power MOSFET that combines an exemplary vertical charge control structure with a shielded gate structure;

7 zeigt eine vereinfachte Querschnittsansicht eines anderen Leistungs-MOSFET, der eine beispielhafte vertikale Ladungssteuerstruktur mit einer Doppel-Gate-Struktur kombiniert; 7 shows a simplified cross-sectional view of another power MOSFET combining an exemplary vertical charge control structure with a dual gate structure;

12 zeigt eine beispielhafte Ausführungsform für Leistungs-MOSFETs, die Techniken mit abgeschirmtem Gate und Doppel-Gate jeweils mit Ladungsausgleich über eine vergrabene Diode kombinieren; 12 FIG. 12 shows an exemplary embodiment for power MOSFETs combining shielded gate and double gate techniques, each with charge compensation across a buried diode; FIG.

14 zeigt eine vereinfachte Ausführungsform eines beispielhaften Leistungstransistors vom Akkumulationsmodus mit abwechselnden Leitfähigkeitsbereichen, die parallel zum Stromfluss angeordnet sind; 14 shows a simplified embodiment of an exemplary power transistor of the accumulation mode with alternating conductivity regions, which are arranged parallel to the current flow;

15 ist ein vereinfachtes Diagramm einer anderen Vorrichtung vom Akkumulationsmodus mit Trench-Elektroden zu Ladungsausbreitungszwecken; 15 Fig. 10 is a simplified diagram of another accumulation mode device with trench electrodes for charge propagation purposes;

16 ist ein vereinfachtes Diagramm einer beispielhaften Doppel-Trench-Vorrichtung vom Akkumulationsmodus; 16 FIG. 10 is a simplified diagram of an exemplary accumulation mode dual trench device; FIG.

24 zeigt eine beispielhafte Ausführungsform für Super-Junction-Leistungs-MOSFETs mit Doppel-Gate bzw. abgeschirmten Gate-Strukturen; 24 FIG. 12 shows an exemplary embodiment for dual-gate or dual-gate superconducting power MOSFETs; FIG.

25A zeigt eine Draufsicht eines aktiven und Terminierungsgraben-Layouts für einen Trench-Transistor; 25A shows a top view of an active and termination trench layout for a trench transistor;

25B25F zeigen vereinfachte Layoutansichten von alternativen Ausführungsformen für Trench-Terminierungsstrukturen; 25B - 25F show simplified layout views of alternative embodiments for trench termination structures;

26A26C sind Querschnittsansichten von beispielhaften Trench-Terminierungsstrukturen; 26A - 26C FIG. 10 is cross-sectional views of exemplary trench termination structures; FIG.

27 zeigt eine beispielhafte Vorrichtung mit Terminierungsgräben, die große Krümmungsradien aufweisen; 27 shows an exemplary device with termination trenches having large radii of curvature;

30A zeigt ein Beispiel einer Randkontaktierung für Trench-Vorrichtungen; 30A shows an example of edge bonding for trench devices;

30B30F zeigen beispielhafte Prozessschritte beim Bilden der Randkontaktierungsstruktur für eine Trench-Vorrichtung; 30B - 30F show exemplary process steps in forming the edge contacting structure for a trench device;

31A ist ein Beispiel einer Kontaktstruktur für einen aktiven Bereich für mehrere vergrabene Poly-Schichten; 31A FIG. 10 is an example of an active area contact structure for multiple buried poly layers; FIG.

31B31M zeigen einen beispielhaften Prozessablauf zum Bilden einer Abschirmkontaktstruktur einer aktiven Fläche für einen Graben; 31B - 31M FIG. 10 illustrates an example process flow for forming a shield contact structure of an active area for a trench; FIG.

31N ist eine Querschnittsansicht einer alternativen Ausführungsform für eine Abschirmungskontaktstruktur einer aktiven Fläche; 31N FIG. 12 is a cross-sectional view of an alternative embodiment for a shield contact structure of an active area; FIG.

32A und 32B sind Layout-Ansichten einer beispielhaften Trench-Vorrichtung mit einer Abschirmkontaktstruktur einer aktiven Fläche; 32A and 32B FIG. 10 is a layout view of an exemplary trench device having a shield contact structure of an active area; FIG.

32C32D sind vereinfachte Layout-Diagramme von zwei Ausführungsformen zum Herstellen eines Kontaktes mit dem Umfangsgraben in einer Trench-Vorrichtung mit einer gebrochenen Trench-Struktur; 32C - 32D FIG. 10 are simplified layout diagrams of two embodiments for making contact with the circumferential trench in a trench device having a broken trench structure; FIG.

33A ist eine alternative Ausführungsform zum Kontaktieren von Trench-Abschirm-Poly-Schichten in der aktiven Fläche; 33A Figure 4 is an alternative embodiment for contacting trench-shielding poly layers in the active area;

33B33M zeigen ein Beispiel eines Prozessablaufes zum Kontaktieren einer Abschirmstruktur einer aktiven Fläche von dem in 33A gezeigten Typ; 33B - 33M FIG. 12 shows an example of a process flow for contacting a shielding structure of an active area with that in FIG 33A type shown;

60 ist ein vereinfachtes Diagramm eines MOSFET mit einer Strommessvorrichtung; 60 is a simplified diagram of a MOSFET with a current measuring device;

61A ist ein Beispiel eines Ladungsausgleichs-MOSFET mit einer planaren Gate-Struktur und einer isolierten Strommessstruktur; 61A is an example of a charge balance MOSFET having a planar gate structure and an isolated current sensing structure;

61B zeigt ein Beispiel eines Integrierens einer Strommesseinrichtung mit einem Trench-MOSFET; 61B shows an example of integrating a current measuring device with a trench MOSFET;

62A62C zeigen alternative Ausführungsformen für einen MOSFET mit Reihen-Temperaturmessdioden; 62A - 62C show alternative embodiments for a MOSFET with series temperature measuring diodes;

63A und 63B zeigen alternative Ausführungsformen für einen MOSFET mit ESD-Schutz; 63A and 63B show alternative embodiments for a MOSFET with ESD protection;

64A64D zeigen Beispiele von ESD-Schutzschaltkreisen; 64A - 64D show examples of ESD protection circuits;

65 zeigt einen beispielhaften Prozess zum Bilden von Leistungsvorrichtungen mit Ladungsausgleich und niedrigerem ESR. 65 FIG. 10 illustrates an example process for forming charge balance and lower ESR power devices. FIG.

Die FIG. sind nicht fortlaufend nummeriert.The FIG. are not consecutively numbered.

AUSFÜHRLICHE BESCHREIBUNG DER ERFINDUNGDETAILED DESCRIPTION OF THE INVENTION

Der Leistungsschalter kann durch irgendein Bauelement einem von Leistungs-MOSFET, einem IGBT, verschiedenen Arten von Thyristoren und dergleichen implementiert sein. Viele der neuartigen hierin vorgestellten Techniken sind zu Veranschaulichungszwecken im Zusammenhang mit dem Leistungs-MOSFET beschrieben. Es ist jedoch zu verstehen, dass die verschiedenen Ausführungsformen der hierin beschriebenen Erfindung nicht auf den Leistungs-MOSFET beschränkt sind und auf viele andere Arten von Leistungsschalttechnologien angewandt werden können, die beispielsweise IGBTs und andere Arten von bipolaren Schaltern, und verschiedenen Arten von Thyristoren sowie Dioden umfassen. Weiter sind die verschiedenen Ausführungsformen der Erfindung zu Veranschaulichungszwecken so gezeigt, dass sie spezifische p- und n-leitende Bereiche umfassen. Fachleuten werden verstehen, dass die Lehren hierin gleichermaßen auf Vorrichtungen anwendbar sind, in denen die Leitfähigkeiten der verschiedenen Bereiche umgekehrt sind.The power switch may be implemented by any one of power MOSFET, IGBT, various types of thyristors, and the like. Many of the novel techniques presented herein are described in connection with the power MOSFET for purposes of illustration. It should be understood, however, that the various embodiments of the invention described herein are not limited to the power MOSFET and can be applied to many other types of power switching technologies, including IGBTs and other types of bipolar switches, and various types of thyristors and diodes include. Further, for purposes of illustration, the various embodiments of the invention are shown to include specific p- and n-type regions. It will be understood by those skilled in the art that the teachings herein are equally applicable to devices in which the conductivities of the various regions are reversed.

In 1 ist eine Querschnittsansicht eines Abschnitts eines beispielhaften n-Leistungs-MOSFET 100 mit n-leitendem Graben (Trench) zu sehen. Wie bei allen anderen hierin beschriebenen Figuren ist zu verstehen, dass die relativen Abmessungen und Größen von verschiedenen Elementen und Komponenten, die in den Figuren dargestellt sind, nicht exakt die tatsächlichen Abmessungen wiederspiegeln und lediglich zu Darstellungszwecken dienen. Der Trench-MOSFET 100 umfasst eine Gate-Elektrode, die innerhalb von Gräben 102 gebildet ist, die sich von der oberen Oberfläche des Substrats durch eine p-leitende Wanne oder einen Body-Bereich 104 erstrecken und in einem n-leitenden Drift- oder Epitaxiebereich 106 enden. Die Gräben 102 sind mit dünnen Dielektrikumschichten 108 ausgekleidet und mit leitfähigem Material 110, wie etwa dotiertem Polysilizium, im Wesentlichen gefüllt. N-leitende Source-Bereiche 112 sind innerhalb des Body-Bereichs 104 benachbart zu den Gräben 102 gebildet. Ein Drain-Anschluss für MOSFET 100 ist an der Rückseite des Substrats gebildet, die mit einem stark dotierten n+ Substratbereich 114 verbunden ist. Die in 1 gezeigte Struktur ist viele Male auf einem gemeinsamen Substrat wiederholt, das beispielsweise aus Silizium hergestellt ist, um ein Array von Transistoren zu bilden. Das Array kann in verschiedenen in der Technik gebildeten zellulären oder streifenförmigen Architekturen konfiguriert sein. Wenn der Transistor eingeschaltet ist, wird zwischen den Source-Bereichen 112 und dem Drift-Bereich 106 entlang der Wände der Gate-Gräben 102 vertikal ein leitender Kanal gebildet.In 1 FIG. 12 is a cross-sectional view of a portion of an exemplary n-type power MOSFET. FIG 100 to see with n-type trench (trench). As with all other figures described herein, it should be understood that the relative dimensions and sizes of various elements and components illustrated in the figures do not accurately reflect the actual dimensions and are for illustrative purposes only. The trench MOSFET 100 includes a gate electrode that resides within trenches 102 is formed, extending from the top surface of the substrate through a p-type well or body area 104 extend and in an n-type drift or epitaxial region 106 end up. The trenches 102 are with thin dielectric layers 108 lined and with conductive material 110 , such as doped polysilicon, substantially filled. N-type source regions 112 are within the body area 104 adjacent to the trenches 102 educated. A drain connection for MOSFET 100 is formed on the backside of the substrate, which has a heavily doped n + substrate region 114 connected is. In the 1 The structure shown is repeated many times on a common substrate made, for example, of silicon to form an array of transistors. The array can be configured in various cellular or strip architectures formed in the art. When the transistor is turned on, it will be between the source areas 112 and the drift area 106 along the walls of the gate trenches 102 vertically formed a conductive channel.

Wegen seiner vertikalen Gate-Struktur ermöglicht der MOSFET 100 eine höhere Packungsdichte im Vergleich mit einer Vorrichtung mit planarem Gate, und die höhere Packungsdichte ergibt einen relativ niedrigen Ein-Widerstand. Um das Durchbruchspannungs-Leistungsvermögen dieses Transistors zu verbessern, ist ein starker p+ Body-Bereich 118 innerhalb der p– Wanne 104 derart gebildet, dass an der Grenzfläche zwischen dem starken p+ Body 118 und der p– Wanne 104 ein abrupter Übergang gebildet ist. Indem die Tiefe des starken p+ Bodys 118 relativ zu der Grabentiefe und der Tiefe der Wanne gesteuert wird, werden elektrische Felder, die erzeugt werden, wenn Spannung an dem Transistor angelegt wird, von den Gräben weg bewegt. Dies erhöht die Lawinenstrom-Handhabungsfähigkeit des Transistors. Abwandlungen dieser verbesserten Struktur und dieser verbesserten Prozesse zum Bilden des Transistors und insbesondere des abrupten Übergangs sind ausführlicher in der US 6 429 481 B1 für Mo et al. beschrieben.Because of its vertical gate structure, the MOSFET allows 100 a higher packing density compared with a planar gate device, and the higher packing density results in a relatively low on-resistance. To improve the breakdown voltage capability of this transistor is a strong p + body region 118 inside the p-tub 104 formed such that at the interface between the strong p + body 118 and the p-tub 104 an abrupt transition is formed. By the depth of the strong p + body 118 is controlled relative to the trench depth and the depth of the well, electric fields generated when voltage is applied to the transistor are moved away from the trenches. This increases the avalanche current handling capability of the transistor. Variations of this improved structure and these improved processes for forming the transistor, and in particular the abrupt junction, are described in more detail in US Pat US Pat. No. 6,429,481 B1 for Mo et al. described.

Obwohl ein vertikaler Trench-MOSFET 100 mit einen guten Ein-Widerstand und eine verbesserte Rauheit zeigt, weist er eine relativ hohe Eingangskapazität auf. Die Eingangskapazität für den Trench-MOSFET 100 besitzt zwei Komponenten: Gate-Source-Kapazität Cgs und Gate-Drain-Kapazität Cgd. Die Gate-Source-Kapazität Cgs resultiert aus der Überlappung zwischen dem leitfähigem Material 110 des Gates und den Source-Bereichen 112 in der Nähe der Oberseite des Grabens. Die Kapazität, die zwischen dem Gate und dem invertierten Kanal in dem Body gebildet ist, trägt auch zu Cgs bei, da in typischen Leistungsschaltanwendungen der Body und die Source-Elektroden des Transistors miteinander kurzgeschlossen sind. Die Gate-Drain-Kapazität Cgd resultiert aus der Überlappung zwischen dem leitenden Material 110 des Gates am Boden jedes Grabens und dem Driftbereich 106, der mit der Drain verbunden ist. Die Gate-Drain-Kapazität Cgd oder die Miller-Kapazität, begrenzt die Übergangszeit des Transistors VDS. Deshalb führen höhere Cgs und Cgd zu merklichen Schaltverlusten. Diese Schaltverluste werden zunehmend wichtiger, da sich Leistungsmanagementanwendungen in Richtung höherer Schaltfrequenzen bewegen.Although a vertical trench MOSFET 100 With good on-resistance and improved roughness, it has a relatively high input capacitance. The input capacitance for the trench MOSFET 100 has two components: gate-source capacitance Cgs and gate-drain capacitance Cgd. The gate-source capacitance Cgs results from the overlap between the conductive material 110 of the gate and the source areas 112 near the top of the trench. The capacitance formed between the gate and the inverted channel in the body also contributes to Cgs, since in typical power switching applications the body and the source electrodes of the transistor are shorted together. The gate-drain capacitance Cgd results from the overlap between the conductive material 110 of the gate at the bottom of each trench and the drift area 106 which is connected to the drain. The gate-drain capacitance Cgd or the Miller capacitance limits the transition time of the transistor V DS . Therefore, higher Cgs and Cgd lead to significant switching losses. These switching losses are becoming increasingly important as power management applications move toward higher switching frequencies.

Eine Möglichkeit, die Gate-Source-Kapazität Cgs zu verringern, ist, die Kanallänge des Transistors zu verringern. Eine kürzere Kanallänge verringert direkt die Gate-Kanal-Komponente von Cgs. Eine kürzere Kanallänge ist auch direkt proportional zu RDSon und ermöglicht das Erhalten der gleichen Vorrichtungsstromfähigkeit mit weniger Gate-Gräben. Dies verringert sowohl Cgs als auch Cgd, indem der Betrag an Gate-Source- und Gate-Drain-Überlappung verringert wird. Eine kürzere Kanallänge macht jedoch die Vorrichtung anfällig gegenüber Punch-Through, wenn die Verarmungsschicht, die infolge des in Sperrrichtung vorgespannten Body-Drain-Übergangs gebildet wird, sich tief in den Body-Bereich schiebt und den Source-Bereichen annähert. Ein Verringern der Dotierungskonzentration des Driftbereiches, so dass er mehr von der Verarmungsschicht trägt, hat den unerwünschten Effekt, dass der Ein-Widerstand RDSon des Transistors erhöht wird.One way to reduce the gate-to-source capacitance Cgs is to decrease the channel length of the transistor. A shorter channel length directly reduces the gate channel component of Cgs. A shorter channel length is also directly proportional to R DSon and allows obtaining the same device current capability with fewer gate trenches. This reduces both Cgs and Cgd by reducing the amount of gate-source and gate-drain overlap. However, a shorter channel length renders the device prone to punch-through when the depletion layer formed as a result of the reverse biased body-drain junction pushes deeply into the body region and approaches the source regions. Reducing the doping concentration of the drift region to carry more of the depletion layer has the undesirable effect of increasing the on-resistance R DSon of the transistor.

Eine Verbesserung der Transistorstruktur, die eine Verringerung der Kanallänge zulässt und auch wirksam ist, um die obigen Nachteile anzusprechen, verwendet zusätzliche ”Abschirm”-Gräben, die seitlich von Gate-Gräben beabstandet sind. In 2A ist eine beispielhafte Ausführungsform eines Doppel-Trench-MOSFET 200 gezeigt. Die Terminologie ”Doppel-trench” bezieht sich auf den Transistor, der zwei unterschiedliche Arten von Gräben im Gegensatz zur Gesamtzahl von ähnlichen Gräben aufweist. Zusätzlich zu den konstruktiven Merkmalen, die dem MOSFET von 1 gemeinsam sind, umfasst der Doppel-Trench-MOSFET 200 Abschirmgräben 220, die zwischen den benachbarten Gate-Gräben 202 angeordnet sind. In der in 2A gezeigten beispielhaften Ausführungsform erstrecken sich die Abschirmgräben 220 von der Oberfläche durch p+ Bereich 218, Body-Bereich 204 und in den Driftbereich 206 deutlich unter die Tiefe der Gate-Gräben 202. Die Gräben 220 sind mit einem dielektrischen Material 222 ausgekleidet und sind mit leitfähigem Material 224, wie etwa dotiertem Polysilizium, im Wesentlichen gefüllt. Eine Metallschicht 216 verbindet das leitfähige Material 224 innerhalb der Gräben 220 elektrisch mit n+ Source-Bereichen 212 und starken p+ Body-Bereichen 218. In dieser Ausführungsform können die Gräben deshalb als Source-Abschirmgräben bezeichnet werden. Ein Beispiel dieser Art von Doppel-Trench-MOSFET und ein Prozess zum Herstellen und Schaltkreisanwendungen für selbige sind ausführlicher in der US 2004/0 021 173 A1 mit dem Titel ”Dual Trench Power MOSFET” von Steven Sapp beschrieben.An improvement in the transistor structure that allows channel length reduction and is also effective to address the above drawbacks uses additional "shield" trenches that are laterally spaced from gate trenches. In 2A is an exemplary embodiment of a double trench MOSFET 200 shown. The terminology "double trench" refers to the transistor having two different types of trenches as opposed to the total number of similar trenches. In addition to the design features that the MOSFET of 1 in common includes the double trench MOSFET 200 Abschirmgräben 220 that exist between the neighboring gate trenches 202 are arranged. In the in 2A In the exemplary embodiment shown, the shield trenches extend 220 from the surface through p + area 218 , Body area 204 and in the drift area 206 well below the depth of the gate trenches 202 , The trenches 220 are with a dielectric material 222 lined and are with conductive material 224 , such as doped polysilicon, substantially filled. A metal layer 216 connects the conductive material 224 inside the trenches 220 electrically with n + source regions 212 and strong p + body areas 218 , In this embodiment, the trenches may therefore be referred to as source shielding trenches. An example of this type of dual trench MOSFET and a process for making and circuiting the same are described in more detail in U.S. Patent No. 5,309,866 US 2004/0 021 173 A1 entitled "Dual Trench Power MOSFET" by Steven Sapp.

Die Bedeutung von tieferen Source-Abschirmgräben 220 ist, die Verarmungsschicht, die infolge des in Sperrrichtung vorgespannten Body-Drain-Übergangs gebildet wird, tiefer in den Driftbereich 206 zu schieben. Somit kann ein breiterer Verarmungsbereich resultieren, ohne das elektrische Feld zu erhöhen. Dies lässt zu, dass der Driftbereich höher dotiert sein kann, ohne die Durchbruchspannung abzusenken. Ein höher dotierter Driftbereich verringert den Ein-Widerstand des Transistors. Darüber hinaus lässt das reduzierte elektrische Feld in der Nähe des Body-Drain-Übergangs zu, dass die Kanallänge wesentlich verringert wird, was den Ein-Widerstand des Transistors weiter verringert und die Gate-Source-Kapazität Cgs weiter verringert. Auch im Vergleich mit dem MOSFET von 1 ermöglicht der Doppel-Trench-MOSFET das Erhalten der gleichen Transistorstromfähigkeit mit weit weniger Gate-Gräben. Dies verringert die Gate-Source- und Gate-Drain-Überlappungskapazitäten signifikant. Es ist anzumerken, dass in der beispielhaften in 2A gezeigten Ausführungsform die leitfähige Schicht 210 des Gate-Grabens innerhalb des Grabens vergraben ist, wodurch die Notwendigkeit für die Zwischenschicht-Dielektrikum-Kuppel beseitigt wird, die oberhalb der Gräben 102 in dem in 1 gezeigten MOSFET 100 vorhanden ist. Auch ist die Verwendung von Source-Abschirmgräben, wie es hierin angedacht ist, nicht auf Trench-Gate-MOSFETs begrenzt, und ähnliche Vorteile werden erhalten, wenn Source-Abschirmgräben in planaren MOSFETs angewandt werden, bei denen das Gate horizontal auf der oberen Oberfläche des Substrats gebildet ist. Eine beispielhafte Ausführungsform für einen MOSFET mit planarem Gate und Source-Abschirm-Grabenstruktur ist in 2B gezeigt.The importance of deeper source shielding trenches 220 is, the depletion layer, which is formed as a result of the reverse biased body-drain junction, deeper into the drift region 206 to push. Thus, a wider depletion region can result without increasing the electric field. This allows the drift region to be doped higher without lowering the breakdown voltage. A higher doped drift region reduces the on-resistance of the transistor. Moreover, the reduced electric field in the vicinity of the body-drain junction allows the channel length to be substantially reduced, further reducing the on-resistance of the transistor and further reducing the gate-to-source capacitance Cgs. Also compared with the mosfet of 1 allows the dual trench MOSFET to obtain the same transistor current capability with far fewer gate trenches. This significantly reduces the gate-to-source and gate-to-drain overlap capacities. It should be noted that in the exemplary in 2A In the embodiment shown, the conductive layer 210 of the gate trench is buried within the trench, thereby eliminating the need for the interlayer dielectric dome above the trenches 102 in the 1 shown MOSFET 100 is available. Also, the use of source shield trenches as contemplated herein is not limited to trench gate MOSFETs, and similar advantages are obtained when source shield trenches are employed in planar MOSFETs in which the gate is disposed horizontally on the top surface of the MOSFET Substrate is formed. An exemplary embodiment for a planar gate MOSFET and source-shield trench structure is shown in FIG 2 B shown.

Um die Eingangskapazität weiter zu vermindern, können zusätzliche konstruktive Verbesserungen vorgenommen werden, die sich darauf fokussieren, die Gate-Drain-Kapazität Cgd zu verringern. Wie es oben besprochen wurde, wird die Gate-Drain-Kapazität Cgd durch die Überlappung zwischen dem Gate- und dem Driftbereich am Boden des Grabens hervorgerufen. Ein Verfahren zum Verringern dieser Kapazität erhöht die Dicke der Gate-Dielektrikumschicht am Boden des Grabens. Wieder nach 2A sind Gate-Gräben 202 derart gezeigt, dass sie eine dickere Dielektrikumschicht 226 am Boden des Grabens, wo es eine Überlappung mit dem Driftbereich 206 gibt (dem Transistor-Drain-Anschluss), im Vergleich mit der Dielektrikumschicht entlang der Seitenwände des Gate-Grabens aufweisen. Dies verringert die Gate-Drain-Kapazität Cgd ohne die Leitung des Transistors in Durchlassrichtung zu verschlechtern. Die Schaffung einer dickeren Dielektrikumschicht am Boden des Gate-Grabens kann auf unterschiedliche Weise bewerkstelligt werden. Ein beispielhafter Prozess zum Schaffen der dickeren Dielektrikumschicht ist in der US 6 437 386 B1 für Hurst et al. beschrieben. Eine andere Möglichkeit, die Gate-Drain-Kapazität zu minimieren, ist, einen zentral angeordneten, zweiten, dielektrischen Kern innerhalb des Grabens einzuschließen, der sich von der dielektrischen Auskleidung auf dem Grabenboden nach oben erstreckt. In einer Ausführungsform kann sich der zweite dielektrische Kern insgesamt nach oben erstrecken, um die Dielektrikumschicht über dem leitfähigen Material 210 des Grabens zu kontaktieren. Ein Beispiel dieser Ausführungsform und Abwandlungen davon sind ausführlicher in der US 6 573 560 B1 für Shenoy beschrieben.In order to further reduce the input capacitance, additional design improvements focusing on reducing the gate-drain capacitance Cgd may be made. As discussed above, the gate-drain capacitance Cgd is caused by the overlap between the gate and drift regions at the bottom of the trench. One method of reducing this capacitance increases the thickness of the gate dielectric layer at the bottom of the trench. Again after 2A are gate trenches 202 shown to have a thicker dielectric layer 226 at the bottom of the trench, where there is an overlap with the drift area 206 There are (the transistor-drain terminal), as compared with the dielectric layer along the side walls of the gate trench. This reduces the gate-drain capacitance Cgd without degrading the conduction of the transistor in the forward direction. The creation of a thicker dielectric layer at the bottom of the gate trench can be accomplished in a variety of ways. An exemplary process for providing the thicker dielectric layer is shown in FIG US Pat. No. 6,437,386 B1 for Hurst et al. described. Another way to minimize the gate-drain capacitance is to include a centrally located second dielectric core within the trench that extends upwardly from the dielectric liner on the trench bottom. In one embodiment, the second dielectric core may extend all the way up to cover the dielectric layer over the conductive material 210 to contact the trench. An example of this embodiment and modifications thereof are more fully described in U.S.P. US Pat. No. 6,573,560 B1 described for Shenoy.

Eine andere Technik zum Verringern der Gate-Graben-Kapazität Cgd umfasst das Abschirmen des Gates unter Verwendung von einer oder mehreren vorgespannten Elektroden. Gemäß dieser Ausführungsform sind innerhalb des Gate-Grabens und unter dem leitfähigen Material, das die Gate-Elektrode bildet, eine oder mehrere Elektroden gebildet, um das Gate vor dem Driftbereich abzuschirmen, wodurch die Gate-Drain-Überlappungskapazität wesentlich verringert wird. In 3A ist ein Teil einer beispielhaften Ausführungsform eines Trench-MOSFET 300A mit abgeschirmtem Gate gezeigt. Die Gräben 302 in MOSFET 300A umfassen eine Gate-Elektrode 310, und bei diesem Beispiel zwei zusätzliche Elektroden 311a und 311b unter der Gate-Elektrode 310. Die Elektroden 311a und 311b schirmen die Gate-Elektrode 310 davor ab, irgendeine wesentliche Überlappung mit dem Driftbereich 306 zu besitzen, wodurch die Gate-Drain-Überlappungskapazität beinahe beseitigt wird. Die Abschirmelektroden 311a und 311b können unabhängig mit einem optimalen Potenzial vorgespannt sein. In einer Ausführungsform kann eine der Abschirmelektroden 311a oder 311b mit dem gleichen Potenzial wie der Source-Anschluss vorgespannt sein. Ähnlich wie die Doppel-Trench-Struktur kann das Vorspannen der Abschirmelektroden auch beim Aufweiten des Verarmungsbereiches helfen, der an dem Body-Drain-Übergang gebildet wird, was Cgd weiter vermindert. Es ist zu verstehen, dass die Anzahl von Abschirmelektroden 311 abhängig von der Schaltanwendung und insbesondere den Spannungsanforderungen der Anwendung variieren kann. Ähnlich kann die Größe der Abschirmelektroden in einem gegebenen Graben variieren. Beispielsweise kann die Abschirmelektrode 311a größer sein als die Abschirmelektrode 311b. In einer Ausführungsform liegt die kleinste Abschirmelektrode am nächsten bei dem Boden des Grabens, und die Größe der übrigen Abschirmelektroden nimmt allmählich zu, wenn sie sich der Gate-Elektrode nähern. Unabhängig vorgespannte Elektroden innerhalb der Gräben können ebenfalls zu vertikalen Ladungssteuerzwecken verwendet werden, um einen kleineren Vorwärtsspannungsverlust und eine höhere Sperrfähigkeit zu erzielen. Dieser Aspekt der Transistorstruktur, der nachstehend in Verbindung mit Vorrichtungen mit höherer Spannung beschrieben wird, ist auch ausführlicher in der US 2003/0 073 287 A1 mit dem Titel ”Semiconductor Structure with Improved Smaller Forward Voltage Loss and Higher Blocking Capability” von Kocon beschrieben.Another technique for reducing the gate trench capacitance Cgd involves shielding the gate using one or more biased electrodes. According to this embodiment, within the gate trench and under the conductive material forming the gate electrode, one or more electrodes are formed to shield the gate from the drift region, thereby substantially reducing the gate-drain overlap capacitance. In 3A is part of an exemplary embodiment of a trench MOSFET 300A Shielded gate shown. The trenches 302 in MOSFET 300A comprise a gate electrode 310 , and in this example two additional electrodes 311 and 311b under the gate electrode 310 , The electrodes 311 and 311b shield the gate electrode 310 from any significant overlap with the drift region 306 , which almost eliminates the gate-drain overlap capacity. The shielding electrodes 311 and 311b can be independently biased with optimal potential. In one embodiment, one of the shield electrodes 311 or 311b be biased with the same potential as the source terminal. Similar to the double trench structure, biasing the shield electrodes may also help in expanding the depletion region formed at the body-drain junction, further reducing Cgd. It should be understood that the number of shielding electrodes 311 Depending on the switching application and in particular the voltage requirements of the application may vary. Similarly, the size of the shield electrodes may vary in a given trench. For example, the shielding electrode 311 larger than the shielding electrode 311b , In one embodiment, the smallest shield electrode is closest to the bottom of the trench, and the size of the remaining shield electrodes gradually increases as they approach the gate electrode. Independently biased electrodes within the trenches may also be used for vertical charge control purposes to achieve smaller forward voltage loss and higher blocking capability. This aspect of the transistor structure, which will be described below in connection with higher voltage devices, is also described in greater detail in U.S. Patent No. 5,376,474 US 2003/0 073 287 A1 entitled "Semiconductor Structure with Improved Smaller Forward Voltage Loss and Higher Blocking Capability" by Kocon.

3B veranschaulicht eine alternative Ausführungsform für einen Trench-MOSFET mit abgeschirmtem Gate 300B, der die Doppel-Trench-Struktur von 2A mit der abgeschirmten Gate-Struktur von 3A kombiniert. In der in 3B gezeigten beispielhaften Ausführungsform umfasst der Gate-Graben 301 ein Gate-Poly 310 über einem Abschirm-Poly 311 ähnlich dem Graben 302 von MOSFET 300A. MOSFET 300B umfasst jedoch Nicht-Gate-Gräben 301, die tiefer sein können als die Gate-Gräben 302, für vertikale Ladungssteuerzwecke. 3B illustrates an alternative embodiment for a shielded gate trench MOSFET 300B who made the double-trench structure of 2A with the shielded gate structure of 3A combined. In the in 3B The exemplary embodiment shown includes the gate trench 301 a gate poly 310 over a shielding poly 311 similar to the ditch 302 of MOSFET 300A , MOSFET 300B however, includes non-gate trenches 301 that can be deeper than the gate trenches 302 , for vertical charge control purposes.

Während die Ladungssteuergräben 301 eine einzige Schicht aus leitfähigem Material (z. B. Polysilizium) aufweisen, die mit dem Source-Metall an der Oberseite des Grabens verbunden ist, wie in 2A, verwendet die in 3B gezeigte Ausführungsform mehrfach gestapelte Poly-Elektroden 313, die unabhängig vorgespannt sein können. Die Anzahl von Elektroden 313, die in einem Graben gestapelt sind, kann abhängig von den Anwendungsanforderungen variieren, ebenso wie es die Größen der in 3B gezeigten Elektroden 313 können. Die Elektroden können unabhängig vorgespannt oder elektrisch miteinander verbunden sein. Auch die Anzahl von Ladungssteuergräben innerhalb einer Vorrichtung wird von der Anwendung abhängen.While the cargo control trenches 301 a single layer of conductive material (e.g., polysilicon) bonded to the source metal at the top of the trench, as in FIG 2A , uses the in 3B As shown embodiment poly-electrode stacked several times 313 that can be independently biased. The number of electrodes 313 which are stacked in a trench may vary depending on the application requirements, as well as the sizes of the 3B shown electrodes 313 can. The electrodes may be independently biased or electrically connected together. The number of charge control trenches within a device will also depend on the application.

Noch eine andere Technik zum verbessern Schaltgeschwindigkeit des Leistungs-MOSFET verringert die Gate-Drain-Kapazität Cgd durch Anwenden einer Doppel-Gate-Struktur. Gemäß dieser Ausführungsform ist die Gate-Struktur innerhalb des Grabens in zwei Segmente aufgespalten: ein erstes Segment, das die herkömmliche Gate-Funktion erfüllt, welche das Schaltsignal empfängt, und ein zweites Segment, das das erste Gate-Segment vor dem Drift-(Drain)-Bereich abschirmt und unabhängig vorgespannt sein kann. Dies verringert die Gate-Drain-Kapazität des MOSFET drastisch. 4A ist ein vereinfachtes partielles Diagramm einer beispielhaften Ausführungsform eines Doppel-Gate-Trench-MOSFET 400A. Wie es in 4A gezeigt ist, weist das Gate des MOSFET 400A zwei Segmente G1 und G2 auf. Anders als die Abschirmelektroden (311a und 311b) in MOSFET 300A von 3A weist das leitfähige Material, das G2 in MOSFET 400A bildet, einen Überlappungsbereich 401 mit dem Kanal auf und wirkt daher als Gate-Anschluss. Dieser sekundäre Gate-Anschluss G2 ist jedoch unabhängig von dem primären Gate-Anschluss G1 vorgespannt und empfängt nicht das gleiche Signal, das den Schalttransistor ansteuert. Stattdessen ist G2 in einer Ausführungsform mit einem konstanten Potenzial knapp über der Schwellenspannung des MOSFET vorgespannt, um den Kanal im Überlappungsbereich 401 zu invertieren. Dies wird sicherstellen, dass ein kontinuierlicher Kanal gebildet wird, wenn ein Übergang von dem sekundären Gate G2 zu dem primären Gate G1 hergestellt wird. Auch ist Cgd verringert, da das Potenzial bei G2 höher ist als das Source-Potenzial, und die Ladungsübertragung von dem Driftbereich weg und in das sekundäre Gate G2 hinein trägt weiter zu einer Verringerung in Cgd bei. In einer anderen Ausführungsform kann das sekundäre Gate G2 statt mit einem konstanten Potenzial mit einem Potenzial über der Schwellenspannung kurz vor dem Schaltereignis vorgespannt werden. In anderen Ausführungsformen kann das Potenzial bei G2 variabel eingerichtet und optimal eingestellt werden, um irgendeinen störenden Abschnitt der Gate-Drain-Kapazität Cgd zu minimieren. Die Doppel-Gate-Struktur kann in MOSFETs mit planarer Gate-Struktur sowie in anderen Typen von Trench-Gate-Leistungsvorrichtungen, die IGBT und dergleichen einschließen, angewandt werden. Abwandlungen an Gate gesteuerten MOS-Vorrichtungen mit Doppel-Gate und Prozesse zur Herstellung derartiger Vorrichtungen sind ausführlicher in der US 2004/0 113 202 A1 mit dem Titel ”Improved MOS Gating Method for Reduced Miller Capacitance and Switching Losses” von Kocon et al. beschrieben. Yet another technique for improving the switching speed of the power MOSFET reduces the gate-drain capacitance Cgd by applying a double-gate structure. According to this embodiment, the gate structure within the trench is split into two segments: a first segment that satisfies the conventional gate function that receives the switching signal, and a second segment that covers the first gate segment before the drift (drain ) Area and can be independently biased. This drastically reduces the gate-drain capacitance of the MOSFET. 4A FIG. 4 is a simplified partial diagram of an exemplary embodiment of a dual-gate trench MOSFET. FIG 400A , As it is in 4A is shown, the gate of the MOSFET 400A two segments G1 and G2. Unlike the shielding electrodes ( 311 and 311b ) in MOSFET 300A from 3A indicates the conductive material, the G2 in MOSFET 400A forms an overlap area 401 with the channel on and therefore acts as a gate terminal. However, this secondary gate G2 is biased independently of the primary gate G1 and does not receive the same signal driving the switching transistor. Instead, in one embodiment, G2 is biased with a constant potential just above the threshold voltage of the MOSFET to the channel in the overlap region 401 to invert. This will ensure that a continuous channel is formed when making a transition from the secondary gate G2 to the primary gate G1. Also, Cgd is reduced because the potential at G2 is higher than the source potential, and the charge transfer away from the drift region and into the secondary gate G2 further contributes to a reduction in Cgd. In another embodiment, the secondary gate G2 may be biased, rather than having a constant potential, with a potential above the threshold voltage just prior to the switching event. In other embodiments, the potential at G2 may be variably set and optimally adjusted to minimize any parasitic portion of the gate-drain capacitance Cgd. The dual-gate structure can be applied in planar gate MOSFETs as well as in other types of trench-gate power devices, including IGBTs and the like. Variations on gate-controlled double-gate MOS devices and processes for fabricating such devices are described in more detail in US Pat US 2004/0 113 202 A1 entitled "Improved MOS Gating Method for Reduced Miller Capacitance and Switching Losses" by Kocon et al. described.

Eine andere Ausführungsform für einen verbesserten Leistungs-MOSFET ist in 4B gezeigt, wobei ein beispielhafter MOSFET 400B eine planare Doppel-Gate-Struktur mit Trench-Elektroden zur vertikalen Ladungssteuerung kombiniert. Primäre und sekundäre Gate-Anschlüsse G1 und G2 funktionieren auf eine ähnliche Weise wie die Trench-Doppel-Gate-Struktur von 4A, wobei tiefe Gräben 420 eine Elektrode in dem Driftbereich bereitstellen, um die Ladung auszubreiten und die Durchbruchspannung der Vorrichtung zu erhöhen. In der gezeigten Ausführungsform überlappt das Abschirm- oder sekundäre Gate G2 den oberen Abschnitt des primären Gates G1 und erstreckt sich über der p– Wanne 404 und dem Driftbereich 406. In einer alternativen Ausführungsform erstreckt sich das primäre Gate G1 über Abschirm-/sekundäres Gate G2.Another embodiment for an improved power MOSFET is shown in FIG 4B shown, wherein an exemplary MOSFET 400B combined a planar double-gate structure with trench electrodes for vertical charge control. Primary and secondary gate terminals G1 and G2 function in a similar manner to the trench double-gate structure of FIG 4A , with deep trenches 420 provide an electrode in the drift region to spread the charge and increase the breakdown voltage of the device. In the illustrated embodiment, the shielding or secondary gate G2 overlaps the upper portion of the primary gate G1 and extends over the p-well 404 and the drift area 406 , In an alternative embodiment, the primary gate G1 extends across the shield / secondary gate G2.

Die verschiedenen soweit beschriebenen Techniken, wie etwa Gate-Abschirmung und Trench-Elektroden zur vertikalen Ladungssteuerung können kombiniert werden, um Leistungsvorrichtungen zu erhalten, die laterale und vertikale MOSFETs, IGBTs, Dioden und dergleichen umfassen, deren Leistungskennlinien für eine gegebene Anwendung optimiert sind. Beispielsweise kann die in 4A gezeigte Trench-Doppel-Gate-Struktur vorteilhaft mit vertikalen Ladungssteuerungs-Trench-Strukturen von den in den 3B oder 4B gezeigten Typen kombiniert werden. Eine derartige Vorrichtung würde einen aktiven Graben mit Doppel-Gate-Struktur umfassen, wie es in 4A gezeigt ist, sowie tiefere Ladungssteuergräben, die entweder mit einer einzigen Schicht aus leitfähigem Material im Wesentlichen gefüllt sind (wie in Gräben 420 in 4B), oder durch mehrere gestapelte leitfähige Elektroden (wie in Gräben 301 in 3B). Für laterale Vorrichtungen, in denen der Drain-Anschluss sich auf der gleichen Oberfläche des Substrats wie der Source-Anschluss befindet (d. h. der Strom lateral fließt), würden die Ladungssteuerelektroden, die lateral angeordnet sind, Feldplatten bilden, anstatt dass sie in vertikalen Gräben gestapelt sind. Die Orientierung der Ladungssteuerelektroden ist im Allgemeinen parallel zur Richtung des Stromflusses in dem Driftbereich.The various techniques so far described, such as gate shielding and vertical charge control trench electrodes, can be combined to obtain power devices including lateral and vertical MOSFETs, IGBTs, diodes and the like whose performance characteristics are optimized for a given application. For example, the in 4A shown trench double-gate structure advantageous with vertical charge control trench structures of the in the 3B or 4B combined types are combined. Such a device would include a dual-gate active trench as shown in FIG 4A as well as deeper charge control trenches, which are either substantially filled with a single layer of conductive material (as in trenches 420 in 4B ), or through several stacked conductive electrodes (as in trenches 301 in 3B ). For lateral devices in which the drain terminal is on the same surface of the substrate as the source terminal (ie, the current flows laterally), the charge control electrodes arranged laterally would form field plates instead of being stacked in vertical trenches are. The orientation of the charge control electrodes is generally parallel to the direction of current flow in the drift region.

In einer Ausführungsform sind die Techniken mit Doppel-Gate und abgeschirmtem Gate innerhalb des gleichen Grabens kombiniert, um Schaltgeschwindigkeits- und Sperrspannungsverbesserungen bereitzustellen. 4C zeigt einen MOSFET 400C, wobei ein Graben 402C ein primäres Gate G1, ein sekundäres Gate G2 und eine Abschirmschicht 411 umfasst, die in einem einzigen Graben gestapelt sind, wie es gezeigt ist. Der Graben 402C kann genauso tief hergestellt werden und kann so viele Abschirmschichten 411 umfassen, wie es die Anwendung verlangt. Die Verwendung des gleichen Grabens für sowohl Ladungsausgleichs- als auch Abschirmelektroden ermöglicht eine höhere Dichte, da sie die Notwendigkeit für zwei Gräben beseitigt und sie zu einem kombiniert. Sie ermöglicht auch eine stärkere Stromausbreitung und einen verbesserten Ein-Widerstand der Vorrichtung.In one embodiment, the dual gate and shielded gate techniques are combined within the same trench to provide switching speed and reverse voltage improvements. 4C shows a MOSFET 400C , being a ditch 402C a primary gate G1, a secondary gate G2 and a shielding layer 411 which are stacked in a single trench, as shown. The ditch 402C can be made just as deep and can have as many shielding layers 411 include as the application requires. The use of the same trench for both charge balance and shield electrodes allows for higher density because it eliminates the need for two trenches and combines them into one. It also allows for greater current spreading and device on-resistance.

Die so weit beschriebenen Vorrichtungen wenden Kombinationen von abgeschirmtem Gate, Doppel-Gate und andere Techniken an, um parasitäre Kapazität zu verringern. Aufgrund von Störeffekten minimieren jedoch diese Techniken die Gate-Drain-Kapazität Cgd nicht vollständig. In 4D ist eine Teilquerschnittsansicht einer beispielhaften Ausführungsform von MOSFET 400D mit einer tiefen Body-Konstruktion gezeigt. Gemäß dieser Ausführungsform ist die Body-Struktur durch einen Graben 418 gebildet, der durch die Mitte des Mesa geätzt ist, der zwischen den Gate-Gräben 402 gebildet ist und sich so tief oder tiefer als der Gate-Graben 402 erstreckt. Der Body-Graben 418 ist mit Source-Metall gefüllt, wie es gezeigt ist. Die Source-Metallschicht kann ein dünnes wärmebeständiges Metall an der Metall-Diffusionsgrenze (nicht gezeigt) umfassen. In dieser Ausführungsform umfasst die Body-Struktur eine p+ Body-Implantationsschicht 419, die den Body-Graben 418 im Wesentlichen umgibt. Die p+ Implantationsschicht 419 ermöglicht eine zusätzliche Abschirmung, um die Potenzialverteilung innerhalb der Vorrichtung insbesondere nahe bei der Gate-Elektrode zu verändern. In einer in 4E gezeigten alternativen Ausführungsform ist der Body-Graben 418 im Wesentlichen mit Epitaxiematerial unter Verwendung von beispielsweise einer Abscheiung durch selektives epitaktisches Aufwachsen (SEG von selective epitaxial growth) im Wesentlichen gefüllt. Alternativ ist der Body-Graben 418E mit dotiertem Polysilizium im Wesentlichen gefüllt. In jeder dieser beiden Ausführungsformen wird anstelle eines Implantierens eines p+ Abschirmübergangs 419 im Anschluss eine Temperaturbehandlung Dotiermittel von dem gefüllten Body in das Silizium diffundieren, um einen p+ Abschirmübergang 419 zu bilden. Ein Anzahl von Abwandlungen für eine mit einem Graben versehene Body-Struktur und deren Bildung sind ausführlicher in den US 6 437 399 B1 und US 6 110 799 B1 , beide für Huang, beschrieben. The devices so far described employ shielded gate, double gate, and other techniques to reduce parasitic capacitance. However, due to parasitics, these techniques do not completely minimize the gate-to-drain capacitance Cgd. In 4D FIG. 10 is a partial cross-sectional view of an exemplary embodiment of MOSFET. FIG 400D shown with a deep body construction. According to this embodiment, the body structure is through a trench 418 formed, which is etched through the middle of the mesa, between the gate trenches 402 is formed and as deep or deeper than the gate ditch 402 extends. The body trench 418 is filled with source metal as shown. The source metal layer may comprise a thin heat-resistant metal at the metal diffusion boundary (not shown). In this embodiment, the body structure comprises a p + body implantation layer 419 that dig the body 418 essentially surrounds. The p + implantation layer 419 allows additional shielding to change the potential distribution within the device, in particular close to the gate electrode. In an in 4E shown alternative embodiment is the body trench 418 essentially substantially filled with epitaxial material using, for example, selective epitaxial growth (SEG) deposition. Alternatively, the body trench 418E substantially filled with doped polysilicon. In each of these two embodiments, instead of implanting a p + shield junction 419 Following a temperature treatment, dopants diffuse from the filled body into the silicon to form a p + shield junction 419 to build. A number of modifications to a trenched body structure and their formation are more fully described in US Pat US Pat. No. 6,437,399 B1 and US Pat. No. 6,110,799 B1 both for Huang.

In beiden in den 4D und 4E gezeigten Ausführungsformen werden der Abstand L zwischen Gate-Graben 402 und Body-Graben 418 sowie die relativen Tiefen der beiden Gräben gesteuert, um eine umsäumende Gate-Drain-Kapazität zu minimieren. In den Ausführungsformen, die SEG oder mit Poly gefüllte Body-Gräben verwenden, kann der Abstand zwischen den äußeren Rändern der Schicht 419 und der Wand des Gate-Grabens eingestellt werden, indem die Dotierungskonzentration des SEG oder Poly innerhalb des Body-Grabens 418 variiert wird. Die 4F und 4G veranschaulichen den Einfluss des mit einem Graben versehenen tiefen Bodys auf die Verteilung der Potenziallinien innerhalb der Vorrichtung in der Nähe der Gate-Elektrode. Zu Veranschaulichungszwecken verwenden die 4F und 4G MOSFETs mit abgeschirmten Gate-Strukturen. 4F zeigt die Potenziallinien für einen in Sperrrichtung vorgespannten (reverse biased) MOSFET 400F mit abgeschirmtem Gate und einem mit einem Graben versehenen tiefen Body 418, und 4G zeigt die Potenziallinien für einen in Sperrrichtung betriebenen MOSFET 400G mit abgeschirmtem Gate mit einer flachen Body-Struktur. Die Konturlinien in jeder Vorrichtung zeigen die Potenzialverteilung innerhalb der Vorrichtung, wenn sie in Sperrrichtung betrieben ist (d. h. blockierender Aus-Zustand). Die weiße Linie zeigt den Wannenübergang und definiert auch den Boden des Kanals, der sich unmittelbar neben der Gate-Elektrode befindet. Wie es aus den Diagrammen zu sehen ist, gibt es ein niedrigeres Potenzial und ein niedrigeres elektrisches Feld, das an den Kanal und die umgebende Gate-Elektrode für den MOSFET 400F mit einem Graben und einem tiefen Body von 4F angelegt wird. Dieses herabgesetzte Potenzial ermöglicht eine reduzierte Kanallänge, was die Gesamt-Gate-Ladung für die Vorrichtung verringert. Beispielsweise kann die Tiefe des Gate-Grabens 102 auf unter z. B. 0,5 μm verringert werden und kann flacher als der Body-Graben 418 eingerichtet werden, wobei der Abstand L ungefähr 0,5 μm oder kleiner ist. In einer beispielhaften Ausführungsform ist der Abstand L kleiner als 0,3 μm. Ein anderer Vorteil dieser Erfindung ist die Verringerung der Gate-Drain-Ladung Qgd und der Miller-Kapazität Cgd. Je niedriger der Wert dieser Parameter, desto schneller kann die Vorrichtung schalten. Diese Verbesserung wird durch die Verringerung des Potenzials, das unmittelbar neben der Gate-Elektrode vorhanden ist, realisiert. Die verbesserte Struktur hat ein viel niedrigeres Potenzial, das geschaltet werden wird, und der induzierte kapazitive Strom in dem Gate ist viel niedriger. Dies wiederum ermöglicht es dem Gate, schneller zu schalten.In both in the 4D and 4E In embodiments shown, the distance L between gate trenches 402 and body digging 418 and the relative depths of the two trenches are controlled to minimize a floating gate-drain capacitance. In the embodiments using SEG or poly-filled body trenches, the distance between the outer edges of the layer may 419 and the wall of the gate trench by adjusting the doping concentration of the SEG or poly within the body trench 418 is varied. The 4F and 4G illustrate the influence of the trenched deep body on the distribution of potential lines within the device near the gate electrode. For illustrative purposes, use the 4F and 4G MOSFETs with shielded gate structures. 4F shows the potential lines for a reverse biased MOSFET 400F with shielded gate and a ditch deep body 418 , and 4G shows the potential lines for a reverse-biased MOSFET 400G with shielded gate with a flat body structure. The contour lines in each device show the potential distribution within the device when it is reverse biased (ie, blocking off state). The white line indicates the well junction and also defines the bottom of the channel immediately adjacent to the gate electrode. As can be seen from the diagrams, there is a lower potential and a lower electric field applied to the channel and the surrounding gate for the MOSFET 400F with a ditch and a deep body of 4F is created. This lowered potential allows a reduced channel length, which reduces the overall gate charge for the device. For example, the depth of the gate trench 102 on under z. B. 0.5 microns and can be flatter than the body trench 418 be set, wherein the distance L is about 0.5 microns or smaller. In an exemplary embodiment, the distance L is less than 0.3 μm. Another advantage of this invention is the reduction in gate-to-drain charge Qgd and Miller capacitance Cgd. The lower the value of these parameters, the faster the device can switch. This improvement is realized by reducing the potential immediately adjacent to the gate electrode. The improved structure has a much lower potential that will be switched, and the induced capacitive current in the gate is much lower. This in turn allows the gate to switch faster.

Die mit einem Graben versehene tiefe Body-Struktur, wie sie in Verbindung mit den 4D und 4E beschrieben wurde, kann mit anderen Ladungsausgleichstechniken, wie etwa abgeschirmten Gate- oder Doppel-Gate-Strukturen kombiniert werden, um die Schaltgeschwindigkeit, den Ein-Widerstand und die Sperrfähigkeit der Vorrichtung weiter zu verbessern.The ditch-deep body structure, as used in conjunction with the 4D and 4E can be combined with other charge balancing techniques such as shielded gate or double gate structures to further improve the switching speed, on-resistance and blocking capability of the device.

Die Verbesserungen, die durch die obigen Leistungsvorrichtungen und Abwandlungen davon bereitgestellt werden, haben robuste Schaltelemente für Leistungselektronikanwendungen mit relativ niedriger Spannung ergeben. Niedrige Spannung, so wie es hierin verwendet wird, bezieht sich auf einen Spannungsbereich von beispielsweise ungefähr 30 V–40 V und darunter, obwohl dieser Bereich abhängig von der besonderen Anwendung variieren kann. Anwendungen, die Sperrspannungen wesentlich über diesen Bereich erfordern, benötigen irgendeine Art von konstruktiver Modifikation an dem Leistungstransistor. Typischerweise wird die Dotierungskonzentration in dem Driftbereich des Leistungstransistors reduziert, damit die Vorrichtung höheren Spannungen während des Sperrzustandes tragen kann. Ein eher leicht dotierter Driftbereich führt jedoch zu einer Zunahme des Ein-Widerstandes RDSon des Transistors. der höhere spezifische Widerstand erhöht direkt den Leistungsverlust des Schalters. Der Leistungsverlust hat Bedeutung gewonnen, da die jüngsten Fortschritte bei der Halbleiterherstellung die Packungsdichte der Leistungsvorrichtungen weiter erhöht haben.The improvements provided by the above power devices and variations thereof have yielded robust switching elements for relatively low voltage power electronics applications. Low voltage, as used herein, refers to a voltage range of, for example, about 30V-40V and below, although this range may vary depending on the particular application. Applications that require blocking voltages substantially beyond this range require some form of constructive modification to the power transistor. Typically, the doping concentration in the drift region of the power transistor is reduced to make the device higher Can carry voltages during the blocking state. However, a rather lightly doped drift region leads to an increase in the on-resistance R DSon of the transistor. the higher resistivity directly increases the power loss of the switch. The power loss has gained importance as recent advances in semiconductor fabrication have further increased the packing density of the power devices.

Es sind Versuche unternommen worden, den Ein-Widerstand und den Leistungsverlust der Vorrichtung zu verbessern, während gleichzeitig die hohe Sperrspannung aufrechterhalten wird. Viele dieser Versuche wenden verschiedene vertikale Ladungssteuertechniken an, um ein weitgehend flaches elektrisches Feld vertikal in der Halbleitervorrichtung zu schaffen. Eine Anzahl von Vorrichtungsstrukturen von dieser Art ist vorgeschlagen worden, welche die laterale Verarmungsvorrichtung, die in den US 6 713 813 B2 mit dem Titel ”Field Effect Transistor Having a Lateral Depletion Structure” von Marchant offenbart ist, und die Vorrichtungen umfassen, die in dem US 6 376 878 B1 von Kocon beschrieben sind.Attempts have been made to improve the on-resistance and power loss of the device while maintaining the high reverse voltage. Many of these attempts use various vertical charge control techniques to provide a substantially flat electric field vertically in the semiconductor device. A number of device structures of this type have been proposed which incorporate the lateral depletion device incorporated into the US Pat. No. 6,713,813 B2 entitled "Field Effect Transistor Having a Lateral Depletion Structure" by Marchant, and which include apparatuses disclosed in U.S. Pat US Pat. No. 6,376,878 B1 are described by Kocon.

In 6 ist eine vereinfachte Querschnittsansicht eines Leistungs-MOSFETs gezeigt, der für Anwendungen mit höherer Spannung geeignet ist, die auch ein schnelleres Schalten erfordern. Der MOSFET 600 kombiniert eine vertikale Ladungssteuerung, um die Durchbruchspannung zu verbessern, mit einer abgeschirmten Gate-Struktur, die die Schaltgeschwindigkeit verbessert. Wie es in 6 gezeigt ist, ist eine Abschirmelektrode 611 innerhalb des Gate-Grabens 602 zwischen dem leitenden Material des Gates 610 und dem Boden des Grabens angeordnet. Die Elektrode 611 schirmt das Gate des Transistors vor dem darunterliegenden Drain-Bereich (Driftbereich 606) ab, was die Gate-Drain-Kapazität des Transistors signifikant verringert und somit seine maximale Schaltfrequenz erhöht. Dielektrikumgefüllte Gräben 620 mit p-dotierten Auskleidungen 626 helfen, vertikal ein weitgehend flaches elektrisches Feld zu schaffen, um die Durchbruchspannung der Vorrichtung zu verbessern. Im Betrieb reduziert die Kombination aus dielektrikumgefüllten Gräben 620 mit p-leitender Auskleidung 626 und der abgeschirmten Gate-Struktur die parasitäre Kapazität und hilft, den n-Driftbereich zu verarmen, der das elektrische Feld zerstreut, das sich an dem Randabschnitt der Gate-Elektrode konzentriert. Vorrichtungen von dieser Art können in einem HF-Verstärker oder in Hochfrequenz-Schaltanwendungen verwendet werden.In 6 Figure 3 is a simplified cross-sectional view of a power MOSFET suitable for higher voltage applications that also require faster switching. The MOSFET 600 Combines vertical charge control to improve breakdown voltage, with a shielded gate structure that improves switching speed. As it is in 6 is shown is a shield electrode 611 within the gate trench 602 between the conductive material of the gate 610 and the bottom of the trench. The electrode 611 shields the gate of the transistor from the underlying drain region (drift region 606 ), which significantly reduces the gate-drain capacitance of the transistor and thus increases its maximum switching frequency. Dielectric filled trenches 620 with p-doped linings 626 help to create vertically a largely flat electric field to improve the breakdown voltage of the device. In operation, the combination of dielectric filled trenches reduces 620 with p-conducting lining 626 and the shielded gate structure, the parasitic capacitance and helps to deplete the n-drift region, which scatters the electric field, which is concentrated at the edge portion of the gate electrode. Devices of this type can be used in an RF amplifier or in high frequency switching applications.

7 zeigt eine alternative Ausführungsform für einen anderen Leistungs-MOSFET, der für Anwendungen mit höherer Spannung und höherer Frequenz geeignet ist. In dem in 7 gezeigten vereinfachten Beispiel kombiniert MOSFET 700 eine vertikale Ladungssteuerung, um eine Durchbruchspannung zu verbessern, mit einer Doppel-Gate-Struktur, die die Schaltgeschwindigkeit verbessert. Ähnlich wie die in 6 gezeigte Vorrichtung wird die vertikale Ladungssteuerung durch die Verwendung von dielektrikumgefüllten Gräben 720 mit p-dotierten Auskleidungen 726 implementiert. Eine Verringerung der parasitären Kapazität wird durch die Verwendung einer Doppel-Gate-Struktur erzielt, wodurch eine primäre Gate-Elektrode G1 vor der Drain (n-Driftbereich 706) durch eine sekundäre Gate-Elektrode G2 abgeschirmt ist. Die sekundäre Gate-Elektrode G2 kann entweder kontinuierlich vorgespannt sein oder nur vor einem Schaltereignis vorgespannt werden, um den Kanal im Bereich 701 zu invertieren und somit einen ununterbrochenen Stromfluss durch einen kontinuierlichen Kanal sicherzustellen, wenn die Vorrichtung eingeschaltet ist. 7 shows an alternative embodiment for another power MOSFET suitable for higher voltage and higher frequency applications. In the in 7 shown simplified example combines MOSFET 700 a vertical charge control to improve a breakdown voltage, with a double-gate structure that improves the switching speed. Similar to the in 6 The device shown becomes the vertical charge control through the use of dielectric filled trenches 720 with p-doped linings 726 implemented. A reduction of the parasitic capacitance is achieved through the use of a double-gate structure, whereby a primary gate electrode G1 in front of the drain (n-drift region 706 ) is shielded by a secondary gate electrode G2. The secondary gate electrode G2 may either be continuously biased or biased only before a switching event to close the channel in the range 701 to invert and thus ensure a continuous flow of current through a continuous channel when the device is turned on.

12 ist eine Querschnittsansicht eines MOSFET 1200, der die Doppel-Gate-Technik mit der Trench-Diodenstruktur kombiniert. Ein aktiver Graben 1202 in MOSFET 1200 umfasst ein primäres Gate G1 und ein sekundäres Gate G2 und arbeitet auf die gleiche Weise wie die aktiven Gräben in dem Doppel-Gate-MOSFET, der in Verbindung mit 4B beschrieben ist. Die Diodengräben 1220 sorgen für einen Ladungsausgleich, um die Sperrspannung der Vorrichtung zu erhöhen, während die aktive Trench-Struktur mit Doppel-Gate die Schaltgeschwindigkeit der Vorrichtung verbessert. 12 is a cross-sectional view of a MOSFET 1200 which combines the double-gate technique with the trench diode structure. An active ditch 1202 in MOSFET 1200 includes a primary gate G1 and a secondary gate G2 and operates in the same manner as the active trenches in the double gate MOSFET used in conjunction with FIG 4B is described. The diode trenches 1220 provide a charge balance to increase the blocking voltage of the device, while the active double-gate trench structure improves the switching speed of the device.

Jede der resultierenden Ausführungsformen kann auch mit der Technik des Trench-Bodys kombiniert werden, um die schädliche parasitäre Kapazität weiter zu minimieren, wie es in Verbindung mit MOSFET 400D oder 400E der 4D und E4 beschrieben ist. Andere Abwandlungen und Äquivalente sind möglich. Beispielsweise kann die Anzahl von Bereichen entgegengesetzter Leitfähigkeit innerhalb der Diodengräben variieren, ebenso wie die Tiefe der Diodengräben. Die Polaritäten der Bereiche entgegengesetzter Leitfähigkeit können umgekehrt werden, ebenso wie die Polarität des MOSFET. Auch können irgendwelche der PM-Bereiche unabhängig vorgespannt werden, falls dies erwünscht ist, indem beispielsweise die jeweiligen Bereiche entlang der dritten Dimension und dann hinauf bis zu der Siliziumoberfläche ausgedehnt werden, wo ein elektrischer Kontakt mit diesen vorgenommen werden kann. Darüber hinaus können mehrfache Diodengräben verwendet werden, wie es durch die Größe der Vorrichtung und die Spannungsanforderungen der Anwendung verlangt wird, und die Beabstandung und Anordnung der Diodengräben kann in verschiedenen Streifen- oder zellulären Konstruktionen implementiert sein.Any of the resulting embodiments may also be combined with the trench body technique to further minimize the harmful parasitic capacitance associated with MOSFETs 400D or 400E of the 4D and E4 is described. Other modifications and equivalents are possible. For example, the number of regions of opposite conductivity within the diode trenches may vary, as well as the depth of the diode trenches. The polarities of the regions of opposite conductivity can be reversed, as well as the polarity of the MOSFET. Also, any of the PM regions may be independently biased, if desired, by, for example, extending the respective regions along the third dimension and then up to the silicon surface where electrical contact may be made therewith. In addition, multiple diode trenches may be used, as required by the size of the device and the voltage requirements of the application, and the spacing and arrangement of the diode trenches may be implemented in various strip or cellular constructions.

In einer anderen Ausführungsform ist eine Klasse von Transistoren vom Akkumulationsmodus vorgesehen, die verschiedene Ladungsausgleichstechniken für einen kleineren Spannungsverlust in Durchlassrichtung und eine höhere Sperrfähigkeit anwenden. Bei einem typischen Transistor vom Akkumulationsmodus gibt es keinen Sperrübergang und die Vorrichtung wird abgeschaltet, indem der Kanalbereich neben dem Gate-Anschluss leicht invertiert wird, um den Stromfluss zu unterbinden. Wenn der Transistor eingeschaltet wird, indem eine Gate-Vorspannung angelegt wird, wird in dem Kanalbereich vielmehr eine Akkumulationsschicht als eine Inversionsschicht gebildet. Da es keine Bildung eines Inversionskanals gibt, ist der Kanalwiderstand minimiert. Zusätzlich gibt es keine PN-Body-Diode in einem Transistor vom Akkumulationsmodus, was die Verluste minimiert, die sonst in bestimmten Schaltkreisanwendungen, wie etwa synchronen Gleichrichtern, auftraten. Der Nachteil der herkömmlichen Vorrichtungen vom Akkumulationsmodus ist, dass der Driftbereich leicht dotiert sein muss, um eine Umkehr-Vorspannung zu unterstützen, wenn sich die Vorrichtung im Blockiermodus befindet. Ein leichter dotierter Driftbereich setzt sich zu einem höheren Ein-Widerstand um. Die hierin beschriebenen Ausführungsformen überwinden diese Einschränkung, indem sie verschiedene Ladungsausgleichstechniken in einer Vorrichtung vom Akkumulationsmodus anwenden.In another embodiment, a class of accumulation mode transistors is provided, which are different Use charge balance techniques for a smaller forward voltage drop and higher blocking capability. In a typical accumulation mode transistor, there is no blocking transition and the device is turned off by slightly inverting the channel region adjacent to the gate to prevent current flow. On the contrary, when the transistor is turned on by applying a gate bias, an accumulation layer is formed as an inversion layer in the channel region. Since there is no formation of an inversion channel, the channel resistance is minimized. In addition, there is no PN body diode in a accumulation mode transistor, which minimizes the losses that otherwise occurred in certain circuit applications, such as synchronous rectifiers. The disadvantage of the conventional accumulation mode devices is that the drift region must be lightly doped to support reverse bias when the device is in blocking mode. A light doped drift region converts to a higher on-resistance. The embodiments described herein overcome this limitation by applying various charge balancing techniques in an accumulation mode device.

In 14 ist eine vereinfachte Ausführungsform eines beispielhaften Transistors vom Akkumulationsmodus 1400 mit abwechselnden Leitfähigkeitsbereichen gezeigt, die parallel zum Stromfluss angeordnet sind. In diesem Beispiel ist der Transistor 1400 ein n-Kanal-Transistor mit einem Gate-Anschluss, der innerhalb von Gräben 1402 gebildet ist, einem n-leitenden Kanalbereich 1412, der zwischen den Gräben gebildet ist, einem Driftbereich 1406, der säulenartige n-leitende und p-leitende Teilstücke 1403 und 1405 entgegengesetzter Polarität umfasst, und einem n-leitenden Drainbereich 1414. Anders als Transistoren vom Anreichungsmodus umfasst der Transistor 1400 vom Akkumulationsmodus keine sperrende Wanne (p-leitend in diesem Beispiel) oder Body-Bereich, in welchem der Kanal gebildet ist. Stattdessen wird ein leitender Kanal gebildet, wenn eine Akkumulationsschicht in dem Bereich 1412 gebildet wird. Der Transistor 1400 ist normal an oder aus, abhängig von der Dotierungskonzentration von dem Bereich 1412 und dem Dotierungstyp der Gate-Elektrode. Er ist ausgeschaltet, wenn der n-leitende Bereich 1412 vollständig verarmt und leicht invertiert ist. Die Dotierungskonzentrationen in den Bereichen 1403 und 1405 mit entgegengesetzter Polarität werden eingestellt, um die Ladungsausbreitung zu maximieren, was ermöglicht, dass der Transistor höhere Spannungen trägt. Die Verwendung von säulenartigen Bereichen entgegengesetzter Polarität parallel zum Stromfluss flacht die elektrische Feldverteilung ab, erlaubt ihr aber nicht linear von dem Übergang weg abzunehmen, der zwischen den Bereichen 1412 und 1406 gebildet ist. Der Ladungsausbreitungseffekt dieser Struktur erlaubt die Verwendung eines höher dotierten Driftbereiches, was den Ein-Widerstand des Transistors verringert. Die Dotierungskonzentration der verschiedenen Bereiche kann variieren, beispielsweise können n-leitende Bereiche 1412 und 1403 die gleichen oder unterschiedlichen Dotierungskonzentrationen aufweisen. Fachleute stellen fest, dass ein verbesserter p-Kanal-Transistor erhalten werden kann, indem die Polaritäten der verschiedenen Bereiche der in 14 gezeigten Vorrichtung umgekehrt werden. Andere Abwandlungen der säulenartige Bereiche entgegengesetzter Polarität innerhalb des Driftbereiches sind ausführlich in Verbindung mit weiter unten beschriebenen Ultrahochspannungsvorrichtungen beschrieben.In 14 FIG. 10 is a simplified embodiment of an exemplary accumulation mode transistor. FIG 1400 shown with alternating conductivity regions, which are arranged parallel to the current flow. In this example, the transistor is 1400 an n-channel transistor with a gate connection inside trenches 1402 is formed, an n-channel channel region 1412 formed between the trenches, a drift area 1406 , the columnar n-type and p-type portions 1403 and 1405 of opposite polarity, and an n-type drain region 1414 , Unlike transistors of the enrichment mode, the transistor comprises 1400 from the accumulation mode no blocking well (p-conducting in this example) or body region in which the channel is formed. Instead, a conductive channel is formed when an accumulation layer is in the region 1412 is formed. The transistor 1400 is normal on or off, depending on the doping concentration of the area 1412 and the doping type of the gate electrode. He is off when the n-type area 1412 completely depleted and slightly inverted. The doping concentrations in the areas 1403 and 1405 with opposite polarity are set to maximize charge spread, allowing the transistor to carry higher voltages. The use of columnar regions of opposite polarity in parallel with the flow of current flattens the electric field distribution, but does not allow it to decrease linearly away from the junction between the regions 1412 and 1406 is formed. The charge propagation effect of this structure allows the use of a higher doped drift region, which reduces the on-resistance of the transistor. The doping concentration of the various regions may vary, for example, n-type regions 1412 and 1403 have the same or different doping concentrations. Those skilled in the art will appreciate that an improved p-channel transistor can be obtained by adjusting the polarities of the various regions of the in 14 shown device are reversed. Other variations of the columnar regions of opposite polarity within the drift region are described in detail in connection with ultrahigh voltage devices described below.

15 ist ein vereinfachtes Diagramm einer anderen Vorrichtung 1500 vom Akkumulationsmodus und mit Trench-Elektroden zu Ladungsausbreitungszwecken. Alle Bereiche 1512, 1506 und 1514 sind vom gleichen Leitfähigkeitstyp, in diesem Beispiel n-leitend. Für eine normal ausgeschaltete Vorrichtung ist das Gate-Polysilizium 1510 p-leitend eingerichtet. Die Dotierungskonzentration vom Bereich 1512 ist derart eingestellt, dass ein verarmter Sperrübergang unter Bedingungen ohne Vorspannung gebildet wird. Innerhalb jedes Grabens 1502 sind ein oder mehrere vergrabene Elektroden 1511 unter der Gate-Elektrode 1510 gebildet, die alle von dielektrischem Material 1508 umgeben sind. Wie es in Verbindung mit dem MOSFET 300A vom Anreicherungsmodus von 3A beschrieben wurde, wirken die vergrabenen Elektroden 1511 als Feldplatten und können, falls es gewünscht ist, auf ein Potenzial vorgespannt werden, das ihre Ladungsausbreitungsfunktion optimiert. Da die Ladungsausbreitung gesteuert werden kann, indem vergrabene Elektroden 1511 unabhängig vorgespannt werden, kann das maximale elektrische Feld signifikant erhöht werden. Ähnlich wie die vergrabenen Elektroden, die im MOSFET 300A angewandt werden, sind unterschiedliche Abwandlungen der Struktur möglich. Beispielsweise können die Tiefe des Grabens 1502 und die Größe und Anzahl von vergrabenen Elektroden 1511 abhängig von der Anwendung variieren. Ladungsausbreitungselektroden können innerhalb von Gräben vergraben sein, die von aktiven Gräben getrennt sind, welche die Transistor-Gate-Elektrode beherbergen, auf eine ähnliche Weise wie die, die für die Trench- oder Grabenstrukturen des MOSFET 300B in 3B gezeigt ist. Ein Beispiel einer derartigen Ausführungsform ist in 16 gezeigt. In dem in 16 gezeigten Beispiel umfasst der n-leitende Bereich 1612 stärker dotierte n+ Source-Bereiche 1603, die optional hinzugefügt werden können. Stark dotierte Source-Bereiche 1603 können sich entlang des oberen Randes eines n-leitenden Bereiches 1612 erstrecken, wie es gezeigt ist, oder können als zwei Bereiche benachbart zu den Grabenwänden entlang des oberen Randes des n-leitenden Bereiches 1612 gebildet sein (in dieser Figur nicht gezeigt). In manchen Ausführungsformen kann der Einschluss von n+ Bereichen 1603 ein Absenken der Dotierungskonzentration des n-leitenden Bereiches 1606 erfordern, um sicherzustellen, dass der Transistor richtig abschaltet. Dieser optional stark dotierte Source-Bereich kann auf die gleiche Weise in jedem der hierin beschriebenen Akkumulationstransistoren verwendet werden. 15 is a simplified diagram of another device 1500 from the accumulation mode and with trench electrodes for charge propagation purposes. All areas 1512 . 1506 and 1514 are of the same conductivity type, n-type in this example. For a normally off device, the gate polysilicon is 1510 furnished p-conducting. The doping concentration of the area 1512 is set such that an impoverished barrier junction is formed under no bias conditions. Inside every ditch 1502 are one or more buried electrodes 1511 under the gate electrode 1510 formed, all of dielectric material 1508 are surrounded. As it is in connection with the mosfet 300A from the enrichment mode of 3A has been described, the buried electrodes act 1511 as field plates and, if desired, can be biased to a potential that optimizes their charge propagation function. Since the charge propagation can be controlled by buried electrodes 1511 can be independently biased, the maximum electric field can be significantly increased. Similar to the buried electrodes in the MOSFET 300A applied, different variations of the structure are possible. For example, the depth of the trench 1502 and the size and number of buried electrodes 1511 vary depending on the application. Charge propagation electrodes may be buried within trenches that are separate from active trenches that house the transistor gate electrode, in a manner similar to that for the trench or trench structures of the MOSFET 300B in 3B is shown. An example of such an embodiment is in 16 shown. In the in 16 The example shown comprises the n-type region 1612 more heavily doped n + source regions 1603 which can be added optionally. Heavily doped source regions 1603 can be along the top of an n-type region 1612 as shown, or may be referred to as two regions adjacent the trench walls along the upper edge of the n-type region 1612 be formed (not in this figure shown). In some embodiments, the inclusion of n + regions 1603 a lowering of the doping concentration of the n-type region 1606 to ensure that the transistor turns off properly. This optionally heavily doped source region may be used in the same way in any of the accumulation transistors described herein.

24 zeigt eine noch andere Ausführungsform für einen Hochspannungs-MOSFET 2400, die die Super-Junction-Technologie mit der Struktur mit abgeschirmten Gate kombiniert. Der MOSFET 2400 ist eine Trench-Gate-Vorrichtung mit einer Gate-Elektrode 2410, die vor dem Driftbereich 2406 mit einer Abschirmelektrode 2411 abgeschirmt ist, ähnlich wie beispielsweise MOSFET 300A in 3A. MOSFET 2400 umfasst auch schwimmende Bereiche 2426 entgegengesetzter Polarität, die im Driftbereich 2406 parallel zum Stromfluss angeordnet sind. 24 shows yet another embodiment for a high voltage MOSFET 2400 that combines the super-junction technology with the shielded-gate structure. The MOSFET 2400 is a trench gate device with a gate electrode 2410 that before the drift area 2406 with a shielding electrode 2411 is shielded, similar to, for example, MOSFET 300A in 3A , MOSFET 2400 also includes floating areas 2426 opposite polarity in the drift area 2406 are arranged parallel to the current flow.

Terminierungsstrukturentermination structures

Diskrete Vorrichtungen der oben beschriebenen verschiedenen Arten weisen eine Durchbruchspannung auf, die durch die zylindrische oder kugelförmige Form des Verarmungsbereiches am Rand des Chips begrenzt ist. Da diese zylindrische oder kugelförmige Durchbruchspannung typischerweise viel niedriger ist als die Parallelebenen-Durchbruchspannung BVpp in der aktiven Fläche der Vorrichtung, muss der Rand der Vorrichtung derart terminiert werden, dass eine Durchbruchspannung für die Vorrichtung erreicht wird, die nahe bei der Durchbruchspannung der aktiven Fläche liegt. Es sind unterschiedliche Techniken entwickelt worden, um das Feld und die Spannung gleichmäßig über die Randterminierungsbreite auszubreiten, um eine Durchbruchspannung zu erzielen, die nahe bei BVpp liegt. Diese umfassen Feldplatten, Feldringe, Übergangsterminierungserweiterung (JTE von junction termination extension) und unterschiedliche Kombinationen dieser Techniken. Das oben erwähnte US 6 429 481 B1 für Mo et al. beschreibtv ein Beispiel einer Feldterminierungsstruktur, die einen tiefen Übergang (tiefer als die Wanne) mit einer darüber liegenden Feldoxidschicht, die das aktive Zellen-Array umgibt, umfasst. In dem Fall eines n-Kanal-Transistors umfasst die Terminierungsstruktur beispielsweise einen tiefen p+ Bereich, der einen PN-Übergang mit dem n-leitenden Driftbereich bildet.Discrete devices of the various types described above have a breakdown voltage limited by the cylindrical or spherical shape of the depletion region at the edge of the chip. Since this cylindrical or spherical breakdown voltage is typically much lower than the parallel-plane breakdown voltage BV pp in the active area of the device, the edge of the device must be terminated such that a breakdown voltage is achieved for the device close to the breakdown voltage of the active area lies. Various techniques have been developed to spread the field and voltage evenly across the edge termination width to achieve a breakdown voltage that is close to BV pp . These include field plates, field rings, Junction Termination Extension (JTE), and various combinations of these techniques. The above mentioned US Pat. No. 6,429,481 B1 for Mo et al. FIG. 4 illustrates an example of a field termination structure that includes a deep junction (deeper than the well) with an overlying field oxide layer surrounding the active cell array. For example, in the case of an n-channel transistor, the termination structure includes a deep p + region that forms a PN junction with the n-type drift region.

In alternativen Ausführungsformen wirken eine oder mehrere ringförmige Gräben, die den Umfang des Zellen-Arrays umgeben, derart, dass das elektrische Feld verringert wird und der Lawinendurchbruch erhöht wird. 25A zeigt ein üblicherweise verwendetes Trench-Layout für einen Trench-Transistor. Aktive Gräben 2502 sind von einem ringförmigen Terminierungsgraben 2503 umgeben. In dieser Struktur verarmen Bereiche 2506, die durch die gepunkteten Kreise am Ende der Mesas gezeigt sind, schneller als andere Bereiche, was ein erhöhtes Feld in dieser Fläche hervorruft, welches die Durchbruchspannung unter Sperrspannungszuständen verringert. Diese Art von Layout ist deshalb auf Vorrichtungen mit niedrigerer Spannung (z. B. < 30 V) beschränkt. Die 25B bis 25F zeigen eine Anzahl von alternativen Ausführungsformen für Terminierungsstrukturen mit unterschiedlichen Trench-Layouts, um die Bereiche mit hohem elektrischem Feld, die in 25A gezeigt sind, zu verringern. Wie es durch die Diagramme zu sehen ist, sind in diesen Ausführungsformen einige oder alle aktive Gräben von dem Terminierungsgraben getrennt. Der Spalt WG zwischen den Enden der aktiven Gräben und dem Terminierungsgraben fungiert, um den Crowding-Effekt des elektrischen Feldes, der in der 25A gezeigten Struktur beobachtet wird, zu verringern. In einer beispielhaften Ausführungsform ist WG ungefähr mit der halben Breite des Mesas zwischen den Gräben eingerichtet. Für Vorrichtungen mit höherer Spannung können mehrfache Terminierungsgraben, wie sie in 25F gezeigt sind, angewandt werden, um die Durchbruchspannung der Vorrichtung weiter zu erhöhen. Das übertragene US 6 683 363 B1 mit dem Titel ”Trench Structure for Semiconductor Devices” von Challa beschreibt Abwandlungen von einigen dieser Ausführungsformen ausführlicher.In alternative embodiments, one or more annular trenches surrounding the periphery of the cell array act to reduce the electric field and increase avalanche breakdown. 25A shows a commonly used trench layout for a trench transistor. Active trenches 2502 are from an annular termination trench 2503 surround. In this structure impoverish areas 2506 shown by the dotted circles at the end of the mesas, faster than other areas, causing an increased field in this area which reduces the breakdown voltage under reverse voltage conditions. This type of layout is therefore limited to lower voltage devices (eg, <30V). The 25B to 25F show a number of alternative embodiments for termination structures with different trench layouts to accommodate the high electric field areas found in FIG 25A are shown to decrease. As can be seen by the diagrams, in some embodiments, some or all active trenches are separated from the termination trench. The gap WG between the ends of the active trenches and the termination trench functions to control the crowding effect of the electric field occurring in the 25A structure is observed to decrease. In an exemplary embodiment, WG is set at approximately half the width of the mesas between the trenches. For higher voltage devices, multiple termination trenches such as those described in U.S. Pat 25F can be applied to further increase the breakdown voltage of the device. The transferred US Pat. No. 6,683,363 B1 entitled "Trench Structure for Semiconductor Devices" by Challa describes variations of some of these embodiments in more detail.

Die 26A bis 26C zeigen Querschnittsansichten von verschiedenen beispielhaften Grabenterminierungsstrukturen für Trench-MOSFETs mit Ladungsausgleich. In der gezeigten beispielhaften Ausführungsform verwendet MOSFET 2600A eine Struktur mit abgeschirmtem Gate mit einer Schirm-Poly-Elektrode 2611, die unter dem Gate-Poly 2610 innerhalb des aktiven Grabens 2602 vergraben ist. In der in 26A gezeigten Ausführungsform ist der Terminierungsgraben 2603A mit einer relativ dicken Schicht aus einem Dielektrikum (Oxid) 2605A ausgekleidet und mit leitfähigem Material, wie etwa Poly 2607A, gefüllt. Die Dicke der Oxidschicht 2605A, die Tiefe des Terminierungsgrabens 2603A und der Abstand zwischen dem Terminierungsgraben und dem benachbarten aktiven Graben (d. h. die Breite des letzten Mesas) sind durch die Sperrspannung der Vorrichtung im Sperrbetrieb bestimmt. In der in 26A gezeigten Ausführungsform sind die Gräben an der Oberfläche breiter (T-Trench-Struktur) und eine Metallfeldplatte 2609A wird über dem Terminierungsbereich verwendet. In einer alternativen Ausführungsform (die nicht gezeigt ist) kann die Feldplatte aus Polysilizium gebildet sein, indem Poly 2607A innerhalb eines Terminierungsgrabens 2603A über der Oberfläche und über dem Terminierungsbereich (nach links von dem Terminierungsgraben in 26A) ausgedehnt wird. Es sind viele Abwandlungen möglich. Beispielsweise kann ein p+ Bereich (der nicht gezeigt ist) unter den Metallkontakten zu Silizium für einen besseren ohmschen Kontakt hinzugefügt werden. Ein p– Wannen-Bereich 2604 in dem letzten Mesa benachbart zu dem Terminierungsgraben 2603A und sein jeweiliger Kontakt können optional entfernt werden. Es kann auch ein/es können mehrere schwimmende p-leitende Bereich(e) links von dem Terminierungsgraben 2603A (d. h. außerhalb der aktiven Fläche) hinzugefügt werden.The 26A to 26C 12 show cross-sectional views of various exemplary trench termination structures for charge-balanced trench MOSFETs. In the exemplary embodiment shown, MOSFET uses 2600A a shielded gate structure with a screen poly electrode 2611 that under the gate poly 2610 within the active trench 2602 is buried. In the in 26A The embodiment shown is the termination trench 2603a with a relatively thick layer of a dielectric (oxide) 2605a lined and with conductive material, such as poly 2607a , filled. The thickness of the oxide layer 2605a , the depth of the termination trench 2603a and the distance between the termination trench and the adjacent active trench (ie, the width of the last mesa) are determined by the reverse bias voltage of the device. In the in 26A In the embodiment shown, the trenches are wider at the surface (T-trench structure) and a metal field plate 2609A is used over the termination area. In an alternative embodiment (not shown), the field plate may be formed of polysilicon by poly 2607a within a termination trench 2603a above the surface and above the termination area (to the left of the termination trench in 26A ) is extended. There are many variations possible. For example, a p + range (not shown) under the metal contacts to silicon for better ohmic contact. A p-tub area 2604 in the last mesa adjacent to the termination trench 2603a and its respective contact can optionally be removed. There may also be one or more floating p-type region (s) to the left of the termination trench 2603a (ie outside the active area).

In einer anderen Abwandlung ist statt des Füllens des Terminierungsgrabens 2603 mit Poly eine Poly-Elektrode in dem unteren Abschnitt des Grabens innerhalb eines oxidgefüllten Grabens vergraben. Diese Ausführungsform ist in 26B gezeigt, wobei annähernd die Hälfte des Terminierungsgrabens 2603B mit Oxid 2605B gefüllt ist und die untere Hälfte eine Polyelektrode 2607B aufweist, die innerhalb des Oxids vergraben ist. Die Tiefe des Grabens 2603B und die Höhe des vergrabenen Polys 2607B können auf der Basis der Vorrichtungsverarbeitung variiert werden. In einer noch anderen Ausführungsform, die in 26C gezeigt ist, ist ein Terminierungsgraben 2603C mit einem Dielektrikum ohne darin vergrabenes nicht leitendes Material im Wesentlichen gefüllt. Für alle drei in den 26A, B und C gezeigten Ausführungsformen kann die Breite des letzten Mesas, das den Terminierungsgraben von dem letzten aktiven Graben trennt, verschieden sein von der Breite des typischen Mesas, das zwischen zwei aktiven Gräben gebildet ist, und kann derart eingestellt sein, dass ein optimaler Ladungsausgleich in dem Terminierungsbereich erzielt wird. Alle oben in Verbindung mit der in 26A gezeigten Struktur beschriebenen Abwandlungen können auf jene, die in den 26B und 26C gezeigt sind, angewandt werden. Darüber hinaus stellen Fachleute fest, dass, obgleich die Terminierungsstrukturen ihren für eine Vorrichtung mit abgeschirmtem Gate beschrieben worden sind, ähnliche Strukturen als Terminierungsbereiche für alle der verschiedenen oben beschriebenen Vorrichtungen auf Trench-Basis implementiert sein können.In another variation, instead of filling the termination trench 2603 with poly a poly electrode buried in the lower portion of the trench within an oxide-filled trench. This embodiment is in 26B showing approximately half of the termination trench 2603b with oxide 2605b is filled and the lower half of a polyelectrode 2607B which is buried within the oxide. The depth of the trench 2603b and the height of the buried polys 2607B can be varied based on the device processing. In yet another embodiment, the in 26C is shown is a termination trench 2603C essentially filled with a dielectric without buried non-conductive material. For all three in the 26A , B and C, the width of the last mesas separating the termination trench from the last active trench may be different than the width of the typical mesas formed between two active trenches, and may be set to provide optimum charge compensation is achieved in the termination area. All above in conjunction with the in 26A can be applied to those described in the structure shown in FIG 26B and 26C shown are applied. In addition, although the termination structures have been described for their shielded gate device, those skilled in the art will recognize that similar structures may be implemented as termination regions for all of the various trench-based devices described above.

Für Vorrichtungen mit niedriger Spannung kann es sein, dass die Eckenkonstruktionen für den Grabenterminierungsring nicht kritisch sind. Jedoch kann bei Vorrichtungen mit höherer Spannung die Rundung der Ecken des Terminierungsrings mit einem größeren Krümmungsradius erwünscht sein. Je höher die Spannungsanforderungen der Vorrichtung, desto größer kann der Krümmungsradius an den Ecken des Terminierungsgrabens sein. Ebenso kann die Anzahl von Terminierungsringen erhöht werden, wenn die Vorrichtungsspannung zunimmt. 27 zeigt eine beispielhafte Vorrichtung mit zwei Terminierungsgräben 2703-1 und 2703-2, die einen relativ größeren Krümmungsradius aufweisen. Die Beabstandung zwischen den Gräben kann auch auf der Basis der Spannungsanforderungen der Vorrichtung eingestellt werden. In dieser Ausführungsform entspricht der Abstand S1 zwischen Terminierungsgräben 2703-1 und 2703-2 annähernd dem doppelten Abstand zwischen dem ersten Terminierungsgraben 2703-1 und dem Ende der aktiven Gräben.For low stress devices, the corner designs for the trench termination ring may not be critical. However, in higher voltage devices, rounding of the corners of the termination ring having a larger radius of curvature may be desired. The higher the voltage requirements of the device, the larger the radius of curvature may be at the corners of the termination trench. Likewise, the number of termination rings can be increased as the device voltage increases. 27 shows an exemplary device with two termination trenches 2703-1 and 2703-2 that have a relatively larger radius of curvature. The spacing between the trenches may also be adjusted based on the voltage requirements of the device. In this embodiment, the distance S1 corresponds between termination trenches 2703-1 and 2703-2 approximately twice the distance between the first termination trench 2703-1 and the end of the active trenches.

Prozesstechnikenprocess technologies

Bislang ist eine Anzahl von unterschiedlichen Vorrichtungen mit Trench-Strukturen, die mehrfache vergrabene Elektroden oder Dioden aufweisen, beschrieben worden. Um diese Trench-Elektroden vorzuspannen, lassen es diese Vorrichtungen zu, dass ein elektrischer Kontakt mit jeder der vergrabenen Schichten hergestellt werden kann. Hierin ist eine Anzahl von Verfahren zum Bilden der Trench-Strukturen mit vergrabenen Elektroden und zum Herstellen eines Kontakts mit den vergrabenen Poly-Schichten innerhalb der Gräben offenbart. In einer Ausführungsform sind Kontakte mit Trench-Poly-Schichten an den Rand des Chips hergestellt. 30A zeigt ein Beispiel einer Randkontaktierung für eine Trench-Vorrichtung 3000 mit zwei Poly-Schichten 3010 und 3020. 30A zeigt eine Querschnittsansicht der Vorrichtung entlang der Längsachse eines Grabens. Gemäß dieser Ausführungsform, bei der der Graben in der Nähe des Randes des Chips endet, sind zu Kontaktzwecken Poly-Schichten 3010 und 3020 bis zur Oberfläche des Substrats geführt. Öffnungen 3012 und 3022 in dielektrischen (oder Oxid-)Schichten 3030 und 3040 lassen einen Metallkontakt zu den Poly-Schichten zu. Die 30B bis 30F veranschaulichen verschiedene Verarbeitungsschritte, die bei der Bildung der Randkontaktstruktur von 30A enthalten sind. In 30B wird eine dielektrische (z. B. Siliziumdioxid-)Schicht 3001 oben auf einer Epitaxieschicht 3006 strukturiert, und die freigelegte Oberfläche des Substrats wird geätzt, um einen Graben 3002 zu bilden. Eine erste Oxidschicht 3003 wird dann über der oberen Oberfläche des Substrats einschließlich des Grabens gebildet, wie es in 30C gezeigt ist. Eine erste Schicht aus leitfähigem Material (Polysilizium) 3010 wird anschließend oben auf der Oxidschicht 3003 gebildet, wie es in 30D gezeigt ist. Nach 30E wird die Poly-Schicht 3010 innerhalb des Grabens weggeätzt und eine andere Oxidschicht 3030 wird über dem Poly 3010 gebildet. Ähnliche Schritte werden ausgeführt, um den zweiten Oxid-Poly-Oxid-Sandwich zu bilden, wie es in 30F gezeigt ist, wobei die obere Oxidschicht 3040 derart gezeigt ist, dass sie geätzt ist, um Öffnungen 3012 und 3022 für eine Metallkontaktschicht zu Poly-Schichten 3010 bzw. 3020 herzustellen. Die letzten Schritte können für zusätzliche Poly-Schichten wiederholt werden, und Poly-Schichten können durch die darüber liegende Metallschicht miteinander verbunden werden, falls dies erwünscht ist.Heretofore, a number of different devices with trench structures having multiple buried electrodes or diodes have been described. To bias these trench electrodes, these devices allow for making electrical contact with each of the buried layers. Disclosed herein are a number of methods for forming the buried electrode trench structures and for making contact with the buried poly layers within the trenches. In one embodiment, contacts with trench poly layers are made at the edge of the chip. 30A shows an example of edge contact for a trench device 3000 with two poly layers 3010 and 3020 , 30A shows a cross-sectional view of the device along the longitudinal axis of a trench. According to this embodiment, where the trench terminates near the edge of the chip, poly layers are for contact purposes 3010 and 3020 led to the surface of the substrate. openings 3012 and 3022 in dielectric (or oxide) layers 3030 and 3040 allow metal contact to the poly layers. The 30B to 30F illustrate various processing steps involved in forming the edge contact structure of 30A are included. In 30B becomes a dielectric (eg, silicon dioxide) layer 3001 on top of an epitaxial layer 3006 structured, and the exposed surface of the substrate is etched to a trench 3002 to build. A first oxide layer 3003 is then formed over the upper surface of the substrate including the trench, as shown in FIG 30C is shown. A first layer of conductive material (polysilicon) 3010 is then on top of the oxide layer 3003 formed as it is in 30D is shown. To 30E becomes the poly layer 3010 etched away within the trench and another oxide layer 3030 becomes over the poly 3010 educated. Similar steps are performed to form the second oxide-poly-oxide sandwich, as shown in FIG 30F is shown, wherein the upper oxide layer 3040 is shown as etched to openings 3012 and 3022 for a metal contact layer to poly layers 3010 respectively. 3020 manufacture. The final steps may be repeated for additional poly layers, and poly layers may be bonded together by the overlying metal layer, if desired.

In einer anderen Ausführungsform werden Kontakte zu mehrfachen Poly-Schichten in einem gegebenen Graben in der aktiven Fläche der Vorrichtung anstelle entlang des Randes des Chips hergestellt. 31A zeigt ein Beispiel der Kontaktstruktur einer aktiven Fläche für mehrfache vergrabene Poly-Schichten. In diesem Beispiel zeigt eine Querschnittsansicht entlang der Längsachse des Grabens eine Poly-Schicht 3110, die den Gate-Anschluss bereitstellt, und Poly-Schichten 3111a und 3111b, die zwei Abschirmschichten bereitstellen. Während drei separate Metallleitungen 3112, 3122 und 3132 derart gezeigt sind, dass sie einen Kontakt mit den Abschirm-Poly-Schichten herstellen, können sie alle miteinander verbunden und an den Source-Anschluss der Vorrichtung angeschlossen sein, oder irgendeine andere Kontaktierungskombination kann verwendet werden, wie es durch die besondere Anwendung verlangt wird. Ein Vorteil dieser Struktur ist die planare Natur des Kontakts im Vergleich mit der in 30A gezeigten Multilayer-Randkontaktstruktur.In another embodiment, contacts to multiple poly layers in one given trench in the active area of the device instead of along the edge of the chip produced. 31A shows an example of the contact structure of an active surface for multiple buried poly layers. In this example, a cross-sectional view along the longitudinal axis of the trench shows a poly layer 3110 which provides the gate terminal and poly layers 3111a and 3111b that provide two shielding layers. While three separate metal lines 3112 . 3122 and 3132 may be all connected to each other and connected to the source terminal of the device, or any other contacting combination may be used, as required by the particular application. An advantage of this structure is the planar nature of the contact compared to the one in 30A shown multilayer edge contact structure.

Die 31B bis 31M veranschaulichen ein Beispiel eines Prozessablaufs zum Bilden einer Abschirmkontaktstruktur einer aktiven Fläche für einen Graben mit zwei Poly-Schichten. Dem Ätzen von Gräben 3102 in 31B folgt das Bilden eines Abschirmoxids 3108 in 31C. Anschließend wird Abschirmpolysilizium 3111 abgeschieden und in den Gräben eingelassen, wie es in 31D gezeigt ist. Abschirm-Poly 3111 wird zusätzlich in 31E mit Ausnahme von Stellen eingelassen, an denen ein Abschirmkontakt an der Oberfläche des Substrats erwünscht ist. In 31E schützt eine Maske 3109 das Poly innerhalb des mittleren Grabens vor einem weiteren Ätzen. In einer Ausführungsform wird diese Maske an unterschiedlichen Stellen entlang unterschiedlicher Gräben aufgebracht, so dass für beispielsweise den mittleren Graben das Abschirm-Poly in anderen Abschnitten des Grabens in der dritten Dimension (nicht gezeigt) eingelassen ist. In einer anderen Ausführungsform wird das Abschirm-Poly 3111 innerhalb eines oder mehrerer ausgewählter Gräben in der aktiven Fläche entlang der gesamten Länge des Grabens maskiert. Das Abschirmoxid 3108 wird dann geätzt, wie es in 31F gezeigt ist, und anschließend wird eine dünne Schicht aus Gate-Oxid 3108a über die Oberseite des Substrats hinweg gebildet, nachdem die Maske 3109 entfernt worden ist, wie es in 31G gezeigt ist. Dem folgt eine Gate-Poly-Abscheidung und dessen Einlassen (31H, eine p– Wannen-Implantation und Eintreibung (31I) und eine n+ Source-Implantation (31J). Die 31K, 31L und 31M zeigen jeweils die Schritte der BPSG-Abscheidung, des Kontaktätzens und der starken p+ Body-Implantation gefolgt von Metallisierung. 31N zeigt eine Querschnittsansicht einer alternativen Ausführungsform für eine Abschirmkontaktstruktur einer aktiven Fläche, wobei ein Abschirm-Poly 3111 eine relativ breite Plattform oben auf dem Abschirmoxid bildet. Dies vereinfacht die Kontaktierung des Abschirm-Polys, führt aber eine Topografie ein, die den Fertigungsprozess weiter verkompliziert.The 31B to 31M illustrate an example of a process flow for forming an active surface shield contact structure for a trench having two poly layers. The etching of trenches 3102 in 31B follows the formation of a Abschirmoxids 3108 in 31C , Subsequently, shielding polysilicon 3111 deposited and buried in the trenches, as is in 31D is shown. Shielding poly 3111 is additionally in 31E with the exception of locations where a Abschirmkontakt on the surface of the substrate is desired. In 31E protects a mask 3109 the poly within the middle trench before further etching. In one embodiment, this mask is applied at different locations along different trenches such that, for example, for the middle trench, the shield poly is recessed in other portions of the trench in the third dimension (not shown). In another embodiment, the shielding poly 3111 is masked within one or more selected trenches in the active area along the entire length of the trench. The shielding oxide 3108 is then etched as it is in 31F is shown, and then a thin layer of gate oxide 3108a formed over the top of the substrate after the mask 3109 has been removed, as it is in 31G is shown. This is followed by gate poly deposition and its insertion ( 31H , a p-well implantation and recovery ( 31I ) and an n + source implantation ( 31J ). The 31K . 31L and 31M each show the steps of BPSG deposition, contact etching and strong p + body implantation followed by metallization. 31N shows a cross-sectional view of an alternative embodiment for a Abschirmkontaktstruktur an active surface, wherein a shielding poly 3111 forms a relatively wide platform on top of the shielding oxide. This simplifies the contacting of the shielding polys, but introduces a topography that further complicates the manufacturing process.

Eine vereinfachte Layoutansicht von oben nach unten einer beispielhaften Trench-Vorrichtung mit einer Abschirmkontaktstruktur einer aktiven Fläche ist in 32A gezeigt. Eine Maske, die eine Abschirm-Poly-Vertiefung zeigt, verhindert das Einlassen des Abschirm-Polys an einer Stelle 3211C in dem aktiven Bereich sowie in dem Umfangsabschirmungsgraben 3213. Eine Modifikation dieser Technik verwendet eine ”hundeknochenartige” Form für die Abschirm-Poly-Vertiefungsmaske, die einen breiten Bereich am Schnittpunkt mit jedem Graben 3202 mit einem Kontakt mit dem Abschirm-Poly bereitstellt. Dies lässt zu, dass das Abschirm-Poly in dem maskierten Bereich ebenfalls eingelassen wird, aber bis zu der ursprünglichen Oberfläche des Mesas, wodurch Topografie beseitigt wird. Die Layoutansicht von oben nach unten für eine alternative Ausführungsform ist in 32B gezeigt, wobei Gräben einer aktiven Fläche mit dem Umfangsgraben verbunden sind. In dieser Ausführungsform verhindert die Abschirm-Poly-Vertiefungsmaske das Einlassen des Abschirm-Polys entlang der Länge eines ausgewählten Grabens (mittlerer Graben in dem gezeigten Beispiel) für einen Kontakt des Abschirmgrabens einer aktiven Fläche mit Source-Metall. Die 32C und 32D sind vereinfachte Layoutdiagramme, die zwei unterschiedliche Ausführungsformen zum Herstellen eines Kontakts mit dem Umfangsgraben in einer Trench-Vorrichtung mit einer unterbrochenen Trench-Struktur. In diesen Figuren sind aktive Gräben 3202 und ein Umfangsgraben 3213 durch eine einzige Linie zu Darstellungszwecken gezeigt. In 32C sind Verlängerungen oder Finger von einem Umfangs-Gate-Poly-Kanal 3210 in Bezug auf Umfangsabschirm-Poly-Finger gestaffelt, um die Umfangskontakte von dem Umfangsgraben weg zu beabstanden. Eine Source- und Abschirmkontaktfläche 3215 stellt auch einen Kontakt mit Abschirm-Poly in dem aktiven Bereich an Stellen 3211C her, wie es gezeigt ist. Die in 32D gezeigte Ausführungsform beseitigt die Verschiebung zwischen aktiven und Umfangsgräben, um eine mögliche Einschränkung zu vermeiden, die aus Grabenteilungsanforderungen entsteht. In dieser Ausführungsform sind die aktiven Gräben 3202 und horizontalen Verlängerungen von dem Umfangsgraben 3213 ausgerichtet und Fenster 3217 in dem Gate-Poly-Kanal 3210 lassen zu, dass Kontakte mit Abschirm-Poly um den Umfang herum hergestellt werden können. Kontakte einer aktiven Fläche werden an Stellen 3211C wie bei vorhergehenden Ausführungsformen hergestellt.A simplified top-down layout view of an exemplary trench device having a shield contact structure of an active area is shown in FIG 32A shown. A mask showing a shielding poly-well prevents ingress of the shielding polys in one location 3211C in the active area as well as in the circumferential shield trench 3213 , One modification of this technique uses a "dogbone" shape for the shielding poly well mask that covers a wide area at the intersection with each trench 3202 with contact with the shielding poly. This allows the shielding poly to be also embedded in the masked area, but up to the original surface of the mesa, thereby eliminating topography. The top-down layout view for an alternative embodiment is in FIG 32B shown, wherein trenches of an active surface are connected to the peripheral trench. In this embodiment, the shield poly recess mask prevents the interception of the shield polyline along the length of a selected trench (middle trench in the illustrated example) for contact of the shield trench of an active surface with source metal. The 32C and 32D FIG. 10 are simplified layout diagrams illustrating two different embodiments for making contact with the circumferential trench in a trench device having a trenched structure broken away. In these figures are active trenches 3202 and a circumferential trench 3213 shown by a single line for purposes of illustration. In 32C are extensions or fingers from a perimeter gate poly channel 3210 staggered with respect to perimeter screen poly-fingers to space the perimeter contacts away from the perimeter trench. A source and shield contact surface 3215 also places a contact with shield poly in the active area at locations 3211C as shown. In the 32D The illustrated embodiment eliminates the displacement between active and circumferential trenches to avoid a potential constraint arising from trench pitch requirements. In this embodiment, the active trenches are 3202 and horizontal extensions from the circumferential trench 3213 aligned and windows 3217 in the gate poly channel 3210 allow contacts with shielding poly to be made around the circumference. Contacts of an active area become in places 3211C produced as in previous embodiments.

Eine alternative Ausführungsform zum Kontaktieren von Trench-Abschirm-Poly-Schichten in der aktiven Fläche ist in 33A gezeigt. In dieser Ausführungsform erstreckt sich das Abschirm-Poly, anstelle dass es eingelassen ist, vertikal über einen wesentlichen Teil des aktiven Grabens bis zu der Siliziumoberfläche. Nach 33A teilt der Abschirm-Poly 3311 das Gate-Poly 3310 in zwei, da es sich entlang der Höhe des Grabens 3302 vertikal erstreckt. Die zwei Gate-Poly-Segmente sind in der dritten Dimension an einer geeigneten Stelle innerhalb des Grabens oder wenn sie den Graben verlassen, verbunden. Ein Vorteil dieser Ausführungsform ist die Fläche, die eingespart wird, indem ein Source-Poly-Kontakt innerhalb des aktiven Grabens hergestellt wird, anstatt dass ein Siliziumraum verwendet wird, der für den mit einem Graben versehenen Poly-Kontakt vorgesehen wäre. Die 33B bis 33M veranschaulichen ein Beispiel eines Prozessablaufs zum Bilden einer Abschirmkontaktstruktur einer aktiven Fläche von der in 33A gezeigten Art. Dem Ätzen von Gräben 3302 in 33B folgt das Bilden eines Abschirmoxids 3308 in 33C. Anschließend wird Abschirm-Polysilizium 3311 innerhalb der Gräben abgeschieden, wie es in 33D gezeigt ist. Abschirm-Poly 3311 wird geätzt und in den Gräben eingelassen, wie es in 33E gezeigt ist. Anschließend wird Abschirmoxid 3308 geätzt, wie es in 33F gezeigt ist, wobei ein freigelegter Abschnitt des Abschirm-Polysiliziums 3311 belassen wird, der zwei Mulden an seinen Seiten innerhalb des Grabens bildet. Eine dünne Schicht aus Gate-Oxid 3308a wird dann über der Oberseite des Substrats, den Seitenwänden der Gräben und den Mulden innerhalb der Gräben, gebildet, wie es in 33G gezeigt ist. Dem folgt eine Abscheidung und ein Einlassen von Gate-Poly (33H), p– Wannen-Implantation und Eintreiben (33I) und n+ Source-Implantation (33J). Die 33K, 33L und 33M zeigen die Schritte einer BPSG-Abscheidung, eines Kontaktätzens und einer starken p+ Body-Implantation, gefolgt von einer Metallisierung. Abwandlungen dieses Prozessablaufs sind möglich. Beispielsweise können durch Umordnen von einigen der Prozessschritte die Prozessschritte, die das Gate-Poly 3310 bilden, vor den Schritten durchgeführt werden, die das Abschirm-Poly 3311 bilden.An alternative embodiment for contacting trench-shielding poly layers in the active area is shown in FIG 33A shown. In this embodiment, instead of being recessed, the shielding poly extends vertically over one substantial part of the active trench up to the silicon surface. To 33A shares the shield poly 3311 the gate poly 3310 in two, since it is along the height of the trench 3302 extends vertically. The two gate poly segments are connected in the third dimension at a suitable location within the trench or as they leave the trench. An advantage of this embodiment is the area that is saved by making a source-poly contact within the active trench, rather than using a silicon space that would be provided for the trenched poly-contact. The 33B to 33M illustrate an example of a process flow for forming a shield contact structure of an active area from that in FIG 33A kind shown. The etching of trenches 3302 in 33B follows the formation of a Abschirmoxids 3308 in 33C , Subsequently, shielding polysilicon 3311 deposited inside the trenches, as in 33D is shown. Shielding poly 3311 is etched and embedded in the trenches as it is in 33E is shown. Subsequently, shielding oxide 3308 etched as it is in 33F wherein an exposed portion of the shield polysilicon 3311 leaving two hollows at its sides within the trench. A thin layer of gate oxide 3308a is then formed over the top of the substrate, the sidewalls of the trenches, and the hollows within the trenches, as in 33G is shown. This is followed by deposition and insertion of gate poly ( 33H ), p-well implantation and driving ( 33I ) and n + source implantation ( 33J ). The 33K . 33L and 33M show the steps of BPSG deposition, contact etching and strong p + body implantation, followed by metallization. Modifications of this process flow are possible. For example, by reordering some of the process steps, the process steps involving the gate poly 3310 form, before the steps are performed, the shielding poly 3311 form.

Spezifische Prozessrezepturen und -parameter und Abwandlungen davon zum Durchführen von vielen der Schritte in den obigen Prozessabläufen sind allgemein bekannt. Für eine gegebene Anwendung können bestimmte Prozessrezepturen, Chemikalien und Materialtypen fein abgestimmt werden, um die Herstellbarkeit und das Leistungsvermögen der Vorrichtung zu verbessern. Verbesserungen können von dem Ausgangsmaterial aus vorgenommen werden, d. h. dem Substrat, auf dem der epitaktische (Epi) Driftbereich gebildet wird. In den meisten Leistungsanwendungen ist eine Reduktion des Ein-Widerstands RDSon des Transistors erwünscht. Der ideale Ein-Widerstand eines Leistungstransistors ist eine strenge Funktion des kritischen Feldes, welches definiert ist als das maximale elektrische Feld in der Vorrichtung unter Durchbruchbedingungen. Der spezifische Ein-Widerstand des Transistors kann signifikant verringert werden, wenn die Vorrichtung aus einem Material gefertigt wird, das ein kritisches Feld aufweist, das höher ist als das von Silizium, vorausgesetzt, dass eine vernünftige Beweglichkeit aufrechterhalten bleibt. Obgleich viele der Merkmale der Leistungsvorrichtungen, einschließlich die Strukturen und Prozesse, soweit im Kontext eines Siliziumsubstrats beschrieben worden sind, sind andere Ausführungsformen, die anderes Substratmaterial als Silizium verwenden, möglich. Gemäß einer Ausführungsform sind die hierin beschriebenen Leistungsvorrichtungen aus einem Substrat gefertigt, das aus einem Material mit breiter Bandlücke hergestellt ist, welches beispielsweise Siliziumcarbid (SiC), Galliumnitrid (GaN), Galliumarsenid (GaAs), Indiumphosphid (InP), Diamant und dergleichen umfasst. Diese Materialien mit breiter Bandlücke zeigen ein kritisches Feld, das höher ist als das kritische Feld für Silizium, und können eine signifikante Verringerung des Ein-Widerstandes des Transistors zulassen.Specific process formulas and parameters and variations thereof for performing many of the steps in the above processes are well known. For a given application, certain process formulations, chemicals, and types of materials may be fine-tuned to improve the manufacturability and performance of the device. Improvements can be made from the starting material, ie, the substrate on which the epitaxial (epi) drift region is formed. In most power applications , a reduction in the on-resistance R DSon of the transistor is desired. The ideal on-resistance of a power transistor is a strict function of the critical field, which is defined as the maximum electric field in the device under breakdown conditions. The specific on-resistance of the transistor can be significantly reduced if the device is made of a material having a critical field higher than that of silicon, provided that reasonable mobility is maintained. Although many of the features of the power devices, including the structures and processes, have been described in the context of a silicon substrate, other embodiments using substrate material other than silicon are possible. In one embodiment, the power devices described herein are fabricated from a substrate made of wide bandgap material including, for example, silicon carbide (SiC), gallium nitride (GaN), gallium arsenide (GaAs), indium phosphide (InP), diamond, and the like. These wide bandgap materials exhibit a critical field that is higher than the critical field for silicon and can allow a significant reduction in the on-resistance of the transistor.

Ein anderer primärer Beiträger für den Ein-Widerstand eines Transistors ist die Dicke und Dotierungskonzentration des Driftbereichs. Der Driftbereich ist typischerweise durch epitaktisch aufgewachsenes Silizium gebildet. Um RDSon zu verringern, ist es erwünscht, die Dicke des Epi-Driftbereichs zu minimieren. Die Dicke der Epi-Schicht wird teilweise durch die Art des Ausgangssubstrats vorgeschrieben. Beispielsweise ist ein mit rotem Phosphor dotiertes Substrat eine übliche Art von Ausgangssubstratmaterial für diskrete Halbleitervorrichtungen. Eine Eigenschaft von Phosphoratomen ist jedoch, dass sie in Silizium schnell diffundieren. Die Dicke des Epi-Bereichs, der oben auf dem Substrat gebildet wird, wird deshalb derart bestimmt, dass die Diffusion von Phosphoratomen nach oben aus dem darunter liegenden stark dotierten Substrat ausgeglichen wird.Another primary contributor to the on-resistance of a transistor is the thickness and doping concentration of the drift region. The drift region is typically formed by epitaxially grown silicon. In order to reduce R DSon , it is desirable to minimize the thickness of the epi drift region. The thickness of the epi-layer is dictated in part by the nature of the starting substrate. For example, a substrate doped with red phosphorus is a common type of starting substrate material for discrete semiconductor devices. However, one property of phosphorus atoms is that they diffuse rapidly in silicon. The thickness of the epi region formed on top of the substrate is therefore determined so as to balance the diffusion of phosphorous atoms upwardly from the underlying heavily doped substrate.

Es gibt eine Anzahl von anderen konstruktiven und verarbeitungstechnischen Aspekten des Leistungstransistors und anderer Leistungsvorrichtungen, die deren Leistungsvermögen signifikant beeinflussen können. Die Form des Grabens ist ein Beispiel. Um die potentiell beschädigenden elektrischen Felder zu verringern, die dazu neigen, sich um die Ecken des Grabens herum zu konzentrieren, ist es erwünscht, scharfe Ecken zu vermeiden und statt dessen Gräben zu bilden, die gerundete Ecken aufzuweisen. Um die Zuverlässigkeit zu verbessern, ist es auch erwünscht, Grabenseitenwände mit glatten Oberflächen zu besitzen. Die unterschiedlichen Ätzchemikalien bieten einen Ausgleich zwischen mehreren Antworten, wie etwa: Siliziumätzrate, Selektivität gegenüber der Ätzmaske, Ätzprofil (Seitenwandwinkel), Rundung der oberen Ecke, Seitenwandrauheit und Rundung des Grabenbodens. Eine Chemikalie mit Fluor, beispielsweise SF6, liefert eine hohe Siliziumätzrate (größer als 1,5 μm/min), gerundete Grabengründe und ein gerades Profil. Der Nachteil der Fluorchemikalie sind raue Seitenwände und Schwierigkeiten mit der Steuerung der Oberseite des Grabens (kann wieder eintretend sein). Eine Chlorchemikalie, beispielsweise Cl2, liefert glattere Seitenwände und eine bessere Steuerung des Ätzprofils und der Oberseite des Grabens. Der Ausgleich mit der Chlorchemikalie betrifft eine niedrigere Siliziumätzrate (kleiner als 1,0 μm/min) und ein geringeres Runden des Grabenbodens.There are a number of other design and processing aspects of the power transistor and other power devices that can significantly affect their performance. The shape of the trench is an example. In order to reduce the potentially damaging electrical fields which tend to concentrate around the corners of the trench, it is desirable to avoid sharp corners and instead form trenches having rounded corners. To improve reliability, it is also desirable to have trench sidewalls with smooth surfaces. The different etch chemistries provide a balance between multiple responses, such as: silicon etch rate, selectivity to the etch mask, etch profile (sidewall angle), top corner rounding, sidewall roughness, and trench bottom curve. A chemical with fluorine, such as SF6, provides a high silicon etch rate (greater than 1.5 μm / min), rounded Trenching grounds and a straight profile. The drawback of the fluorochemical are rough sidewalls and difficulty controlling the top of the trench (may be reentrant). A chlorochemical, such as Cl 2 , provides smoother sidewalls and better control of the etch profile and top of the trench. The balance with the chlorochemical concerns a lower silicon etch rate (less than 1.0 μm / min) and less rounding of the trench bottom.

Wie es oben in Verbindung mit verschiedenen Transistoren mit abgeschirmten Gate-Strukturen beschrieben wurde, isoliert eine Schicht aus dielektrischem Material die Abschirmelektrode vor der Gate-Elektrode. Diese Zwischenelektroden-Dielektrikumschicht, die manchmal als das Zwischen-Poly-Dielektrikum oder IPD bezeichnet wird, muss auf eine robuste und zuverlässige Weise gebildet werden, so dass sie der Potentialdifferenz standhalten kann, die zwischen der Abschirmelektrode und der Gate-Elektrode vorhanden sein kann. Mit erneutem Bezug auf die 31E, 31F und 31G ist ein vereinfachter Ablauf für die relevanten Verarbeitungsschritte gezeigt. Nach dem Rückätzen des Abschirm-Polys 3111 innerhalb des Grabens (31E) wird die Abschirmdielektrikumschicht 3108 auf das gleiche Niveau wie das Abschirm-Poly 3111 rückgeätzt (31F). Anschließend wird eine Gate-Dielektrikumschicht 3108a auf der oberen Oberfläche des Siliziums gebildet, wie es in 31G gezeigt ist. Bei diesem Schritt wird die IPD-Schicht gebildet. Ein Artefakt dieses Abschirmdielektrikum-Vertiefungsätzens ist die Bildung von flachen Mulden auf der oberen Oberfläche des Abschirmdielektrikums, die auf beiden Seiten der Abschirmelektrode verbleiben.As described above in connection with various shielded gate transistors, a layer of dielectric material isolates the shield electrode from the gate electrode. This inter-electrode dielectric layer, sometimes referred to as the inter-poly dielectric or IPD, must be formed in a robust and reliable manner so that it can withstand the potential difference that may exist between the shield electrode and the gate electrode. With renewed reference to the 31E . 31F and 31G a simplified procedure for the relevant processing steps is shown. After re-etching the shielding polys 3111 within the trench ( 31E ) becomes the shield dielectric layer 3108 etched back to the same level as the shielding poly 3111 ( 31F ). Subsequently, a gate dielectric layer is formed 3108a formed on the upper surface of the silicon as it is in 31G is shown. In this step, the IPD layer is formed. An artifact of this shield dielectric recess etch is the formation of shallow wells on the top surface of the shield dielectric that remain on both sides of the shield electrode.

Eine Anzahl von oben beschriebenen Trench-Vorrichtungen umfasst eine Grabenseitenwanddotierung zu Ladungsausgleichszwecken. Beispielsweise weisen alle in den 6 bis 7 gezeigten Ausführungsformen irgendeine Art von Grabenseitenwand-Dotierungsstruktur auf. Seitenwanddotierungstechniken sind aufgrund von den physikalischen Randbedingungen von schmalen, tiefen Gräben und/oder senkrechter Seitenwand des Grabens in gewisser Weise beschränkt. Gasförmige Quellen oder Implantierungen unter einem Winkel können verwendet werden, um die dotierten Bereiche der Grabenseitenwand zu bilden. In einer Ausführungsform benutzt eine verbesserte Dotierungstechnik für die Grabenseitenwand eine Plasmadotierungstechnologie oder eine Dotierungstechnologie mit gepulstem Plasma. Diese Technologie benutzt eine gepulste Spannung, die an den Wafer angelegt wird, der in einem Plasma von Dotiermittelionen eingeschlossen ist. Die angelegte Spannung beschleunigt die Ionen von dem Kathodenschirm in Richtung und in den Wafer. Die angelegte Spannung ist gepulst und die Dauer wird fortgesetzt, bis die gewünschte Dosis erreicht ist. Diese Technik ermöglicht ein Implantieren von vielen dieser Trench-Vorrichtungen mit anpassungsfähigen Dotierungstechniken. Zusätzlich reduziert der hohe Durchsatz dieses Prozesses die Gesamtkosten des Herstellungsprozesses.A number of trench devices described above include trench sidewall doping for charge balancing purposes. For example, all in the 6 to 7 shown embodiments on any kind of trench sidewall doping structure. Sidewall doping techniques are somewhat limited due to the physical constraints of narrow, deep trenches and / or vertical sidewall of the trench. Gaseous sources or implants at an angle may be used to form the doped regions of the trench sidewall. In one embodiment, an improved trench sidewall doping technique utilizes plasma doping technology or pulsed plasma doping technology. This technology uses a pulsed voltage applied to the wafer enclosed in a plasma of dopant ions. The applied voltage accelerates the ions from the cathode screen toward and into the wafer. The applied voltage is pulsed and the duration is continued until the desired dose is reached. This technique allows implantation of many of these trench devices with adaptive doping techniques. In addition, the high throughput of this process reduces the overall cost of the manufacturing process.

Fachleute werden feststellen, dass die Verwendung von Plasmadotierungstechnologie oder Dotierungstechnologie mit gepulstem Plasma nicht auf Graben-Ladungsausgleichsstrukturen begrenzt ist, sondern auch auf andere Strukturen angewandt werden kann, die Trench-Terminierungsstrukturen und Trench-Drain-, Source- oder Body-Verbindungen umfassen. Beispielsweise kann dieses Verfahren dazu verwendet werden, die Grabenseitenwände von Strukturen mit abgeschirmtem Graben zu dotieren, wie etwa jene, die in Verbindung mit den 4D, 4E, 6, 7 beschrieben wurden. Zusätzlich kann diese Technik verwendet werden, um einen gleichmäßig dotierten Kanalbereich zu bilden. Die Eindringung des Verarmungsbereiches in den Kanalbereich (p– Wannen-Übergang), wenn die Leistungsvorrichtung in Sperrrichtung vorgespannt oder betrieben ist, wird durch die Ladungskonzentration auf beiden Seiten des Übergangs gesteuert. Wenn die Dotierungskonzentration in der Epi-Schicht hoch ist, kann eine Verarmung in dem Übergang ein Punch-Through zulassen, um die Durchbruchspannung zu begrenzen, oder eine längere Kanallänge als sie erwünscht ist, um den Ein-Widerstand niedrig zu halten, erfordern. Um die Verarmung in den Kanal zu minimieren, kann eine höhere Kanaldotierungskonzentration erforderlich sein, die bewirken kann, dass der Schwellenwert zunimmt. Da der Schwellenwert durch die Spitzenkonzentration unter der Source in einem Trench-MOSFET bestimmt wird, kann eine gleichmäßige Dotierungskonzentration in dem Kanal einen besseren Ausgleich zwischen Kanallänge und Durchbruch bereitstellen.Those skilled in the art will recognize that the use of plasma doping technology or pulsed plasma doping technology is not limited to trench charge balance structures, but may be applied to other structures including trench termination structures and trench drain, source or body interconnections. For example, this method can be used to dope the trench sidewalls of shielded trench structures, such as those used in conjunction with FIG 4D . 4E . 6 . 7 have been described. In addition, this technique can be used to form a uniformly doped channel region. The penetration of the depletion region into the channel region (p-well junction) when the power device is reverse biased or operated is controlled by the charge concentration on both sides of the junction. If the doping concentration in the epi-layer is high, depletion in the junction may allow punch-through to limit the breakdown voltage or require a longer channel length than is desired to keep the on-resistance low. To minimize depletion into the channel, a higher channel doping concentration may be required which may cause the threshold to increase. Since the threshold is determined by the peak concentration below the source in a trench MOSFET, a uniform doping concentration in the channel can provide a better balance between channel length and breakdown.

Andere Verfahren, die angewandt werden können, um eine gleichmäßigere Kanalkonzentration zu erhalten, umfassend das Bilden des Kanalübergangs unter Verwendung eines Epitaxieprozesses, unter Verwendung von Mehrfach-Energieimplantationen und anderen Techniken zum Schaffen eines abrupten Übergangs. Eine andere Technik wendet einen Ausgangswafer mit einer leicht dotierten Deckschicht an. Auf diese Weise wird Kompensation minimiert und Aufwärtsdiffusion kann begrenzt werden, um ein gleichmäßigeres Kanaldotierungsprofil zu schaffen.Other methods that may be used to obtain a more uniform channel concentration include forming the channel junction using an epitaxial growth process, using multiple energy implantation and other techniques to provide an abrupt transition. Another technique uses a starting wafer with a lightly doped capping layer. In this way, compensation is minimized and upward diffusion can be limited to provide a more uniform channel doping profile.

Eine Graben-Vorrichtung kann Nutzen aus der Tatsache ziehen, dass der Schwellenwert durch die Kanaldotierungskonzentration entlang der Grabenseitenwände festgelegt wird. Ein Prozess, der eine hohe Dotierungskonzentration von den Gräben weg zulässt, während ein niedriger Schwellenwert aufrechterhalten wird, kann helfen, den Punch-Through-Mechanismus zu verhindern. Das Vorsehen der p– Wannen-Dotierung vor dem Gate-Oxidationsprozess erlaubt eine Segregation von p-leitenden Fremdstoffen der Wanne, z. B. Bor, in das Grabenoxid, um die Konzentration in dem Kanal zu verringern, wodurch der Schwellenwert vermindert wird. Wenn dies mit den obigen Techniken kombiniert wird, kann dies eine kürzere Kanallänge ohne Punch-Through ergeben.A trench device may benefit from the fact that the threshold is determined by the channel doping concentration along the trench sidewalls. A process that allows a high doping concentration away from the trenches while maintaining a low threshold can help reduce the punching Through mechanism to prevent. The provision of the p-well doping prior to the gate oxidation process allows segregation of p-type impurities of the well, e.g. Boron, into the trench oxide to reduce the concentration in the channel, thereby reducing the threshold. Combining this with the above techniques may result in a shorter channel length without punch through.

Manche Leistungsanwendungen erfordern das Messen des Betrags an Strom, der durch den Leistungstransistor fließt. Dies wird typischerweise bewerkstelligt, indem ein Teil des Gesamtvorrichtungsstroms isoliert und gemessen wird, der dann dazu verwendet wird, den Gesamtstrom zu extrapolieren, der durch die Vorrichtung fließt. Der isolierte Teil des Gesamtvorrichtungsstromes fließt durch eine Strommess- oder -detektionsvorrichtung, die ein Signal erzeugt, das die Größe des isolierten Stromes angibt und das dann dazu verwendet wird, den Gesamtvorrichtungsstrom zu bestimmen. Diese Anordnung ist allgemein als Stromspiegel bekannt. Der Strom erfassende Transistor wird gewöhnlich monolithisch mit der Leistungsvorrichtung gefertigt, wobei beide Vorrichtungen ein gemeinsames Substrat (Drain) und Gate teilen. 60 ist ein vereinfachtes Diagramm eines MOSFET 6000 mit einer Strommessvorrichtung 6002. Der Strom, der durch den Haupt-MOSFET 6000 fließt, wird zwischen dem Haupttransistor und dem Strommessabschnitt 6002 proportional zu den aktiven Gebieten eines jeden aufgeteilt. Der Strom, der durch den Haupt-MOSFET 6000 fließt, wird somit berechnet, indem der Strom durch die Messvorrichtung gemessen und er dann mit dem Verhältnis der aktiven Fläche multipliziert wird.Some power applications require measuring the amount of current flowing through the power transistor. This is typically accomplished by isolating and measuring a portion of the total device current which is then used to extrapolate the total current flowing through the device. The isolated portion of the total device current flows through a current sensing or detection device which generates a signal indicative of the magnitude of the isolated current and which is then used to determine the total device current. This arrangement is commonly known as a current mirror. The current sensing transistor is typically fabricated monolithically with the power device, with both devices sharing a common substrate (drain) and gate. 60 is a simplified diagram of a MOSFET 6000 with a current measuring device 6002 , The current flowing through the main mosfet 6000 flows between the main transistor and the current measuring section 6002 divided proportionally to the active areas of each. The current flowing through the main mosfet 6000 is thus calculated by measuring the current through the measuring device and then multiplying it by the ratio of the active area.

Verschiedene Verfahren zum Isolieren der Strommessvorrichtung von der Hauptvorrichtung sind in der übertragenen US-Patentanmeldung NR. 10/315,719 mit dem Titel ”Method of Isolating the Current Sense on Power Devices While Maintaining a Continuous Strip Cell” für Yedinak et al. beschrieben, deren Offenbarungsgehalt hierin durch Bezugnahme vollständig mit eingeschlossen ist. Ausführungsformen zum Integrieren der Messvorrichtung zusammen mit unterschiedlichen Leistungsvorrichtungen, die jene mit Ladungsausgleichsstrukturen umfassen, werden nachstehend beschrieben. Gemäß einer Ausführungsform wird in einem Leistungstransistor mit Ladungsausgleichsstrukturen und einer monolithisch integrierten Strommessvorrichtung die Strommessfläche vorzugsweise mit der gleichen kontinuierlichen MOSFET-Struktur sowie der Ladungsausgleichsstruktur gebildet. Ohne eine Kontinuität in der Ladungsausgleichsstruktur aufrecht zu erhalten, wird die Durchbruchspannung der Vorrichtung aufgrund einer Fehlanpassung in der Ladung verschlechtert, was dazu führt, dass der spannungstragende Bereich nicht vollständig verarmt wird. 61A zeigt eine beispielhafte Ausführungsform für einen Ladungsausgleichs-MOSFET 6100 mit einer planaren Gate-Struktur und einer isolierten Strommessstruktur 6115. In dieser Ausführungsform umfasst die Ladungsausgleichsstruktur Säulen 6126 entgegengesetzter Leitfähigkeit (in diesem Beispiel p-leitend), die innerhalb eines (n-leitenden) Driftbereichs 6104 gebildet sind. Die p-leitenden Säulen 6126 können beispielsweise als dotierte Polysilizium- oder epi-gefüllte Gräben gebildet sein. Wie es in 61A gezeigt ist, behalten die Ladungsausgleichsstrukturen die Kontinuität unter der Strommessstruktur 6115. Das Messanschlussflächenmetall 6113, das die Fläche der Oberfläche der Strommessvorrichtung 6115 bedeckt, ist von dem Source-Metall 6116 durch den dielektrischen Bereich 6117 getrennt. Es ist zu verstehen, dass Strommessvorrichtungen mit ähnlichen Strukturen mit irgendeiner der anderen hierin beschriebenen Leistungsvorrichtungen integriert werden können. Beispielsweise zeigt 61B ein Beispiel davon, wie eine Strommessvorrichtung mit einem Trench-MOSFET mit abgeschirmtem Gate integriert sein kann, wobei ein Ladungsausgleich erhalten werden kann, indem die Tiefe des Grabens eingestellt und das Abschirm-Poly innerhalb des Grabens vorgespannt wird.Various methods of isolating the current measuring device from the main device are described in commonly assigned U.S. Patent Application NO. No. 10 / 315,719 entitled "Method of Isolating the Current Sense on Power Devices While Maintaining a Continuous Strip Cell" for Yedinak et al. , the disclosure of which is fully incorporated herein by reference. Embodiments for integrating the measurement device together with different power devices including those having charge balance structures will be described below. According to one embodiment, in a power transistor with charge compensation structures and a monolithically integrated current measuring device, the current measuring surface is preferably formed with the same continuous MOSFET structure and the charge compensation structure. Without maintaining continuity in the charge balance structure, the breakdown voltage of the device is degraded due to mismatch in the charge, resulting in that the voltage carrying region is not completely depleted. 61A shows an exemplary embodiment for a charge balance MOSFET 6100 with a planar gate structure and an isolated current measuring structure 6115 , In this embodiment, the charge balance structure comprises pillars 6126 of opposite conductivity (p-type in this example) within an (n-type) drift region 6104 are formed. The p-type columns 6126 For example, they may be formed as doped polysilicon or epi-filled trenches. As it is in 61A is shown, the charge balancing structures maintain continuity under the current sensing structure 6115 , The measuring pad metal 6113 , which is the area of the surface of the current measuring device 6115 covered, is from the source metal 6116 through the dielectric region 6117 separated. It is to be understood that current measuring devices having similar structures can be integrated with any of the other power devices described herein. For example, shows 61B an example of how a current sensing device may be integrated with a shielded gate trench MOSFET, wherein charge balance may be obtained by adjusting the depth of the trench and biasing the shielding poly within the trench.

Es gibt eine Anzahl von Leistungsanwendungen, bei denen es erwünscht ist, Dioden auf dem gleichen Chip wie der Leistungstransistor zu integrieren. Derartige Anwendungen umfassen eine Temperaturmessung, einen Schutz vor elektrostatischer Entladung (ESD), aktives Klemmen und Spannungsteilung, neben anderen. Zur Temperaturmessung werden beispielsweise ein oder mehrere in Reihe geschaltete Dioden monolithisch mit dem Leistungstransistor integriert, wobei die Anoden- und Kathodenanschlüsse der Diode heraus zu separaten Bond-Pads geführt werden, oder mit monolithischen Steuerschaltkreiskomponenten, die leitende Verbindungen verwenden, verbunden werden. Die Temperatur wird durch die Änderung der Spannung (Vf) in Durchlassrichtung der Diode (oder Dioden) gemessen. Beispielsweise mit einer geeigneten Verbindung mit dem Gate-Anschluss des Leistungstransistors wird die Gate-Spannung, wenn das Vf der Diode mit der Temperatur abfällt, heruntergezogen, was den Strom, der durch die Vorrichtung fließt, verringert, bis die gewünschte Temperatur erreicht ist.There are a number of power applications where it is desired to integrate diodes on the same chip as the power transistor. Such applications include temperature measurement, electrostatic discharge (ESD) protection, active clamping and voltage division, among others. For temperature measurement, for example, one or more series-connected diodes are monolithically integrated with the power transistor, with the anode and cathode terminals of the diode being routed out to separate bond pads, or connected to monolithic control circuit components using conductive connections. The temperature is measured by the change in the voltage (Vf) in the forward direction of the diode (or diodes). For example, with proper connection to the gate terminal of the power transistor, as the Vf of the diode drops with temperature, the gate voltage is pulled down, reducing the current flowing through the device until the desired temperature is reached.

62A zeigt eine beispielhafte Ausführungsform für einen MOSFET 6200A mit Reihen-Temperaturmessdioden. Der MOSFET 6200A umfasst eine Diodenstruktur 6215, bei der dotiertes Polysilizium mit abwechselnder Leitfähigkeit drei Reihen-Temperaturmessdioden bildet. In dieser veranschaulichenden Ausführungsform wendet der MOSFET-Abschnitt der Vorrichtung 6200A p-leitende, epi-gefüllte Ladungsausgleichsgräben an, die Bereiche entgegengesetzter Leitfähigkeit innerhalb eines n-leitenden Epi-Driftbereichs 6204 bilden. Wie es gezeigt ist, behält die Ladungsausgleichsstruktur vorzugsweise eine Kontinuität unter der Temperaturmessdiodenstruktur 6215. Die Diodenstruktur ist oben auf einer Felddielektrikum-(Oxid-)Schicht 6219 oben auf der Oberfläche des Siliziums gebildet. Ein p-leitender Übergangsisolationsbereich 6221 kann wahlweise unter die Dielektrikumschicht 6219 diffundiert sein. Eine Vorrichtung 6200B ohne diesen p-leitenden Übergang ist in 62B gezeigt. Um sicherzustellen, dass Reihen-Dioden, die in Durchlassrichtung vorgespannt sind, erhalten werden, wird ein Kurzschlussmetall 6223 verwendet, um die P/N+ Übergänge kurzzuschließen, die in Sperrrichtung vorgespannt sind. In einer Ausführungsform wird p+ implantiert und über die Übergänge hinweg diffundiert, um eine N+/P/P+/N+ Struktur zu bilden, wobei p+ unter Kurzschlussmetallen 6223 erscheint, um den ohmschen Kontakt zu erhalten. Für die entgegengesetzte Polarität kann N+ ebenfalls über den N/P+ Übergang diffundiert werden, um eine P+/N/N+/P+ Struktur zu bilden. Fachleute werden wieder feststellen, dass diese Art von Temperaturmessdiodenstruktur in irgendeiner der vorhergehenden Leistungsvorrichtungen in Kombination mit vielen anderen hierin beschriebenen Merkmalen angewandt werden kann. 62C zeigt beispielsweise einen MOSFET 6200C mit einer Struktur mit abgeschirmtem Trench-Gate, bei der das Abschirm-Poly zum Ladungsausgleich verwendet werden kann. 62A shows an exemplary embodiment for a MOSFET 6200A with series temperature measuring diodes. The MOSFET 6200A includes a diode structure 6215 in which doped polysilicon with alternating conductivity forms three series temperature measuring diodes. In this illustrative embodiment, the MOSFET portion of the device applies 6200A p-type, epi-filled charge balance trenches, the regions of opposite conductivity within an n-type epi-drift region 6204 form. As shown Preferably, the charge balance structure preferably maintains continuity below the temperature sensing diode structure 6215 , The diode structure is on top of a field dielectric (oxide) layer 6219 formed on top of the surface of the silicon. A p-type junction isolation region 6221 optionally under the dielectric layer 6219 be diffused. A device 6200B without this p-type transition is in 62B shown. To ensure that series diodes which are forward biased are obtained, a short-circuit metal is obtained 6223 used to short the P / N + transitions that are reverse biased. In one embodiment, p + is implanted and diffused across the junctions to form an N + / P / P + / N + structure, with p + among shorting metals 6223 appears to receive the ohmic contact. For the opposite polarity, N + can also be diffused across the N / P + junction to form a P + / N / N + / P + structure. Those skilled in the art will recognize again that this type of temperature sensing diode structure can be used in any of the foregoing power devices in combination with many other features described herein. 62C shows for example a MOSFET 6200C with a screened trench gate structure that allows the shielding poly to be used for charge balancing.

In einer anderen Ausführungsform wird durch Anwenden ähnlicher Isolationstechniken, wie sie in Vorrichtung 6200 für Temperaturmessdioden gezeigt sind, ein asymmetrischer ESD-Schutz implementiert. Für ESD-Schutzzwecke wird ein Ende der Diodenstruktur elektrisch mit dem Source-Anschluss und das andere Ende mit dem Gate-Anschluss der Vorrichtung verbunden. Alternativ wird ein symmetrischer ESD-Schutz erhalten, indem N+/P/N+ Übergänge Rückseite an Rückseite kurzgeschlossen werden, wie es in den 63A und 63B gezeigt ist. Der in 63A gezeigte beispielhafte MOSFET 6300A wendet eine planare Gate-Struktur an und benutzt Säulen entgegengesetzter Leitfähigkeit zum Ladungsausgleich, wohingegen der in 63B gezeigte beispielhafte MOSFET 6300B eine Trench-Gate-Vorrichtung mit einer abgeschirmten Gate-Struktur ist. Um Ungleichmäßigkeiten im Ladungsausgleich zu verhindern, ist die Ladungsausgleichsstruktur unter dem Gate-Pad-Metall und irgendwelchen anderen Steuerelementanschlussflächen fortgesetzt.In another embodiment, by employing similar isolation techniques as used in apparatus 6200 for temperature sensing diodes, asymmetric ESD protection is implemented. For ESD protection purposes, one end of the diode structure is electrically connected to the source terminal and the other end to the gate terminal of the device. Alternatively, symmetric ESD protection is obtained by short-circuiting N + / P / N + junctions back to back, as shown in the 63A and 63B is shown. The in 63A shown exemplary MOSFET 6300a uses a planar gate structure and uses columns of opposite conductivity to charge balance, whereas in 63B shown exemplary MOSFET 6300B a trench gate device with a shielded gate structure. To prevent non-uniformities in charge balance, the charge balance structure continues under the gate pad metal and any other control pads.

Beispielhafte ESD-Schutzschaltkreise sind in den 64A bis 64D gezeigt, wobei die Hauptvorrichtung, deren Gate durch die oben beschriebenen Diodenstrukturen geschützt ist, irgendeine der hierin beschriebenen Leistungsvorrichtungen sein kann, die irgendeine der Ladungsausgleichstechniken oder andere Techniken verwendet. 64A zeigt ein vereinfachtes Diagramm für einen asymmetrischen isolierten Poly-Dioden-ESD-Schutz, wohingegen 64B einen normalen Rückseite-an-Rückseiteisolierten Poly-Dioden-ESD-Schutzschaltkreis zeigt. Der in 64C gezeigte ESD-Schutzschaltkreis verwendet einen NPN-Transistor für ein BVcer-Snap-Back. Das Subscript ”cer” in BVcer bezieht sich auf einen Bipolar-Transistor mit einem in Sperrrichtung vorgespannten Kollektor-Emitter-Übergang, bei dem eine Verbindung mit der Basis einen Widerstand verwendet, um den Basisstrom zu steuern. Ein niedriger Widerstand bewirkt, dass der größte Teil des Emitterstroms durch die Basis entfernt wird, wobei verhindert wird, dass der Emitter-Basis-Übergang einschaltet, das heißt Minoritätsladungsträger zurück in den Kollektor injiziert werden. Der Einschaltzustand kann durch den Widerstandswert eingestellt werden. Wenn Ladungsträger zurück in den Kollektor injiziert werden, kann die zu tragende Spannung zwischen dem Emitter und dem Kollektor vermindert werden – ein Phänomen, das als ”Snap-Back” bezeichnet wird. Der Strom, bei dem das BVcer-Snap-Back ausgelöst wird, kann festgelegt werden, indem der Wert des Basis-Emitter-Widerstandes RBE eingestellt wird. 64D zeigt einen ESD-Schutzschaltkreis, der einen siliziumgesteuerten Gleichrichter oder SCR und eine Diode verwendet, wie es gezeigt ist. Indem eine Gate-Kathoden-Kurzschlussstruktur verwendet wird, kann der Auslösestrom gesteuert werden. Die Diodendurchbruchspannung kann verwendet werden, um die Spannung, bei der der SCR umschaltet, zu verschieben. Die monolithische Diodenstruktur, wie sie oben beschrieben ist, kann in jedem von diesen und anderen ESD-Schutzschaltkreisen angewandt werden.Exemplary ESD protection circuits are in the 64A to 64D wherein the main device, the gate of which is protected by the above-described diode structures, may be any of the power devices described herein using any of the charge balance techniques or other techniques. 64A shows a simplified diagram for asymmetric isolated poly-diode ESD protection, whereas 64B shows a normal back-to-back isolated poly-diode ESD protection circuit. The in 64C shown ESD protection circuit uses an NPN transistor for a BV cer -Snap-back. The subscript "cer" in BV cer refers to a bipolar transistor having a reverse biased collector-emitter junction in which a connection to the base uses a resistor to drive the base current. A low resistance causes most of the emitter current to be removed by the base, preventing the emitter-base junction from turning on, that is, injecting minority carriers back into the collector. The on state can be set by the resistance value. When charge carriers are injected back into the collector, the voltage to be carried between the emitter and the collector can be reduced - a phenomenon called "snap-back". The current at which the BV cer snap-back is triggered can be set by adjusting the value of the base-emitter resistor R BE . 64D shows an ESD protection circuit using a silicon controlled rectifier or SCR and a diode as shown. By using a gate-to-cathode short circuit structure, the tripping current can be controlled. The diode breakdown voltage can be used to shift the voltage at which the SCR switches. The monolithic diode structure as described above can be applied to any of these and other ESD protection circuits.

Bei manchen Leistungsanwendungen ist eine wichtige Leistungskennlinie einer Leistungsschaltvorrichtung ihr Ersatzreihenwiderstand oder ESR (equivalent series resistance), der ein Maß der Impedanz des Schaltanschlusses oder Gates ist. Beispielsweise in synchronen Tiefsitzstellern, die Leistungs-MOSFETs verwenden, hilft ein niedrigeres ESR, Schaltverluste zu vermindern. Im Fall von Trench-Gate-MOSFETs wird deren Gate-ESR zum großen Teil durch die Abmessungen der mit Polysilizium gefüllten Gräben bestimmt. Die Länge der Gate-Gräben kann beispielsweise durch Packungseinschränkungen begrenzt werden, wie etwa die minimale Größe des Drahtbondpads. Es ist bekannt, dass ein Aufbringen eines Silizidfilms auf Polysilizium den Widerstand des Gates senkt. Das Implementieren eines silizierten Polys in Trench-MOSFETs stellt jedoch eine Anzahl von Herausforderungen. In typischen planaren, diskreten MOS-Strukturen kann das Gate-Poly siliziert werden, nachdem die Übergänge implantiert und auf ihre jeweiligen Tiefen hineingetrieben worden sind. Für Trench-Gate-Vorrichtungen, bei denen das Gate-Poly eingelassen ist, wird das Aufbringen eines Silizids komplizierter. Die Verwendung eines herkömmlichen Silizids begrenzt die maximale Temperatur, der ein Wafer einer Nach-Silizidbehandlung ausgesetzt werden kann, auf ungefähr weniger als 900°C. Dies stellt eine signifikante Einschränkung beim Stadium des Fertigungsprozesses dar, wenn Diffusionsbereiche, wie etwa Sourcen, Drains und Wannen gebildet werden. Das typischste Metall, das für Silizide verwendet wird, ist Titan. Andere Metalle, wie etwa Wolfram, Tantal, Kobalt und Platin können ebenfalls verwendet werden, was eine Nach-Silizidbehandlung mit höherem Wärmebudget zulässt, was wiederum eine größere Verarbeitungsbreite bietet. Der Gate-ESR kann auch durch verschiedene Layouttechniken verringert werden.In some power applications, an important performance characteristic of a power switching device is its equivalent series resistance (ESR), which is a measure of the impedance of the switching port or gate. For example, in low-speed synchronous actuators using power MOSFETs, a lower ESR helps reduce switching losses. In the case of trench gate MOSFETs, their gate ESR is largely determined by the dimensions of the polysilicon filled trenches. The length of the gate trenches may be limited, for example, by package constraints, such as the minimum size of the wire bonding pad. It is known that depositing a silicide film on polysilicon lowers the resistance of the gate. However, implementing a siliconized polys in trench MOSFETs presents a number of challenges. In typical planar, discrete MOS structures, the gate poly can be silicated after the junctions have been implanted and driven into their respective depths. For trench gate devices in which the gate poly is embedded, the application of a silicide becomes more complicated. The use of a conventional silicide limits the maximum temperature that a wafer can be subjected to post-silicide treatment to approximately less than 900 ° C. This represents a significant limitation on the stage of the manufacturing process when forming diffusion regions such as sources, drains, and wells. The most typical metal used for silicides is titanium. Other metals such as tungsten, tantalum, cobalt, and platinum may also be used, allowing post-silicide treatment with a higher heat budget, which in turn provides more processing latitude. The gate ESR can also be reduced by various layout techniques.

Nachstehend sind verschiedene Ausführungsformen zum Bilden von Leistungsschaltvorrichtungen mit Ladungsausgleich und niedrigerem ESR beschrieben. In einer in 65 gezeigten Ausführungsform umfasst ein Prozess 6500 das Bilden von Gräben mit einer unteren Elektrode, die an einem unteren Abschnitt des Grabens zu Abschirmungs- und/oder Ladungsausgleichszwecken gebildet ist (Schritt 6502). Dem folgt ein Abscheiden und Ätzen einer IPD-Schicht (Schritt 6504). Die IPD-Schicht kann durch bekannte Prozesse gebildet werden. Alternativ kann irgendeiner der oben in Verbindung mit den 45 bis 50 beschriebenen Prozessen zur Bildung der IPD-Schicht verwendet werden. Als Nächstes wird eine obere Elektrode oder ein Gate-Poly unter Verwendung bekannter Prozesse bei Schritt 6506 abgeschieden und geätzt. Dem folgt ein Implantieren und Eintreiben der Wannen- und Source-Bereiche (Schritt 6508). Nach Schritt 6508 wird Silizid auf das Gate-Poly bei Schritt 6510 aufgebracht. Dem folgt anschließend eine Abscheidung und Planarisierung eines Dielektrikums bei Schritt 6512. In einer Abwandlung dieses Prozesses wird Schritt 6512, bei dem das dielektrische Feld abgeschieden und planarisiert wird, zuerst durchgeführt, und anschließend werden Kontaktlöcher geöffnet, um die Source/den Body und das Gate zu erreichen, wonach Silizidkontakte gebildet werden. Diese beiden Ausführungsformen beruhen darauf, dass der starke Body-Implantationsbereich durch ein Ausheilen bei niedriger Temperatur aktiviert wird, die niedriger ist als der Silizidfilmübergangspunkt.Various embodiments for forming charge balance and lower ESR power switching devices are described below. In an in 65 embodiment shown includes a process 6500 forming trenches with a lower electrode formed at a lower portion of the trench for shielding and / or charge balancing purposes (step 6502 ). This is followed by deposition and etching of an IPD layer (step 6504 ). The IPD layer can be formed by known processes. Alternatively, any of the above may be used in conjunction with 45 to 50 described processes for forming the IPD layer. Next, an upper electrode or a gate poly will be used, using known processes 6506 deposited and etched. This is followed by implanting and driving in the well and source regions (step 6508 ). After step 6508 silicide is going to step on the gate poly 6510 applied. This is followed by deposition and planarization of a dielectric at step 6512 , In a modification of this process will step 6512 in which the dielectric field is deposited and planarized is performed first, and then contact holes are opened to reach the source / body and the gate, after which silicide contacts are formed. Both of these embodiments are based on activating the strong body implantation area by annealing at low temperature, which is lower than the silicide film transition point.

In einer anderen Ausführungsform wird das Poly-Gate durch ein Metall-Gate ersetzt. Gemäß dieser Ausführungsform wird das Metall-Gate durch Abscheiden von z. B. Ti unter Verwendung einer kollimierten Quelle gebildet, um die Füllfähigkeit in einer Trench-Struktur zu verbessern. Nach dem Aufbringen des Metall-Gates und sobald die Übergänge implantiert und eingetrieben worden sind, umfassen Wahlmöglichkeiten für Dielektrika HDP und TEOS, um das Gate von den Source/Body-Kontakten zu isolieren. In alternativen Ausführungsformen wird ein Damascene, oder Doppel-Damascene-Ansatz mit verschiedenen Wahlmöglichkeiten für Metall von Aluminium- bis Kupfer-Deckmetallen verwendet, um den Gate-Anschluss zu bilden.In another embodiment, the poly gate is replaced by a metal gate. According to this embodiment, the metal gate is formed by depositing z. For example, Ti is formed using a collimated source to improve fillability in a trench structure. After the metal gate has been deposited and once the junctions have been implanted and driven in, selections for dielectrics include HDP and TEOS to isolate the gate from the source / body contacts. In alternative embodiments, a damascene or dual damascene approach with various choices of metal from aluminum to copper capping metals is used to form the gate terminal.

Das Layout des Gate-Leiters kann auch den Gate-ESR und die gesamte Schaltgeschwindigkeit der Vorrichtung beeinflussen.The layout of the gate conductor may also affect the gate ESR and the overall switching speed of the device.

Obgleich das Obige eine vollständige Beschreibung der bevorzugten Ausführungsformen der Erfindung angibt, sind viele Alternativen, Modifikationen und Äquivalente möglich. Beispielsweise sind viele der Ladungsausgleichstechniken hierin im Zusammenhang mit einem MOSFET und insbesondere mit einem Trench-Gate-MOSFET beschrieben. Fachleute werden feststellen, dass die gleichen Techniken auf andere Vorrichtungsarten angewandt werden können, die IGBTs, Thyristoren, Dioden oder planare MOSFETs sowie laterale Vorrichtungen umfassen. Aus diesen und anderen Gründen sollte deshalb die obige Beschreibung nicht als den Schutzumfang der Erfindung, welcher durch die beigefügten Ansprüche definiert ist, einschränkend betrachtet werden.Although the above is a complete description of the preferred embodiments of the invention, many alternatives, modifications, and equivalents are possible. For example, many of the charge balance techniques are described herein in the context of a MOSFET, and more particularly, a trench-gate MOSFET. Those skilled in the art will recognize that the same techniques can be applied to other types of devices including IGBTs, thyristors, diodes or planar MOSFETs, as well as lateral devices. For these and other reasons, therefore, the above description should not be taken as limiting the scope of the invention, which is defined by the appended claims.

Claims (11)

Halbleitervorrichtung (3300) mit: – einem Driftbereich (3306) von einem ersten Leitfähigkeitstyp, – einem Wannenbereich (p), der sich über dem Driftbereich (3306) erstreckt und einen zweiten Leitfähigkeitstyp aufweist, der dem ersten Leitfähigkeitstyp entgegengesetzt ist, – einer Mehrzahl von aktiven Gräben (3302), die sich durch den Wannenbereich (p) und in den Driftbereich (3306) erstrecken, wobei innerhalb eines jeden der Mehrzahl von aktiven Gräben (3302) gebildet sind: – eine erste leitfähige Gate-Elektrode (3310), die entlang einer ersten Grabenseitenwand angeordnet und gegenüber dieser isoliert ist, – eine zweite leitfähige Gate-Elektrode (3310), die entlang einer zweiten Grabenseitenwand angeordnet und gegenüber dieser isoliert ist, – eine leitfähige Abschirmelektrode (3311), die zwischen der ersten (3310) und der zweiten (3310) leitfähigen Gate-Elektrode angeordnet ist, wobei die Abschirmelektrode (3311) gegenüber der ersten (3310) und der zweiten (3310) Gate-Elektrode isoliert ist und sich tiefer in den Graben (3302) erstreckt als die erste (3310) und die zweite (3310) Gate-Elektrode, wobei sich das leitfähige Abschirm-Poly vertikal bis zu der Siliziumoberfläche entlang der Höhe des Grabens erstreckt, bis oberhalb einer Hohe der ersten und zweiten leitfähigen Gate-Elektroden, – Source-Bereichen (n+) mit dem ersten Leitfähigkeittyp, die innerhalb des Wannenbereichs (p) und benachbart zu der Mehrzahl von aktiven Gräben (3302) gebildet sind, und – einem Umfangsgraben (2603A, 3213), der sich zumindest teilweise um die Mehrzahl von aktiven Gräben (3302) erstreckt, so dass zumindest einige der Gräben (3302) der Mehrzahl von aktiven Gräben (3302) senkrecht zu dem Umfangsgraben (2603A, 3213) sind, wobei die leitfähige Abschirmelektrode (3311) mit der Source-Metallisierung elektrisch leitend verbunden ist, wobei der Umfangsgraben (2603A, 3213) mit einem Dielektrikum (2605A) ausgekleidet und mit leitfähigem Material (2607A) gefüllt ist, wobei die erste leitfähige Gate-Elektrode (3310) und die zweite leitfähige Gate-Elektrode (3310) entlang einer dritten Dimension innerhalb der Mehrzahl von aktiven Gräben (3302) verbunden sind.Semiconductor device ( 3300 ) with: - a drift region ( 3306 ) of a first conductivity type, - a well region (p) which extends above the drift region ( 3306 ) and having a second conductivity type opposite to the first conductivity type, - a plurality of active trenches ( 3302 ) extending through the well region (p) and into the drift region ( 3306 ) within each of the plurality of active trenches ( 3302 ) are formed: - a first conductive gate electrode ( 3310 ) disposed along and insulated from a first trench sidewall, - a second conductive gate electrode (FIG. 3310 ) disposed along and isolated from a second trench sidewall, a conductive shield electrode (Fig. 3311 ), which between the first ( 3310 ) and the second ( 3310 ) conductive gate electrode is arranged, wherein the shielding electrode ( 3311 ) compared to the first ( 3310 ) and the second ( 3310 ) Gate electrode is insulated and dig deeper into the trench ( 3302 ) extends as the first ( 3310 ) and the second ( 3310 Gate electrode, wherein the conductive shielding poly extends vertically to the silicon surface along the height of the trench, to above a height of the first and second conductive gate electrodes, source regions (n + ) of the first conductivity type, within the well region (p) and adjacent to the plurality of active trenches (p) 3302 ), and - a circumferential trench ( 2603a . 3213 ) that at least partially surrounds the plurality of active trenches ( 3302 ) so that at least some of the Trenches ( 3302 ) of the plurality of active trenches ( 3302 ) perpendicular to the circumferential trench ( 2603a . 3213 ), wherein the conductive shielding electrode ( 3311 ) is electrically conductively connected to the source metallization, wherein the circumferential trench ( 2603a . 3213 ) with a dielectric ( 2605a ) and with conductive material ( 2607a ), wherein the first conductive gate electrode ( 3310 ) and the second conductive gate electrode ( 3310 ) along a third dimension within the plurality of active trenches ( 3302 ) are connected. Halbleitervorrichtung nach Anspruch 1, wobei der Umfangsgraben (3213) nicht an die Mehrzahl von aktiven Gräben anschließt.A semiconductor device according to claim 1, wherein the peripheral trench ( 3213 ) does not connect to the plurality of active trenches. Halbleitervorrichtung nach Anspruch 1, wobei der Umfangsgraben an die Mehrzahl von aktiven Gräben anschließt.The semiconductor device of claim 1, wherein the circumferential trench connects to the plurality of active trenches. Halbleitervorrichtung nach Anspruch 1, wobei der Umfangsgraben (2603A) im wesentlichen mit einer Schicht eines leitfähigen Materials (2607A) gefüllt ist, das gegenüber den Grabenseitenwänden isoliert (2605A) ist.A semiconductor device according to claim 1, wherein the peripheral trench ( 2603a ) substantially with a layer of a conductive material ( 2607a ), which is isolated from the trench sidewalls ( 2605a ). Halbleitervorrichtung nach Anspruch 1, wobei der erste Abstand etwa die Hälfte des zweiten Abstands beträgt.The semiconductor device of claim 1, wherein the first distance is about half the second distance. Halbleitervorrichtung nach Anspruch 1, mit einem Terminierungsgraben (2603A) am äußeren Umfang der Mehrzahl von aktiven Gräben (2602), wobei der Terminierungsgraben (2603A) im wesentlichen mit einem leitfähigen Material (2607A) gefüllt ist, das gegenüber den Grabenseitenwänden isoliert (2605A) ist.Semiconductor device according to Claim 1, having a termination trench ( 2603a ) on the outer periphery of the plurality of active trenches ( 2602 ), the termination trench ( 2603a ) substantially with a conductive material ( 2607a ), which is isolated from the trench sidewalls ( 2605a ). Halbleitervorrichtung nach Anspruch 6, wobei ein Mesa, das zwischen dem Terminierungsgraben und einem aktiven Graben, der zu dem Terminierungsgraben benachbart ist, gebildet ist, einen Bereich vom zweiten Leitfähigkeitstyp (2604) aber keine Source-Bereiche aufweist.A semiconductor device according to claim 6, wherein a mesa formed between the termination trench and an active trench adjacent to the termination trench defines a second conductivity type region ( 2604 ) but has no source areas. Halbleitervorrichtung nach Anspruch 1, wobei der Wannenbereich (2604) über einen Body-Graben kontaktiert ist, der in einen zentralen Bereich eines Mesas zwischen zwei benachbarten aktiven Gräben hinein geätzt ist.A semiconductor device according to claim 1, wherein said well region ( 2604 ) is contacted via a body trench etched into a central region of a mesas between two adjacent active trenches. Halbleitervorrichtung nach Anspruch 8, wobei sich der Body-Graben tiefer in den Wannenbereich erstreckt als die Source-Bereiche.The semiconductor device of claim 8, wherein the body trench extends deeper into the well region than the source regions. Halbleitervorrichtung nach Anspruch 8, wobei sich der Body-Graben (418) tiefer als der Wannenbereich erstreckt.A semiconductor device according to claim 8, wherein the body trench ( 418 ) extends deeper than the tub area. Halbleitervorrichtung nach Anspruch 8, mit einem Bereich hoher Dotiermittelkonzentration (419) des zweiten Leitfähigkeitstyps, der sich zumindest unterhalb des Body-Grabens (418) befindet.A semiconductor device according to claim 8, having a region of high dopant concentration ( 419 ) of the second conductivity type extending at least below the body trench ( 418 ) is located.
DE112004003046.3T 2003-12-30 2004-12-29 Power semiconductor devices Expired - Fee Related DE112004003046B4 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US53379003P 2003-12-30 2003-12-30
US60/533,790 2003-12-30
US58884504P 2004-07-15 2004-07-15
US60/588,845 2004-07-15

Publications (1)

Publication Number Publication Date
DE112004003046B4 true DE112004003046B4 (en) 2016-12-29

Family

ID=34753010

Family Applications (3)

Application Number Title Priority Date Filing Date
DE112004002608.3T Expired - Fee Related DE112004002608B4 (en) 2003-12-30 2004-12-29 Power semiconductor devices and manufacturing methods
DE112004003046.3T Expired - Fee Related DE112004003046B4 (en) 2003-12-30 2004-12-29 Power semiconductor devices
DE202004021352U Expired - Lifetime DE202004021352U1 (en) 2003-12-30 2004-12-29 Power semiconductor devices

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE112004002608.3T Expired - Fee Related DE112004002608B4 (en) 2003-12-30 2004-12-29 Power semiconductor devices and manufacturing methods

Family Applications After (1)

Application Number Title Priority Date Filing Date
DE202004021352U Expired - Lifetime DE202004021352U1 (en) 2003-12-30 2004-12-29 Power semiconductor devices

Country Status (6)

Country Link
JP (3) JP4903055B2 (en)
KR (2) KR20070032627A (en)
CN (3) CN103199017B (en)
DE (3) DE112004002608B4 (en)
TW (3) TWI404220B (en)
WO (1) WO2005065385A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013101113B4 (en) 2012-07-11 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Power MOS transistor and method for its production
DE102021125271A1 (en) 2021-09-29 2023-03-30 Infineon Technologies Ag Power semiconductor device Method of manufacturing a power semiconductor device

Families Citing this family (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6838722B2 (en) 2002-03-22 2005-01-04 Siliconix Incorporated Structures of and methods of fabricating trench-gated MIS devices
US7638841B2 (en) 2003-05-20 2009-12-29 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
WO2005065385A2 (en) * 2003-12-30 2005-07-21 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US7183610B2 (en) * 2004-04-30 2007-02-27 Siliconix Incorporated Super trench MOSFET including buried source electrode and method of fabricating the same
JP5135663B2 (en) * 2004-10-21 2013-02-06 富士電機株式会社 Semiconductor device and manufacturing method thereof
US7453119B2 (en) 2005-02-11 2008-11-18 Alphs & Omega Semiconductor, Ltd. Shielded gate trench (SGT) MOSFET cells implemented with a schottky source contact
JP4955222B2 (en) * 2005-05-20 2012-06-20 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
AT504290A2 (en) * 2005-06-10 2008-04-15 Fairchild Semiconductor FIELD EFFECT TRANSISTOR WITH LOAD BALANCE
JP4921730B2 (en) * 2005-06-20 2012-04-25 株式会社東芝 Semiconductor device
US8461648B2 (en) 2005-07-27 2013-06-11 Infineon Technologies Austria Ag Semiconductor component with a drift region and a drift control region
US8110868B2 (en) 2005-07-27 2012-02-07 Infineon Technologies Austria Ag Power semiconductor component with a low on-state resistance
JP5087542B2 (en) * 2005-07-27 2012-12-05 インフィネオン テクノロジーズ オーストリア アクチエンゲゼルシャフト Semiconductor device having drift region and drift control region
DE102006002065B4 (en) * 2006-01-16 2007-11-29 Infineon Technologies Austria Ag Compensation component with reduced and adjustable on-resistance
US7595542B2 (en) * 2006-03-13 2009-09-29 Fairchild Semiconductor Corporation Periphery design for charge balance power devices
US7446374B2 (en) * 2006-03-24 2008-11-04 Fairchild Semiconductor Corporation High density trench FET with integrated Schottky diode and method of manufacture
DE102006026943B4 (en) * 2006-06-09 2011-01-05 Infineon Technologies Austria Ag By field effect controllable trench transistor with two control electrodes
US8432012B2 (en) * 2006-08-01 2013-04-30 Cree, Inc. Semiconductor devices including schottky diodes having overlapping doped regions and methods of fabricating same
US7544571B2 (en) * 2006-09-20 2009-06-09 Fairchild Semiconductor Corporation Trench gate FET with self-aligned features
JP2008153620A (en) * 2006-11-21 2008-07-03 Toshiba Corp Semiconductor device
US9437729B2 (en) 2007-01-08 2016-09-06 Vishay-Siliconix High-density power MOSFET with planarized metalization
US9947770B2 (en) 2007-04-03 2018-04-17 Vishay-Siliconix Self-aligned trench MOSFET and method of manufacture
DE102007020657B4 (en) * 2007-04-30 2012-10-04 Infineon Technologies Austria Ag Semiconductor device with a semiconductor body and method for producing the same
WO2009001529A1 (en) * 2007-06-22 2008-12-31 Panasonic Corporation Plasma display panel driving device and plasma display
JP5285242B2 (en) * 2007-07-04 2013-09-11 ローム株式会社 Semiconductor device
KR100847642B1 (en) * 2007-08-10 2008-07-21 주식회사 동부하이텍 Photo key treatment method for preventing particle
US8497549B2 (en) * 2007-08-21 2013-07-30 Fairchild Semiconductor Corporation Method and structure for shielded gate trench FET
US9484451B2 (en) 2007-10-05 2016-11-01 Vishay-Siliconix MOSFET active area and edge termination area charge balance
EP2206154B1 (en) 2007-10-29 2011-06-29 Nxp B.V. Trench gate MOSFET and method of manufacturing the same
JP2009164558A (en) * 2007-12-10 2009-07-23 Toyota Central R&D Labs Inc Semiconductor device and method of manufacturing the device, and method of manufacturing trench gate
JP5481030B2 (en) 2008-01-30 2014-04-23 ルネサスエレクトロニクス株式会社 Semiconductor device
US7833862B2 (en) 2008-03-03 2010-11-16 Infineon Technologies Austria Ag Semiconductor device and method for forming same
US7952166B2 (en) * 2008-05-22 2011-05-31 Infineon Technologies Austria Ag Semiconductor device with switch electrode and gate electrode and method for switching a semiconductor device
US7786600B2 (en) 2008-06-30 2010-08-31 Hynix Semiconductor Inc. Circuit substrate having circuit wire formed of conductive polarization particles, method of manufacturing the circuit substrate and semiconductor package having the circuit wire
US7936009B2 (en) * 2008-07-09 2011-05-03 Fairchild Semiconductor Corporation Shielded gate trench FET with an inter-electrode dielectric having a low-k dielectric therein
WO2010025083A1 (en) 2008-08-28 2010-03-04 Memc Electronic Materials, Inc. Bulk silicon wafer product useful in the manufacture of three dimensional multigate mosfets
TWI414019B (en) * 2008-09-11 2013-11-01 He Jian Technology Suzhou Co Ltd Method for fabricating a gate oxide layer
CN102187465B (en) * 2008-10-14 2013-06-19 三菱电机株式会社 Power device
US7915672B2 (en) * 2008-11-14 2011-03-29 Semiconductor Components Industries, L.L.C. Semiconductor device having trench shield electrode structure
JP5195357B2 (en) * 2008-12-01 2013-05-08 トヨタ自動車株式会社 Semiconductor device
US8158456B2 (en) * 2008-12-05 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming stacked dies
US8174067B2 (en) 2008-12-08 2012-05-08 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
US8304829B2 (en) * 2008-12-08 2012-11-06 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
US8188538B2 (en) 2008-12-25 2012-05-29 Rohm Co., Ltd. Semiconductor device and method of manufacturing semiconductor device
US8188484B2 (en) * 2008-12-25 2012-05-29 Rohm Co., Ltd. Semiconductor device
US8148749B2 (en) * 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
US8723259B2 (en) 2009-02-24 2014-05-13 Mitsubishi Electric Corporation Silicon carbide semiconductor device
US7989885B2 (en) * 2009-02-26 2011-08-02 Infineon Technologies Austria Ag Semiconductor device having means for diverting short circuit current arranged in trench and method for producing same
WO2010111825A1 (en) * 2009-03-30 2010-10-07 Hong Kong Applied Science And Technology Research Institute Co., Ltd. Electronic package and method of fabrication thereof
US7952141B2 (en) * 2009-07-24 2011-05-31 Fairchild Semiconductor Corporation Shield contacts in a shielded gate MOSFET
JP4998524B2 (en) * 2009-07-24 2012-08-15 サンケン電気株式会社 Semiconductor device
JP5402395B2 (en) * 2009-08-21 2014-01-29 オムロン株式会社 Static induction generator
US9443974B2 (en) 2009-08-27 2016-09-13 Vishay-Siliconix Super junction trench power MOSFET device fabrication
US9425306B2 (en) * 2009-08-27 2016-08-23 Vishay-Siliconix Super junction trench power MOSFET devices
US8981434B2 (en) 2009-08-31 2015-03-17 Renesas Electronics Corporation Semiconductor device and field effect transistor
CN103367452B (en) * 2009-09-11 2015-11-25 中芯国际集成电路制造(上海)有限公司 Green transistors, resistance random access memory and driving method thereof
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US9425305B2 (en) * 2009-10-20 2016-08-23 Vishay-Siliconix Structures of and methods of fabricating split gate MIS devices
CN102790091B (en) * 2009-10-20 2015-04-01 中芯国际集成电路制造(上海)有限公司 Green transistor, nanometer silicon FeRAM and driving method thereof
US9419129B2 (en) 2009-10-21 2016-08-16 Vishay-Siliconix Split gate semiconductor device with curved gate oxide profile
US8421196B2 (en) 2009-11-25 2013-04-16 Infineon Technologies Austria Ag Semiconductor device and manufacturing method
US8174070B2 (en) 2009-12-02 2012-05-08 Alpha And Omega Semiconductor Incorporated Dual channel trench LDMOS transistors and BCD process with deep trench isolation
US8198678B2 (en) 2009-12-09 2012-06-12 Infineon Technologies Austria Ag Semiconductor device with improved on-resistance
CN102130006B (en) * 2010-01-20 2013-12-18 上海华虹Nec电子有限公司 Method for preparing groove-type double-layer gate power metal oxide semiconductor (MOS) transistor
JP5762689B2 (en) * 2010-02-26 2015-08-12 株式会社東芝 Semiconductor device
US9577089B2 (en) 2010-03-02 2017-02-21 Vishay-Siliconix Structures and methods of fabricating dual gate devices
TWI407531B (en) * 2010-03-05 2013-09-01 Great Power Semiconductor Corp Power semiconductor structure with schottky diode and fabrication method thereof
CN102194880B (en) * 2010-03-05 2015-01-14 万国半导体股份有限公司 Device structure with channel-oxide-nanotube super junction and preparation method thereof
US9117739B2 (en) 2010-03-08 2015-08-25 Cree, Inc. Semiconductor devices with heterojunction barrier regions and methods of fabricating same
US8367501B2 (en) * 2010-03-24 2013-02-05 Alpha & Omega Semiconductor, Inc. Oxide terminated trench MOSFET with three or four masks
TWI419237B (en) * 2010-04-27 2013-12-11 Great Power Semiconductor Corp Fabrication method of power semiconductor structure with reduced gate impenance
CN102254944A (en) * 2010-05-21 2011-11-23 上海新进半导体制造有限公司 Power metal oxide semiconductor field effect transistor (MOSFET) power rectification device and manufacturing method
US8319282B2 (en) 2010-07-09 2012-11-27 Infineon Technologies Austria Ag High-voltage bipolar transistor with trench field plate
CN102376758B (en) * 2010-08-12 2014-02-26 上海华虹宏力半导体制造有限公司 Insulated gate bipolar transistor, manufacturing method thereof and trench gate structure manufacturing method
EP2421046A1 (en) * 2010-08-16 2012-02-22 Nxp B.V. MOSFET having a capacitance control region
CN102386182B (en) * 2010-08-27 2014-11-05 万国半导体股份有限公司 Device and method for integration of sense FET into discrete power MOSFET
JP2012060063A (en) 2010-09-13 2012-03-22 Toshiba Corp Semiconductor device and method of manufacturing the same
JP2012064641A (en) * 2010-09-14 2012-03-29 Toshiba Corp Semiconductor device
DE102010043088A1 (en) 2010-10-29 2012-05-03 Robert Bosch Gmbh Semiconductor arrangement with Schottky diode
US8580667B2 (en) 2010-12-14 2013-11-12 Alpha And Omega Semiconductor Incorporated Self aligned trench MOSFET with integrated diode
TWI414069B (en) * 2011-01-05 2013-11-01 Anpec Electronics Corp Power transistor with low interface of low Miller capacitor and its making method
JP5556799B2 (en) * 2011-01-12 2014-07-23 株式会社デンソー Semiconductor device
US8313995B2 (en) 2011-01-13 2012-11-20 Infineon Technologies Austria Ag Method for manufacturing a semiconductor device
DE102011003456A1 (en) * 2011-02-01 2012-08-02 Robert Bosch Gmbh Semiconductor arrangement with reduced on-resistance
JP2012204395A (en) * 2011-03-23 2012-10-22 Toshiba Corp Semiconductor device and manufacturing method of the same
JP2012204529A (en) * 2011-03-24 2012-10-22 Toshiba Corp Semiconductor device and method of manufacturing the same
JP2012204636A (en) 2011-03-25 2012-10-22 Toshiba Corp Semiconductor device and manufacturing method of the same
TW201240087A (en) * 2011-03-30 2012-10-01 Anpec Electronics Corp Power device with boundary trench structure
US8823089B2 (en) * 2011-04-15 2014-09-02 Infineon Technologies Ag SiC semiconductor power device
DE112012001986B4 (en) * 2011-05-05 2021-05-27 Abb Schweiz Ag Bipolar punch-through semiconductor component and method for producing such a semiconductor component
KR101619580B1 (en) 2011-05-18 2016-05-10 비쉐이-실리코닉스 Semiconductor device
US8884340B2 (en) 2011-05-25 2014-11-11 Samsung Electronics Co., Ltd. Semiconductor devices including dual gate electrode structures and related methods
JP5677222B2 (en) * 2011-07-25 2015-02-25 三菱電機株式会社 Silicon carbide semiconductor device
CN102916043B (en) * 2011-08-03 2015-07-22 中国科学院微电子研究所 MOS-HEMT (Metal-oxide-semiconductor High-electron-mobility Transistor) device and manufacturing method thereof
US8981748B2 (en) * 2011-08-08 2015-03-17 Semiconductor Components Industries, Llc Method of forming a semiconductor power switching device, structure therefor, and power converter
CN102956640A (en) * 2011-08-22 2013-03-06 大中积体电路股份有限公司 Double-conduction semiconductor component and manufacturing method thereof
JP2013058575A (en) * 2011-09-07 2013-03-28 Toshiba Corp Semiconductor device and manufacturing method of the same
US8680587B2 (en) 2011-09-11 2014-03-25 Cree, Inc. Schottky diode
US9184255B2 (en) 2011-09-30 2015-11-10 Infineon Technologies Austria Ag Diode with controllable breakdown voltage
US8659126B2 (en) * 2011-12-07 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit ground shielding structure
JP5742668B2 (en) * 2011-10-31 2015-07-01 三菱電機株式会社 Silicon carbide semiconductor device
JP2013115225A (en) * 2011-11-29 2013-06-10 Toshiba Corp Power semiconductor device and method of manufacturing the same
KR101275458B1 (en) * 2011-12-26 2013-06-17 삼성전기주식회사 Semiconductor device and fabricating method thereof
JP5720582B2 (en) 2012-01-12 2015-05-20 トヨタ自動車株式会社 Switching element
US9082746B2 (en) * 2012-01-16 2015-07-14 Infineon Technologies Austria Ag Method for forming self-aligned trench contacts of semiconductor components and a semiconductor component
JP5848142B2 (en) * 2012-01-25 2016-01-27 ルネサスエレクトロニクス株式会社 Manufacturing method of vertical planar power MOSFET
US9614043B2 (en) 2012-02-09 2017-04-04 Vishay-Siliconix MOSFET termination trench
US9209294B1 (en) 2012-02-10 2015-12-08 Panasonic Intellectual Property Management Co., Ltd. Semiconductor device and method for manufacturing same
JP5856868B2 (en) * 2012-02-17 2016-02-10 国立大学法人九州工業大学 Fabrication method of CMOS and trench diode on the same substrate
US9159786B2 (en) 2012-02-20 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Dual gate lateral MOSFET
US8866222B2 (en) * 2012-03-07 2014-10-21 Infineon Technologies Austria Ag Charge compensation semiconductor device
CN103378159B (en) * 2012-04-20 2016-08-03 英飞凌科技奥地利有限公司 There is transistor unit and the manufacture method of MOSFET
CN103377922B (en) * 2012-04-23 2015-12-16 中芯国际集成电路制造(上海)有限公司 A kind of fin formula field effect transistor and forming method thereof
CN104541374A (en) * 2012-04-30 2015-04-22 维西埃-硅化物公司 Semiconductor device
US9842911B2 (en) 2012-05-30 2017-12-12 Vishay-Siliconix Adaptive charge balanced edge termination
US8884369B2 (en) * 2012-06-01 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical power MOSFET and methods of forming the same
US8680614B2 (en) * 2012-06-12 2014-03-25 Monolithic Power Systems, Inc. Split trench-gate MOSFET with integrated Schottky diode
ITMI20121123A1 (en) * 2012-06-26 2013-12-27 St Microelectronics Srl MOS VERTICAL GATE TRANSISTOR WITH FIELD ARMATURE ACCESS
CN104241341A (en) * 2012-07-27 2014-12-24 俞国庆 High-frequency low-power dissipation power MOS field-effect tube device
JP2014027182A (en) * 2012-07-27 2014-02-06 Toshiba Corp Semiconductor device
JP5715604B2 (en) 2012-09-12 2015-05-07 株式会社東芝 Power semiconductor device
US9059256B2 (en) 2012-09-13 2015-06-16 Infineon Technologies Ag Method for producing a controllable semiconductor component
JP5802636B2 (en) * 2012-09-18 2015-10-28 株式会社東芝 Semiconductor device and manufacturing method thereof
CN104221153B (en) * 2012-10-17 2017-05-10 富士电机株式会社 Semiconductor device
JP2014099484A (en) * 2012-11-13 2014-05-29 Toshiba Corp Semiconductor device
CN103855047B (en) * 2012-12-04 2016-10-26 上海华虹宏力半导体制造有限公司 The physical analysis structure of deep trench product and method
US9853140B2 (en) 2012-12-31 2017-12-26 Vishay-Siliconix Adaptive charge balanced MOSFET techniques
CN103011550B (en) * 2013-01-16 2013-11-13 四川亿思通科技工程有限公司 Sludge freeze thawing dewatering treating system and treating method using system
KR101392587B1 (en) 2013-02-19 2014-05-27 주식회사 동부하이텍 High voltage electro-static discharge protection device
JP6143490B2 (en) 2013-02-19 2017-06-07 ローム株式会社 Semiconductor device and manufacturing method thereof
JP6164604B2 (en) * 2013-03-05 2017-07-19 ローム株式会社 Semiconductor device
JP6164636B2 (en) 2013-03-05 2017-07-19 ローム株式会社 Semiconductor device
KR102011933B1 (en) * 2013-03-06 2019-08-20 삼성전자 주식회사 Method for fabricating nonvolatile memory device
JP2014187141A (en) 2013-03-22 2014-10-02 Toshiba Corp Semiconductor device
JP5784665B2 (en) 2013-03-22 2015-09-24 株式会社東芝 Manufacturing method of semiconductor device
US20140306284A1 (en) * 2013-04-12 2014-10-16 Infineon Technologies Austria Ag Semiconductor Device and Method for Producing the Same
JP2014216572A (en) 2013-04-26 2014-11-17 株式会社東芝 Semiconductor device
TWI514578B (en) * 2013-06-21 2015-12-21 Chip Integration Tech Co Ltd Structure of dual trench rectifier and method of forming the same
TWI511293B (en) * 2013-06-24 2015-12-01 Chip Integration Tech Co Ltd Structure of dualtrench mos transistor and method of forming the same
US9112022B2 (en) * 2013-07-31 2015-08-18 Infineon Technologies Austria Ag Super junction structure having a thickness of first and second semiconductor regions which gradually changes from a transistor area into a termination area
CN104347376B (en) * 2013-08-05 2017-04-26 台湾茂矽电子股份有限公司 Method for forming shielding grid in metaloxide-semiconductor field-effect transistor
KR102036386B1 (en) * 2013-08-20 2019-10-25 한국전력공사 Geological resource monitoring method using electrical resistivity
JP6197995B2 (en) * 2013-08-23 2017-09-20 富士電機株式会社 Wide band gap insulated gate semiconductor device
JP2015056492A (en) * 2013-09-11 2015-03-23 株式会社東芝 Semiconductor device
CN104465603A (en) * 2013-09-23 2015-03-25 台达电子企业管理(上海)有限公司 Power module
US9525058B2 (en) * 2013-10-30 2016-12-20 Infineon Technologies Austria Ag Integrated circuit and method of manufacturing an integrated circuit
CN104282751B (en) * 2013-11-20 2017-07-21 沈阳工业大学 High integration high mobility source and drain grid auxiliary control type nodeless mesh body pipe
CN104282750B (en) * 2013-11-20 2017-07-21 沈阳工业大学 The major-minor discrete control U-shaped raceway groove non-impurity-doped field-effect transistor of grid
CN103887286A (en) * 2013-11-29 2014-06-25 杭州恩能科技有限公司 Semiconductor device with improved surge current resistance
US9711637B2 (en) 2014-01-31 2017-07-18 Renesas Electronics Corporation Semiconductor device
JP6226786B2 (en) 2014-03-19 2017-11-08 三菱電機株式会社 Semiconductor device and manufacturing method thereof
KR102156130B1 (en) * 2014-04-10 2020-09-15 삼성전자주식회사 Method of Forming Semiconductor device
DE102014106825B4 (en) * 2014-05-14 2019-06-27 Infineon Technologies Ag Semiconductor device
TWI555208B (en) * 2014-05-20 2016-10-21 力祥半導體股份有限公司 Power semiconductor device of stripe cell geometry
CN105097570B (en) * 2014-05-21 2017-12-19 北大方正集团有限公司 Manufacturing method of passivation layer and high-voltage semi-conductor power device
US9887259B2 (en) 2014-06-23 2018-02-06 Vishay-Siliconix Modulated super junction power MOSFET devices
DE112014006764B4 (en) * 2014-06-26 2022-09-01 Mitsubishi Electric Corporation semiconductor device
CN105448893B (en) * 2014-06-30 2017-12-15 苏州远创达科技有限公司 ESD-protection structure and semiconductor devices in a kind of semiconductor devices
WO2016006263A1 (en) * 2014-07-11 2016-01-14 新電元工業株式会社 Semiconductor device and method for producing semiconductor device
DE102014109926A1 (en) * 2014-07-15 2016-01-21 Infineon Technologies Austria Ag A semiconductor device having a plurality of transistor cells and manufacturing methods
KR101621150B1 (en) 2014-07-21 2016-05-13 주식회사 케이이씨 Power Rectifier Device
KR101621151B1 (en) 2014-07-21 2016-05-13 주식회사 케이이씨 Power Rectifier Device
CN115483211A (en) 2014-08-19 2022-12-16 维西埃-硅化物公司 Electronic circuit
CN106575666B (en) 2014-08-19 2021-08-06 维西埃-硅化物公司 Super junction metal oxide semiconductor field effect transistor
DE102014112338A1 (en) 2014-08-28 2016-03-03 Infineon Technologies Austria Ag Semiconductor device and method for manufacturing a semiconductor device
JP2016096165A (en) * 2014-11-12 2016-05-26 サンケン電気株式会社 Semiconductor device
US9515177B2 (en) * 2014-11-25 2016-12-06 Infineon Technologies Ag Vertically integrated semiconductor device and manufacturing method
US9443973B2 (en) 2014-11-26 2016-09-13 Infineon Technologies Austria Ag Semiconductor device with charge compensation region underneath gate trench
DE102014226161B4 (en) 2014-12-17 2017-10-26 Infineon Technologies Ag Semiconductor device with overload current capability
JP6299581B2 (en) * 2014-12-17 2018-03-28 三菱電機株式会社 Semiconductor device
JP6526981B2 (en) 2015-02-13 2019-06-05 ローム株式会社 Semiconductor device and semiconductor module
CN107135668B (en) * 2015-02-20 2020-08-14 新电元工业株式会社 Semiconductor device with a plurality of semiconductor chips
JP2016167519A (en) * 2015-03-09 2016-09-15 株式会社東芝 Semiconductor device
DE102015204315B4 (en) * 2015-03-11 2018-06-28 Infineon Technologies Ag Sensor for a semiconductor device
CN106033781A (en) * 2015-03-16 2016-10-19 中航(重庆)微电子有限公司 Schottky barrier diode and preparation method for the same
CN104733535A (en) * 2015-03-17 2015-06-24 北京中科新微特科技开发股份有限公司 Power MOSFET
JP2016181618A (en) 2015-03-24 2016-10-13 株式会社デンソー Semiconductor device
JP2016181617A (en) 2015-03-24 2016-10-13 株式会社デンソー Semiconductor device
DE102015105758A1 (en) * 2015-04-15 2016-10-20 Infineon Technologies Ag SEMICONDUCTOR COMPONENT AND MANUFACTURING METHOD
US9299830B1 (en) * 2015-05-07 2016-03-29 Texas Instruments Incorporated Multiple shielding trench gate fet
TWI555163B (en) * 2015-07-22 2016-10-21 新唐科技股份有限公司 Semiconductor structure
JP6512025B2 (en) * 2015-08-11 2019-05-15 富士電機株式会社 Semiconductor device and method of manufacturing semiconductor device
JP6696166B2 (en) * 2015-08-19 2020-05-20 富士電機株式会社 Semiconductor device and manufacturing method
KR102404114B1 (en) 2015-08-20 2022-05-30 온세미컨덕터코리아 주식회사 Superjunction semiconductor device and method of manufacturing the same
JP6666671B2 (en) * 2015-08-24 2020-03-18 ローム株式会社 Semiconductor device
EP3142149A1 (en) * 2015-09-11 2017-03-15 Nexperia B.V. A semiconductor device and a method of making a semiconductor device
US9806186B2 (en) * 2015-10-02 2017-10-31 D3 Semiconductor LLC Termination region architecture for vertical power transistors
DE102015221376A1 (en) * 2015-11-02 2017-05-04 Robert Bosch Gmbh Semiconductor component and method for producing a semiconductor device and control device for a vehicle
JP2017107895A (en) * 2015-12-07 2017-06-15 サンケン電気株式会社 Semiconductor device
DE102015121563B4 (en) 2015-12-10 2023-03-02 Infineon Technologies Ag Semiconductor devices and a method of forming a semiconductor device
DE102015121566B4 (en) * 2015-12-10 2021-12-09 Infineon Technologies Ag Semiconductor components and a circuit for controlling a field effect transistor of a semiconductor component
DE102015224965A1 (en) * 2015-12-11 2017-06-14 Robert Bosch Gmbh Area-optimized transistor with superlattice structures
CN105428241B (en) * 2015-12-25 2018-04-17 上海华虹宏力半导体制造有限公司 The manufacture method of trench-gate power devices with shield grid
DE102015122938B4 (en) 2015-12-30 2021-11-11 Infineon Technologies Austria Ag TRANSISTOR WITH FIELD ELECTRODE AND METHOD FOR MANUFACTURING IT
US10825909B2 (en) 2016-03-31 2020-11-03 Shindengen Electric Manufacturing Co., Ltd. Method of manufacturing semiconductor device and semiconductor device
JP6519894B2 (en) 2016-03-31 2019-05-29 新電元工業株式会社 Semiconductor device manufacturing method and semiconductor device
JPWO2017187856A1 (en) * 2016-04-27 2018-05-10 三菱電機株式会社 Semiconductor device
US9691864B1 (en) * 2016-05-13 2017-06-27 Infineon Technologies Americas Corp. Semiconductor device having a cavity and method for manufacturing thereof
TWI577040B (en) * 2016-05-19 2017-04-01 國立中山大學 Method for monolithic manufacturing of serially connected photovoltaic devices
JP6649183B2 (en) 2016-05-30 2020-02-19 株式会社東芝 Semiconductor device
CN107851662A (en) * 2016-06-10 2018-03-27 马克斯半导体股份有限公司 The manufacture of the wide energy gap device of plough groove type gate
JP6977273B2 (en) * 2016-06-16 2021-12-08 富士電機株式会社 Semiconductor devices and manufacturing methods
CN107564814B (en) * 2016-06-30 2020-11-10 株洲中车时代半导体有限公司 Method for manufacturing power semiconductor
CN106129113B (en) * 2016-07-11 2019-06-14 中国科学院微电子研究所 A kind of vertical DMOS field effect transistor
TWI693713B (en) 2016-07-22 2020-05-11 立積電子股份有限公司 Semiconductor structure
US9972540B2 (en) 2016-08-07 2018-05-15 International Business Machines Corporation Semiconductor device having multiple thickness oxides
US10529799B2 (en) 2016-08-08 2020-01-07 Mitsubishi Electric Corporation Semiconductor device
CN107785263B (en) * 2016-08-26 2020-09-04 台湾半导体股份有限公司 Field effect transistor with multiple width electrode structure and its manufacturing method
CN107785273B (en) * 2016-08-31 2020-03-13 无锡华润上华科技有限公司 Semiconductor device and method for manufacturing the same
CN107785426B (en) * 2016-08-31 2020-01-31 无锡华润上华科技有限公司 kinds of semiconductor devices and their manufacture
JP6669628B2 (en) * 2016-10-20 2020-03-18 トヨタ自動車株式会社 Switching element
JP6659516B2 (en) * 2016-10-20 2020-03-04 トヨタ自動車株式会社 Semiconductor device
US10892359B2 (en) 2016-10-27 2021-01-12 Sanken Electric Co., Ltd. Semiconductor device
CN107039298B (en) * 2016-11-04 2019-12-24 厦门市三安光电科技有限公司 Transfer device, transfer method, manufacturing method, device and electronic apparatus for micro-component
US9812535B1 (en) * 2016-11-29 2017-11-07 Infineon Technologies Austria Ag Method for manufacturing a semiconductor device and power semiconductor device
KR102335489B1 (en) * 2016-12-13 2021-12-03 현대자동차 주식회사 Semiconductor device and method manufacturing the same
JP6589845B2 (en) * 2016-12-21 2019-10-16 株式会社デンソー Semiconductor device
JP6233539B1 (en) * 2016-12-21 2017-11-22 富士電機株式会社 Semiconductor device and manufacturing method of semiconductor device
JP6996082B2 (en) * 2016-12-22 2022-01-17 富士電機株式会社 Semiconductor devices and methods for manufacturing semiconductor devices
DE102017012262B9 (en) 2017-01-27 2021-10-21 Infineon Technologies Austria Ag A semiconductor component having a buried insulation layer, an insulation structure and a connection structure as well as a method for its production
US10163900B2 (en) 2017-02-08 2018-12-25 Globalfoundries Inc. Integration of vertical field-effect transistors and saddle fin-type field effect transistors
US10211333B2 (en) * 2017-04-26 2019-02-19 Alpha And Omega Semiconductor (Cayman) Ltd. Scalable SGT structure with improved FOM
US10236340B2 (en) 2017-04-28 2019-03-19 Semiconductor Components Industries, Llc Termination implant enrichment for shielded gate MOSFETs
US10374076B2 (en) 2017-06-30 2019-08-06 Semiconductor Components Industries, Llc Shield indent trench termination for shielded gate MOSFETs
CN109216452B (en) * 2017-07-03 2021-11-05 无锡华润上华科技有限公司 Groove type power device and preparation method thereof
CN109216175B (en) * 2017-07-03 2021-01-08 无锡华润上华科技有限公司 Gate structure of semiconductor device and manufacturing method thereof
CN109216432A (en) * 2017-07-03 2019-01-15 无锡华润上华科技有限公司 Slot type power device and preparation method thereof
US20200211840A1 (en) 2017-07-19 2020-07-02 Globalwafers Japan Co., Ltd. Method for producing three-dimensional structure, method for producing vertical transistor, vertical transistor wafer, and vertical transistor substrate
JP6820811B2 (en) * 2017-08-08 2021-01-27 三菱電機株式会社 Semiconductor devices and power converters
KR101960077B1 (en) * 2017-08-30 2019-03-21 파워큐브세미(주) SiC trench gate MOSFET with a floating shield and method of fabricating the same
TWI695418B (en) * 2017-09-22 2020-06-01 新唐科技股份有限公司 Semiconductor device and method of manufacturing the same
JP2019068592A (en) * 2017-09-29 2019-04-25 トヨタ自動車株式会社 Electric power conversion device
TWI737855B (en) * 2017-11-15 2021-09-01 力智電子股份有限公司 Power transistor and manufacturing method thereof
CN108010847B (en) * 2017-11-30 2020-09-25 上海华虹宏力半导体制造有限公司 Shielded gate trench MOSFET and method of making same
US10777465B2 (en) 2018-01-11 2020-09-15 Globalfoundries Inc. Integration of vertical-transport transistors and planar transistors
CN108172622A (en) * 2018-01-30 2018-06-15 电子科技大学 Power semiconductor
CN108447911B (en) * 2018-03-09 2021-07-27 香港商莫斯飞特半导体股份有限公司 Deep and shallow groove semiconductor power device and preparation method thereof
JP6864640B2 (en) 2018-03-19 2021-04-28 株式会社東芝 Semiconductor devices and their control methods
US10304933B1 (en) * 2018-04-24 2019-05-28 Semiconductor Components Industries, Llc Trench power MOSFET having a trench cavity
CN109037337A (en) * 2018-06-28 2018-12-18 华为技术有限公司 A kind of power semiconductor and manufacturing method
JP7078226B2 (en) * 2018-07-19 2022-05-31 国立研究開発法人産業技術総合研究所 Semiconductor device
CN109326639B (en) * 2018-08-23 2021-11-23 电子科技大学 Split-gate VDMOS device with internal field plate and manufacturing method thereof
CN109119476A (en) * 2018-08-23 2019-01-01 电子科技大学 Separate gate VDMOS device and its manufacturing method with internal field plate
DE102018124737A1 (en) * 2018-10-08 2020-04-09 Infineon Technologies Ag SEMICONDUCTOR COMPONENT WITH A SIC SEMICONDUCTOR BODY AND METHOD FOR PRODUCING A SEMICONDUCTOR COMPONENT
KR102100863B1 (en) * 2018-12-06 2020-04-14 현대오트론 주식회사 SiC MOSFET power semiconductor device
US11348997B2 (en) 2018-12-17 2022-05-31 Vanguard International Semiconductor Corporation Semiconductor devices and methods for fabricating the same
CN111384149B (en) * 2018-12-29 2021-05-14 比亚迪半导体股份有限公司 Groove type IGBT and preparation method thereof
US20220005947A1 (en) 2019-01-08 2022-01-06 Mitsubishi Electric Corporation Semiconductor device
CN109767980B (en) * 2019-01-22 2021-07-30 上海华虹宏力半导体制造有限公司 Super junction and manufacturing method thereof, and deep trench manufacturing method of super junction
TWI823892B (en) * 2019-01-24 2023-12-01 世界先進積體電路股份有限公司 Semiconductor devices and methods for fabricating the same
JP7352360B2 (en) * 2019-02-12 2023-09-28 株式会社東芝 semiconductor equipment
JP7077251B2 (en) * 2019-02-25 2022-05-30 株式会社東芝 Semiconductor device
US11217541B2 (en) 2019-05-08 2022-01-04 Vishay-Siliconix, LLC Transistors with electrically active chip seal ring and methods of manufacture
US11521967B2 (en) 2019-06-28 2022-12-06 Stmicroelectronics International N.V. Multi-finger devices with reduced parasitic capacitance
DE102019210285B4 (en) 2019-07-11 2023-09-28 Infineon Technologies Ag Creating a buried cavity in a semiconductor substrate
US11218144B2 (en) 2019-09-12 2022-01-04 Vishay-Siliconix, LLC Semiconductor device with multiple independent gates
JP2021044517A (en) * 2019-09-13 2021-03-18 株式会社東芝 Semiconductor device, method of manufacturing semiconductor device, inverter circuit, driving device, vehicle, and elevator
JP7374795B2 (en) * 2020-02-05 2023-11-07 株式会社東芝 semiconductor equipment
EP3863066A1 (en) * 2020-02-06 2021-08-11 Infineon Technologies Austria AG Transistor device and method of fabricating a gate of a transistor device
US11264287B2 (en) 2020-02-11 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with cut metal gate and method of manufacture
US11355602B2 (en) 2020-02-18 2022-06-07 Kabushiki Kaisha Toshiba Semiconductor device having multiple conductive parts
JP7465123B2 (en) 2020-03-12 2024-04-10 株式会社東芝 Semiconductor Device
JP7387501B2 (en) 2020-03-18 2023-11-28 株式会社東芝 Semiconductor device and its control method
JP7270575B2 (en) * 2020-04-15 2023-05-10 株式会社東芝 semiconductor equipment
CN111883515A (en) 2020-07-16 2020-11-03 上海华虹宏力半导体制造有限公司 Trench gate device and manufacturing method thereof
JP7470071B2 (en) 2020-07-22 2024-04-17 株式会社東芝 Semiconductor Device
JP7319754B2 (en) 2020-08-19 2023-08-02 株式会社東芝 semiconductor equipment
KR102382846B1 (en) * 2020-08-28 2022-04-05 부산대학교 산학협력단 METHOD AND DEVICE FOR SELF-ALIGNED PROCESSING TO REDUCE A CRITICAL DIMENSION OF SiC TRENCH GATE MOSFET STRUCTURE
CN112271134B (en) * 2020-10-20 2021-10-22 苏州东微半导体股份有限公司 Method for manufacturing semiconductor power device
KR102413641B1 (en) 2020-11-27 2022-06-27 주식회사 예스파워테크닉스 Trench power MOSFET and method of manufacturing the same
TWI801783B (en) * 2020-12-09 2023-05-11 大陸商上海瀚薪科技有限公司 Silicon carbide semiconductor components
KR102437528B1 (en) * 2020-12-22 2022-08-29 한국과학기술원 Schottky Barrier Diode passive device and methods of fabricating the same
CN112820648B (en) * 2020-12-31 2023-08-01 扬州扬杰电子科技股份有限公司 Gallium nitride metal oxide semiconductor transistor and preparation method thereof
JP2022111450A (en) 2021-01-20 2022-08-01 株式会社東芝 Semiconductor device
US11387338B1 (en) 2021-01-22 2022-07-12 Applied Materials, Inc. Methods for forming planar metal-oxide-semiconductor field-effect transistors
WO2022162894A1 (en) * 2021-01-29 2022-08-04 サンケン電気株式会社 Semiconductor device
JP7470075B2 (en) 2021-03-10 2024-04-17 株式会社東芝 Semiconductor Device
CN113066865B (en) * 2021-03-15 2022-10-28 无锡新洁能股份有限公司 Semiconductor device for reducing switching loss and manufacturing method thereof
KR102444384B1 (en) * 2021-03-16 2022-09-19 주식회사 키파운드리 Trench power MOSFET and manufacturing method thereof
FR3121280B1 (en) * 2021-03-29 2023-12-22 Commissariat Energie Atomique VERTICAL STRUCTURE FIELD EFFECT TRANSISTOR
JP2022167237A (en) * 2021-04-22 2022-11-04 有限会社Mtec Semiconductor element manufacturing method and vertical mosfet element
CN113192842B (en) * 2021-05-19 2023-05-09 厦门中能微电子有限公司 CoolMOS device manufacturing method
JP2023027863A (en) 2021-08-18 2023-03-03 株式会社東芝 Semiconductor device and method for manufacturing the same
CN113707713B (en) * 2021-08-31 2023-06-30 西安电子科技大学 Multi-stage petal-shaped body region metal oxide semiconductor power device and manufacturing method thereof
EP4152408A1 (en) * 2021-09-21 2023-03-22 Infineon Technologies Austria AG Semiconductor die comprising a device
CN113990921B (en) * 2021-10-18 2023-12-08 深圳市威兆半导体股份有限公司 Semiconductor vertical device and method for producing the same
KR102635228B1 (en) * 2021-12-28 2024-02-13 파워큐브세미 (주) Flat power module with insulation distance between pins
CN114334823A (en) * 2021-12-31 2022-04-12 上海晶岳电子有限公司 SGT device for improving wafer warping and manufacturing method thereof
CN114068531B (en) * 2022-01-17 2022-03-29 深圳市威兆半导体有限公司 Voltage sampling structure based on SGT-MOSFET
CN114496995B (en) * 2022-04-18 2022-06-17 深圳市威兆半导体有限公司 Shielding gate device with temperature sampling function
EP4345908A1 (en) * 2022-09-28 2024-04-03 Nexperia B.V. Semiconductor device and method of forming a semiconductor device
CN115799340B (en) * 2023-01-09 2023-05-12 无锡先瞳半导体科技有限公司 Shielded gate field effect transistor
CN116313809B (en) * 2023-03-14 2024-02-23 深圳市至信微电子有限公司 Preparation method and application of groove type MOS field effect transistor
CN116093146B (en) * 2023-04-11 2024-02-20 江苏应能微电子股份有限公司 Sectional type separation gate SGT MOSFET structure
CN116388742B (en) * 2023-06-02 2023-08-29 东莞市长工微电子有限公司 Gate driving circuit and driving method of power semiconductor device
CN117352555B (en) * 2023-12-06 2024-04-09 无锡锡产微芯半导体有限公司 Integrated shielded gate trench MOSFET and preparation process thereof
CN117410346B (en) * 2023-12-14 2024-03-26 深圳市森国科科技股份有限公司 Trench gate silicon carbide MOSFET and manufacturing method

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5998833A (en) * 1998-10-26 1999-12-07 North Carolina State University Power semiconductor devices having improved high frequency switching and breakdown characteristics
US6037628A (en) * 1997-06-30 2000-03-14 Intersil Corporation Semiconductor structures with trench contacts
EP1168455A2 (en) * 2000-06-30 2002-01-02 Kabushiki Kaisha Toshiba Power semiconductor switching element
DE10038177A1 (en) * 2000-08-04 2002-02-21 Infineon Technologies Ag Semiconductor switching element with two control electrodes which can be controlled by means of a field effect
US20030006452A1 (en) * 2001-07-03 2003-01-09 Ashok Challa Trench structure for semiconductor devices
US20030047776A1 (en) * 2001-09-13 2003-03-13 Hueting Raymond J.E. Edge termination in MOS transistors
US20030080378A1 (en) * 2001-10-29 2003-05-01 Markus Zundel Semiconductor component, trench structure transistor, trench MOSFET, IGBT, and field-plate transistor
US20030197220A1 (en) * 2001-09-07 2003-10-23 Power Integrations, Inc. High-voltage vertical transistor with a multi-layered extended drain structure
EP1369927A2 (en) * 2002-06-05 2003-12-10 Shindengen Electric Manufacturing Co., Ltd. Semiconductor device with field-shaping regions

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4541001A (en) * 1982-09-23 1985-09-10 Eaton Corporation Bidirectional power FET with substrate-referenced shield
JP2590863B2 (en) * 1987-03-12 1997-03-12 日本電装株式会社 Conduction modulation type MOSFET
JP2570742B2 (en) * 1987-05-27 1997-01-16 ソニー株式会社 Semiconductor device
JPS6459868A (en) * 1987-08-29 1989-03-07 Fuji Electric Co Ltd Semiconductor device having insulating gate
US5430324A (en) * 1992-07-23 1995-07-04 Siliconix, Incorporated High voltage transistor having edge termination utilizing trench technology
US5326711A (en) * 1993-01-04 1994-07-05 Texas Instruments Incorporated High performance high voltage vertical transistor and method of fabrication
JP3257186B2 (en) * 1993-10-12 2002-02-18 富士電機株式会社 Insulated gate thyristor
JPH08264772A (en) * 1995-03-23 1996-10-11 Toyota Motor Corp Field-effect type semiconductor element
US6049108A (en) * 1995-06-02 2000-04-11 Siliconix Incorporated Trench-gated MOSFET with bidirectional voltage clamping
US6236099B1 (en) * 1996-04-22 2001-05-22 International Rectifier Corp. Trench MOS device and process for radhard device
JPH09331062A (en) * 1996-06-11 1997-12-22 Mitsubishi Electric Corp Semiconductor device and its manufacture
JPH1117000A (en) * 1997-06-27 1999-01-22 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
JP4061711B2 (en) * 1998-06-18 2008-03-19 株式会社デンソー MOS transistor and manufacturing method thereof
JP3851776B2 (en) * 1999-01-11 2006-11-29 フラウンホーファー−ゲゼルシャフト・ツール・フェルデルング・デル・アンゲヴァンテン・フォルシュング・アインゲトラーゲネル・フェライン Power MOS device and method for manufacturing MOS device
US6351018B1 (en) * 1999-02-26 2002-02-26 Fairchild Semiconductor Corporation Monolithically integrated trench MOSFET and Schottky diode
GB9917099D0 (en) * 1999-07-22 1999-09-22 Koninkl Philips Electronics Nv Cellular trench-gate field-effect transistors
US6376878B1 (en) * 2000-02-11 2002-04-23 Fairchild Semiconductor Corporation MOS-gated devices with alternating zones of conductivity
JP2001284584A (en) * 2000-03-30 2001-10-12 Toshiba Corp Semiconductor device and method of manufacturing the same
EP1170803A3 (en) * 2000-06-08 2002-10-09 Siliconix Incorporated Trench gate MOSFET and method of making the same
US6593620B1 (en) * 2000-10-06 2003-07-15 General Semiconductor, Inc. Trench DMOS transistor with embedded trench schottky rectifier
US6608350B2 (en) * 2000-12-07 2003-08-19 International Rectifier Corporation High voltage vertical conduction superjunction semiconductor device
JP4357753B2 (en) * 2001-01-26 2009-11-04 株式会社東芝 High voltage semiconductor device
US6677641B2 (en) * 2001-10-17 2004-01-13 Fairchild Semiconductor Corporation Semiconductor structure with improved smaller forward voltage loss and higher blocking capability
US6621107B2 (en) * 2001-08-23 2003-09-16 General Semiconductor, Inc. Trench DMOS transistor with embedded trench schottky rectifier
JP4097417B2 (en) * 2001-10-26 2008-06-11 株式会社ルネサステクノロジ Semiconductor device
JP4009825B2 (en) * 2002-02-20 2007-11-21 サンケン電気株式会社 Insulated gate transistor
WO2005065385A2 (en) * 2003-12-30 2005-07-21 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6037628A (en) * 1997-06-30 2000-03-14 Intersil Corporation Semiconductor structures with trench contacts
US5998833A (en) * 1998-10-26 1999-12-07 North Carolina State University Power semiconductor devices having improved high frequency switching and breakdown characteristics
EP1168455A2 (en) * 2000-06-30 2002-01-02 Kabushiki Kaisha Toshiba Power semiconductor switching element
DE10038177A1 (en) * 2000-08-04 2002-02-21 Infineon Technologies Ag Semiconductor switching element with two control electrodes which can be controlled by means of a field effect
US20030006452A1 (en) * 2001-07-03 2003-01-09 Ashok Challa Trench structure for semiconductor devices
US20030197220A1 (en) * 2001-09-07 2003-10-23 Power Integrations, Inc. High-voltage vertical transistor with a multi-layered extended drain structure
US20030047776A1 (en) * 2001-09-13 2003-03-13 Hueting Raymond J.E. Edge termination in MOS transistors
WO2003023861A2 (en) * 2001-09-13 2003-03-20 Koninklijke Philips Electronics N.V. Edge termination in mos transistors
US20030080378A1 (en) * 2001-10-29 2003-05-01 Markus Zundel Semiconductor component, trench structure transistor, trench MOSFET, IGBT, and field-plate transistor
EP1369927A2 (en) * 2002-06-05 2003-12-10 Shindengen Electric Manufacturing Co., Ltd. Semiconductor device with field-shaping regions

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013101113B4 (en) 2012-07-11 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Power MOS transistor and method for its production
DE102021125271A1 (en) 2021-09-29 2023-03-30 Infineon Technologies Ag Power semiconductor device Method of manufacturing a power semiconductor device

Also Published As

Publication number Publication date
TWI399855B (en) 2013-06-21
WO2005065385A3 (en) 2006-04-06
TW200840041A (en) 2008-10-01
DE202004021352U1 (en) 2007-08-16
CN102420241A (en) 2012-04-18
DE202004021352U8 (en) 2008-02-21
JP2007529115A (en) 2007-10-18
DE112004002608T5 (en) 2006-11-16
WO2005065385A2 (en) 2005-07-21
CN101180737A (en) 2008-05-14
CN101794817B (en) 2013-04-03
KR20120003019A (en) 2012-01-09
CN101794817A (en) 2010-08-04
DE112004002608B4 (en) 2015-12-03
TW200527701A (en) 2005-08-16
TWI521726B (en) 2016-02-11
TWI404220B (en) 2013-08-01
KR101216533B1 (en) 2013-01-21
JP4903055B2 (en) 2012-03-21
CN103199017A (en) 2013-07-10
JP2012109580A (en) 2012-06-07
CN101180737B (en) 2011-12-07
JP2008227514A (en) 2008-09-25
CN103199017B (en) 2016-08-03
TW201308647A (en) 2013-02-16
KR20070032627A (en) 2007-03-22

Similar Documents

Publication Publication Date Title
DE112004003046B4 (en) Power semiconductor devices
US11888047B2 (en) Lateral transistors and methods with low-voltage-drop shunt to body diode
US10396158B2 (en) Termination structure for nanotube semiconductor devices
US8860098B2 (en) Vjfet devices
US8247329B2 (en) Nanotube semiconductor devices
JP7180402B2 (en) semiconductor equipment
CN110718546B (en) Insulated gate semiconductor device and method of manufacturing the same
DE102014110006A1 (en) Charge compensation semiconductor devices
DE102015110112A1 (en) LOAD COMPENSATION STRUCTURE AND CORRESPONDING MANUFACTURE
DE102006046853A1 (en) Semiconductor component has semiconductor body with cell field area, and trenches lie in transient area between depth of body region and depth of cell field area on surface of semiconductor body
DE102014114836B4 (en) Semiconductor device
US8273622B2 (en) Semiconductor with a dynamic gate-drain capacitance
DE102014114897A1 (en) A method of fabricating a vertical semiconductor device and a vertical semiconductor device
DE202004021424U1 (en) Power semiconductor devices
DE102013111966B4 (en) Field effect semiconductor device and method for its production
DE102014109208A1 (en) LOAD COMPENSATION DEVICE AND ITS MANUFACTURE
DE102015102115B3 (en) SEMICONDUCTOR DEVICE WITH A TRANSISTOR ARRAY AND A CLOSING AREA AND METHOD FOR PRODUCING SUCH A SEMICONDUCTOR DEVICE

Legal Events

Date Code Title Description
Q172 Divided out of (supplement):

Ref document number: 112004002608

Country of ref document: DE

Kind code of ref document: P

8110 Request for examination paragraph 44
8181 Inventor (new situation)

Inventor name: KOCON, CHRISTOPHER B., PLAINS, PA., US

Inventor name: SAPP, STEVEN P., FELTON, CALIF., US

Inventor name: WILSON, PETER H., 85579 NEUBIBERG, DE

Inventor name: YEDINAK, JOSEPH A., MOUNTAINTOP, PA., US

Inventor name: CHALLA, ASHOK, SANDY, UTAH, US

Inventor name: ELBANHAWY, ALAN, HOLLISTER, CALIF., US

Inventor name: SANI, BABAK S., OAKLAND, CALIF., US

Inventor name: LEE, JAE-GIL, PUCHON, KYONGGI, KR

8181 Inventor (new situation)

Inventor name: YEDINAK, JOSEPH A., MOUNTAINTOP, PA., US

Inventor name: KRAFT, NATHAN LAWRENCE, POTTSVILLE, PA., US

8607 Notification of search results after publication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee