DE112005001828B4 - Verfahren zum Herstellen von Halbleiterbauelementen mit planarisieren einer Halbleiterstruktur zum Ausbilden von Austauschmetallgates sowie entsprechende Halbleiterstruktur - Google Patents

Verfahren zum Herstellen von Halbleiterbauelementen mit planarisieren einer Halbleiterstruktur zum Ausbilden von Austauschmetallgates sowie entsprechende Halbleiterstruktur Download PDF

Info

Publication number
DE112005001828B4
DE112005001828B4 DE112005001828T DE112005001828T DE112005001828B4 DE 112005001828 B4 DE112005001828 B4 DE 112005001828B4 DE 112005001828 T DE112005001828 T DE 112005001828T DE 112005001828 T DE112005001828 T DE 112005001828T DE 112005001828 B4 DE112005001828 B4 DE 112005001828B4
Authority
DE
Germany
Prior art keywords
layer
metal
sacrificial
gate
nitride layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112005001828T
Other languages
English (en)
Other versions
DE112005001828T5 (de
Inventor
Jack Portland Kavalieros
Justin Portland Brask
Mark Beaverton Doczy
Uday Portland Shah
Chris Portland Barns
Matthew Hillsboro Metz
Suman Beaverton Datta
Robert Beaverton Chau
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112005001828T5 publication Critical patent/DE112005001828T5/de
Application granted granted Critical
Publication of DE112005001828B4 publication Critical patent/DE112005001828B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Abstract

Verfahren, das Folgendes umfaßt:
Ausbilden einer Opfergatestruktur;
Entfernen der Opfergatestruktur;
Ersetzen der Opfergatestruktur durch eine Metall-Gateelektrode;
Bedecken der Metall-Gateelektrode mit einer Nitridschicht; und
Bedecken der Nitridschicht mit einem Zwischenschichtdielektrikum aus kohlenstoffdotiertem Oxid.

Description

  • Allgemeiner Stand der Technik
  • Die vorliegende Erfindung betrifft Verfahren zur Herstellung von Halbleiterbauelementen und insbesondere Halbleiterbauelementen mit Metall-Gateelektroden.
  • MOS-Feldeffekttransistoren mit sehr dünnen Gate-Dielektrika aus Siliziumdioxid können mit inakzeptablen Gate-Leckströmen behaftet sein. Das Herstellen des Gate-Dielektrikums aus bestimmten dielektrischen Materialien mit hoher Dielektrizitätskonstante (K) anstelle von Siliziumdioxid kann den Gate-Leckverlust verringern. Im Sinne des vorliegenden Textes meint Dielektrikum mit hohem k-Wert ein Dielektrikum mit einer Dielektrizitätskonstante von über 10. Wenn jedoch zuerst ein dielektrischer Film mit hohem k-Wert ausgebildet wird, so kann er eine geringfügig fehlerhafte Molekularstruktur aufweisen. Um einen solchen Film zu reparieren, kann es erforderlich sein, ihn bei einer relativ hohen Temperatur auszuheilen.
  • Weil eine solche dielektrische Schicht mit hohem k-Wert möglicherweise nicht mit Polysilizium kompatibel ist, kann es wünschenswert sein, Metall-Gateelektroden in Bauelementen zu verwenden, in denen Gate-Dielektrika mit hohem k-Wert verarbeitet sind. Bei der Herstellung eines CMOS-Bauelements, das Metall-Gateelektroden enthält, kann es erforderlich sein, die NMOS- und die PMOS-Gateelektroden aus unterschiedlichen Materialien herzustellen. Es kann ein Austauschgateprozess zum Ausbilden der Gateelektroden aus verschiedenen Metallen verwendet werden. In diesem Prozeß wird eine erste Polysiliziumschicht, eingeschlossen von einem Paar Abstandshaltern, selektiv bis zu einer zweiten Polysiliziumschicht abgetragen, so daß ein Graben zwischen den Abstandshaltern gebildet wird. Der Graben wird mit einem ersten Metall gefüllt. Dann wird die zweite Polysiliziumschicht entfernt und durch ein zweites Metall ersetzt, das sich von dem ersten Metall unterscheidet.
  • US 6,171,910 B1 betrifft ein Verfahren zum Herstellen eines Halbleiterbauelements. Daraus ist bekannt, in Öffnungen 66 ein Gateelektrodenmaterial 64 abzuscheiden (7) und die entstandene Oberfläche in bekannter Weise zu polieren, um eine Planare Oberfläche 72 mit Gateelektroden 71 zu definieren (8). Über der Planaren Oberfläche 72 kann eine Nitridschicht 74 abgeschieden und nachfolgend strukturiert werden (9). Über die strukturierte Nitridschicht 74 können ein Gate-Dielektrikum 82 und ein Gatematerial 84 abgeschieden werden. Ferner können über die gesamte Struktur eine weitere Nitridschicht 102 und ein Zwi schenschichtdielektrikum 104 aus üblichen Materialien (vgl. Spalte 6, Zeilen 12 bis 17) abgeschieden werden (11).
  • Es besteht somit Bedarf an alternativen Wegen zum Ausbilden von Austausch-Metall-Gateelektroden.
  • Kurze Beschreibung der Zeichnungen
  • 1A1R stellen Querschnitte von Strukturen dar, die durch die Ausführung einer Ausführungsform der vorliegenden Erfindung ausgebildet werden können.
  • Die Merkmale in diesen Figuren sind nicht unbedingt maßstabsgerecht gezeigt.
  • Detaillierte Beschreibung
  • 1A1R veranschaulichen Strukturen, die durch die Ausführung einer Ausführungsform des Verfahrens der vorliegenden Erfindung ausgebildet werden können. Zu Beginn wird eine Gate-Dielektrikumschicht 170 mit hohem k-Wert und eine Opfermetallschicht 169 auf einem Substrat 100 ausgebildet, wodurch die Struktur von 1A hergestellt wird. Alternativ kann – obgleich nicht gezeigt – ein Pseudo-Gate-Dielektrikum (zum Beispiel eine SiO2-Schicht von 2–3 nm bzw. 20–30 Ångström) durch diesen Abschnitt des Flusses hindurchgeführt werden und in der Zeit des Austauschgateprozesses durch ein Dielektrikum mit hohem k-Wert ersetzt werden. Das Substrat 100 kann eine massive Silizium- oder Silizium-auf-Isolator-Substruktur umfassen. Alternativ kann das Substrat 100 auch andere Materialien umfassen, die gegebenenfalls mit Silizium kombiniert sein können, wie zum Beispiel: Germanium, Indiumantimonid, Bleitellurid, Indiumarsenid, Indiumphosphid, Galliumarsenid oder Galliumantimonid. Obgleich hier einige wenige Beispiele von Materialien, aus denen das Substrat 100 hergestellt werden kann, beschrieben werden, ist jedes Material, das als eine Grundlage dienen kann, auf der ein Halbleiterbauelement aufgebaut werden kann, denkbar.
  • Zu einigen der Materialien, die zum Ausbilden der Gate-Dielektrikumschicht 170 mit hohem k-Wert verwendet werden können, gehören: Hafniumoxid, Hafnium-Siliziumoxid, Lanthanoxid, Lanthan-Aluminiumoxid, Zirconiumoxid, Zirkonium-Siliziumoxid, Tantaloxid, Titanoxid, Barium-Strontium-Titanoxid, Barium-Titanoxid, Strontium-Titanoxid, Yttriumoxid, Aluminiumoxid, Blei-Scandium-Tantaloxid und Blei-Zinkniobat. Besonders bevorzugt sind Hafniumoxid, Zirconiumoxid, Titanoxid und Aluminiumoxid. Obgleich hier einige wenige Beispiele von Materialien, die zum Ausbilden der Gate-Dielektrikumschicht 170 mit hohem k-Wert verwendet werden können, beschrieben sind, kann diese Schicht auch aus anderen Materialien hergestellt werden, die dem Verringern von Gateleckverlusten dienen. Die Schicht 170 hat eine Dielektrizitätskonstante von über 10 und von 15 bis 25 in einer Ausführungsform der vorliegenden Erfindung.
  • Die Gate-Dielektrikumschicht 170 mit hohem k-Wert kann auf dem Substrat 100 mittels eines herkömmlichen Abscheidungsverfahrens ausgebildet werden, zum Beispiel mittels eines herkömmlichen chemischen Dampfabscheidungsprozesses (”CVD”), eines Niederdruck-CVD-Prozesses oder eines physikalischen Dampfabscheidungsprozesses (”PVD”). Bevorzugt wird ein herkömmlicher Atomschicht-CVD-Prozeß verwendet. In einem solchen Prozeß können ein Metalloxidvorläufer (zum Beispiel ein Metallchlorid) und Dampf mit ausgewählten Strömungsraten in einen CVD-Reaktor eingeleitet werden, der dann mit einer ausgewählten Temperatur und einem ausgewählten Druck betrieben wird, um eine atomisch glatte Grenzfläche zwischen dem Substrat 100 und der Gate-Dielektrikumschicht 170 mit hohem k-Wert zu erzeugen. Der CVD-Reaktor wird so lange betrieben, bis eine Schicht mit der gewünschten Dicke entstanden ist. In den meisten Anwendungen kann die Gate-Dielektrikumschicht 170 mit hohem k-Wert eine geringere Dicke als zum Beispiel 6 nm (60 Ångström) haben, und in einer Ausführungsform kann sie eine Dicke zwischen etwa 0,5 nm (5 Ångström) und etwa 4 nm (40 Ångström) haben.
  • Eine Opfermetallschicht 169 kann über der Dielektrikumschicht 170 ausgebildet werden. Die Opfermetallschicht 169 kann ein beliebiges Metall sein, das hohen Temperaturen (über 450°C) widerstehen kann, ohne mit darüberliegenden Materialien zu reagieren. Zum Beispiel kann die Opfermetallschicht 169 aus Titannitrid ausgebildet werden. In einer Ausführungsform kann die Schicht 169 durch Sputtern ausgebildet werden. In einer anderen Ausführungsform kann die Schicht 169 durch Atomschichtabscheidung ausgebildet werden.
  • Nachdem die Gate-Dielektrikumschicht 170 mit hohem k-Wert und die Opfermetallschicht 169 auf dem Substrat 100 ausgebildet wurden, wird die Opferschicht 171 auf der Gate-Dielektrikumschicht 170 mit hohem k-Wert ausgebildet, wie in 1B gezeigt. In dieser Ausführungsform wird dann die Hartmaskenschicht 172 auf der Opferschicht 171 ausgebildet, wodurch die in 1B gezeigte Struktur entsteht. Die Opferschicht 171 kann Polysilizium, Siliziumnitrid, Silizium-Germanium oder Germanium umfassen und kann auf der Opfermetallschicht 169 mittels eines herkömmlichen Abscheidungsprozesses abgeschieden werden. Die Opferschicht 171 kann zum Beispiel zwischen 10 nm und 200 nm (100 und 2000 Ångström) dick sein und kann in einer Ausführungsform zwischen 50 nm und 160 nm (500 und 1600 Ångström) dick sein. In einer anderen Ausführungsform kann die Opferschicht 171 auf einem Pseudo-Gate-Dielektrikum ausgebildet werden, das später zur Zeit des Gate-Austauschs ausgetauscht wird.
  • Die Hartmaskenschicht 172 kann Siliziumnitrid mit einer Dicke zwischen zum Beispiel 10 nm und 100 nm (100 und 1000 Ångström) umfassen, und in einer Ausführungsform zwischen 20 nm und 35 nm (200 und 350 Ångström) Dicke. Die Hartmaskenschicht 172 kann auf der Opferschicht 171 ausgebildet werden.
  • Die Opferschicht 171 und die Hartmaskenschicht 172 werden dann zu strukturierten Hartmaskenschichten 130, 131 und strukturierten Opferschichten 104, 106 und 169 strukturiert, wie in 1C veranschaulicht. Es können herkömmliche Naß- oder Trockenätzprozesse verwendet werden, um ungeschützte Teile der Hartmaskenschicht 172, der Opfermetallschicht 169 und der Opferschicht 171 abzutragen. In dieser Ausführungsform wird – nach dem Ätzen jener Schichten – der exponierte Teil 174 der Gate-Dielektrikumschicht 170 mit hohem k-Wert abgetragen.
  • Obgleich der exponierte Teil 174 der Gate-Dielektrikumschicht 170 mit hohem k-Wert mittels Trocken- oder Naßätztechniken entfernt werden kann, kann es schwierig sein, jene Schicht mittels solcher Prozesse zu ätzen, ohne benachbarte Strukturen zu beeinträchtigen. Es kann schwierig sein, die Gate-Dielektrikumschicht 170 mit hohem k-Wert selektiv bis zum darunterliegenden Substrat mittels eines Trockenätzprozesses zu ätzen, und Naßätztechniken können die Gate-Dielektrikumschicht 170 mit hohem k-Wert isotrop ätzen, wodurch die darüberliegenden Opferschichten 104, 106 in einer unerwünschten Weise unterätzt werden können.
  • Um das seitliche Entfernen der Gate-Dielektrikumschicht 170 mit hohem k-Wert zu reduzieren, wenn der exponierte Teil 174 jener Schicht geätzt wird, kann der exponierte Teil 174 der Gate-Dielektrikumschicht 170 mit hohem k-Wert so modifiziert werden, daß sein Abtragen selektiv bis auf den bedeckten Teil 175 jener Schicht erleichtert wird. Der exponierte Teil 174 kann durch Hinzufügen von Fremdmaterialien zu jenem Teil der Gate-Dielektrikumschicht 170 mit hohem k-Wert modifiziert werden, nachdem die Opferschicht 171 geätzt wurde. Ein plasmaunterstützter chemischer Dampfabscheidungsprozess (”PECVD”) kann verwendet werden, um Fremdmaterialien zu dem exponierten Teil 174 der Gate-Dielektrikumschicht 170 mit hohem k-Wert hinzuzufügen. In einem solchen PECVD-Prozess kann ein Halogen- oder Halidgas (oder eine Kombination solcher Gase) in einen Reaktor eingeleitet werden, bevor ein Plasma erzeugt wird. Der Reaktor muß ausreichend lange unter geeigneten Bedingungen betrieben werden (zum Beispiel Temperatur, Druck, Hochfrequenz und Leistung), um den exponierten Teil 174 so zu modifizieren, daß gewährleistet ist, daß er selektiv zu anderen Materialien abgetragen werden kann. In einer Ausführungsform wird ein PECVD-Prozess mit geringer Leistung verwendet, zum Beispiel einer, der bei weniger als etwa 200 Watt stattfindet.
  • In einer Ausführungsform werden Wasserstoffbromid (”HBr”)- und Chlor (”Cl2”)-Gase mit geeigneten Strömungsraten in den Reaktor eingeleitet, um zu gewährleisten, daß ein Plasma, das aus diesen Gasen erzeugt wurde, den exponierten Teil 174 in der gewünschten Weise modifiziert. Es können zwischen etwa 50 und etwa 100 Watt Wafervorspannleistung (zum Beispiel etwa 100 Watt) über einen ausreichenden Zeitraum angelegt werden, um die gewünschte Transformation des exponierten Teils 174 zu vollenden. Eine Plasmaexponierung mit einer Dauer von weniger als etwa einer Minute und vielleicht auch nur 5 Sekunden kann ausreichend sein, um diese Umwandlung zu bewirken.
  • Nachdem der exponierte Teil 174 modifiziert wurde, kann er abgetragen werden. Das Vorhandensein der hinzugefügten Fremdmaterialien macht es möglich, daß der exponierte Teil selektiv bis auf den bedeckten Teil 175 abgetragen wird, um die in 1D gezeigte Struktur zu erzeugen. In einer Ausführungsform wird der exponierte Teil 174 abgetragen, indem er einer relativ starken Säure, zum Beispiel einer Säure auf Halidbasis (wie zum Beispiel Bromwasserstoff- oder Chlorwasserstoffsäure) oder Phosphorsäure ausgesetzt wird. Wenn eine Säure auf Halidbasis verwendet wird, so enthält die Säure bevorzugt zwischen etwa 0,5 Volumen-% und etwa 10 Volumen-% HBr oder HCl, und besonders bevorzugt etwa 5 Volumen-%. Ein Ätzprozeß, bei dem ein solcher Säure zum Einsatz kommt, kann bei oder nahe Raumtemperatur stattfinden und einen Zeitraum zwischen etwa 5 und etwa 30 Minuten dauern, obgleich gewünschtenfalls auch einer längere Kontaktzeit verwendet werden kann. Wenn Phosphorsäure verwendet wird, so kann die Säure zwischen etwa 75 Volumen-% und etwa 95 Volumen-% H3PO4 enthalten. Ein Ätzprozeß, bei dem eine solche Säure zum Einsatz kommt, kann zum Beispiel zwischen etwa 140°C und etwa 180°C, und in einer Ausführungsform bei etwa 160°C, stattfinden. Wenn ein solcher Säure verwendet wird, so kann der Exponierungsschritt zwischen etwa 30 Sekunden und etwa 5 Minuten dauern, und etwa eine Minute bei einem 2 nm (20 Ångström) dicken Film.
  • 1D stellt eine Zwischenstruktur dar, die beim Herstellen eines komplementären Metalloxidhalbleiters (”CMOS”) ausgebildet werden wenn. Diese Struktur enthält einen ersten Teil 101 und einen zweiten Teil 102 des Substrats 100, das in 1E gezeigt ist. Eine Isolationsregion 103 trennt den ersten Teil 101 vom zweiten Teil 102. Die Isolationsregion 103 kann Siliziumdioxid oder andere Materialien umfassen, welche die aktiven Regionen des Transistors abtrennen können. Die erste Opferschicht 104 ist auf der ersten Gate-Dielektrikumschicht 105 mit hohem k-Wert ausgebildet, und die zweite Opferschicht 106 ist auf der zweiten Gate-Dielektrikumschicht 107 mit hohem k-Wert ausgebildet. Die Hartmasken 130, 131 sind auf den Opferschichten 104, 106 ausgebildet.
  • Nach dem Ausbilden der Struktur von 1D können Abstandshalter auf gegenüberliegenden Seiten der Opferschichten 104, 106 ausgebildet werden. Wenn jene Abstandshalter Silizi umnitrid umfassen, so können sie in der folgenden Weise ausgebildet werden. Zuerst wird eine Siliziumnitridschicht von im wesentlichen gleichmäßiger Dicke, zum Beispiel weniger als 100 nm (1000 Ångström), über der gesamten Struktur abgeschieden, wodurch die in 1E gezeigte Struktur entsteht. Es können herkömmliche Abscheidungsprozesse zum Erzeugen dieser Struktur zum Einsatz kommen.
  • In einer Ausführungsform wird eine Siliziumnitridschicht 134 direkt auf dem Substrat 100 und den gegenüberliegenden Seiten der Opferschichten 104, 106 abgeschieden, ohne zuerst eine Pufferoxidschicht auf dem Substrat 100 und den Schichten 104, 106 auszubilden. In alternativen Ausführungsformen hingegen kann eine solche Pufferoxidschicht vor dem Ausbilden der Schicht 134 ausgebildet werden. Gleichermaßen, obgleich in 1E nicht gezeigt, kann ein zweites Oxid auf der Schicht 134 vor dem Ätzen jener Schicht ausgebildet werden. Sofern verwendet, kann ein solches Oxid den anschließenden Siliziumnitridätzschritt zum Erzeugen eines L-förmigen Abstandshalters ermöglichen.
  • Die Siliziumnitridschicht 134 kann mittels eines herkömmlichen Prozesses für anisotropes Ätzen von Siliziumnitrid geätzt werden, um die in 1F gezeigte Struktur zu erzeugen. Im Ergebnis jenes Ätzschrittes ist die Opferschicht 104 von einem Paar Seitenwandabstandshalter 108, 109 eingeschlossen, und die Opferschicht 106 ist von einem Paar Seitenwandabstandshalter 110, 111 eingeschlossen.
  • Die Struktur von 1F kann dann mit einer Nitridätzstoppschicht 180 überzogen werden, um die Struktur von 1G auszubilden. Die Schicht 180 kann in der gleichen Weise wie die Schicht 134 ausgebildet werden.
  • Entsprechend der gängigen Praxis kann es wünschenswert sein, mehrere Maskierungs- und Ionenimplantationsschritte (1H) auszuführen, um geringfügig implantierte Regionen 135a 138a nahe den Schichten 104, 106 zu erzeugen (die letztendlich als Spitzenregionen für die Source- und Drainregionen des Bauelements dienen), bevor die Abstandshalter 108, 109, 110, 111 auf den Opferschichten 104, 106 ausgebildet werden. Außerdem können gemäß der gängigen Praxis die Source- und Drainregionen 135, 138 nach dem Ausbilden der Abstandshalter 108, 109, 110, 111 durch Implantieren von Ionen in die Teile 101 und 102 des Substrat 100 ausgebildet werden, gefolgt von einem geeigneten Ausheilungsschritt (anneal step).
  • Eine Ionenimplantations- und Ausheilungssequenz, die zum Ausbilden von Source- und Drainregionen vom n-Typ innerhalb des Teils 101 des Substrats 100 verwendet wird, kann gleichzeitig die Opferschicht 104 zum n-Typ dotieren. Gleichermaßen kann eine Ionenimplantations- und Ausheilungssequenz, die zum Ausbilden von Source- und Drainregionen vom p-Typ innerhalb des Teils 102 des Substrats 100 verwendet wird, die Opferschicht 106 zum p-Typ dotieren. Wenn die Opferschicht 106 mit Bor dotiert wird, so muß diese Schicht dieses Element in einer ausreichenden Konzentration enthalten, um zu gewährleisten, daß ein anschließender Naßätzprozeß zum Abtragen der Opferschicht 104 vom n-Typ keine größere Menge der Opferschicht 106 vom p-Typ abträgt.
  • Das Ausheilen aktiviert die Dotanden, die zuvor in die Source- und Drainregionen und die Spitzenregionen und in die Opferschichten 104, 106 eingebracht wurden. In einer bevorzugten Ausführungsform wird ein schnelles thermisches Ausheilen angewendet, das bei einer Temperatur stattfindet, die etwa 1000°C übersteigt, und das optimal bei 1080°C stattfindet. Neben dem Aktivieren der Dotanden kann ein solches Ausheilen die Molekularstruktur der Gate-Dielektrikumschichten 105, 107 mit hohem k-Wert so modifizieren, daß Gate-Dielektrikumschichten entstehen, die ein optimiertes Verhalten aufweisen.
  • Dank des Aufbringens der Opfermetallschicht 169 können Dielektrikumschichten 170 mit optimiertem Verhalten aus diesen Hochtemperaturschritten hervorgehen, ohne daß es zu einer nennenswerten Reaktion zwischen der Dielektrikumschicht 170 mit hoher Dielektrizitätskonstante und der Opferschicht 171 kommt.
  • Nach dem Ausbilden der Abstandshalter 108, 109, 110, 111 und der Schicht 180 kann die Dielektrikumschicht 112 über dem Bauelement abgeschieden werden, wodurch die in 1H gezeigte Struktur entsteht. Die Dielektrikumschicht 112 kann ein Material mit niedrigem k-Wert umfassen. Die Dielektrikumschicht 112 ist aus kohlenstoffdotiertem Oxid und kann mittels eines Intensivplasmaabscheidungsprozesses ausgebildet werden. Bis zu dieser Stufe des Prozesses sind die Source- und Drainregionen 135, 136, 137, 138, die von den silizierten Regionen 139, 140, 141, 142 bedeckt sind, bereits ausgebildet worden. Diese Source- und Drainregionen können durch Implantieren von Ionen in das Substrat und anschließendes Aktivieren ausgebildet werden. Alternativ kann ein Epitaxialwachstumsprozeß zum Ausbilden der Source- und Drainregionen verwendet werden, wie dem Fachmann bewußt ist.
  • Die Dielektrikumschicht 112 wird von den Hartmasken 130, 131 entfernt, die wiederum von den strukturierten Opferschichten 104, 106 entfernt werden, wodurch die in 1I gezeigte Struktur entsteht. Es kann ein herkömmlicher chemisch-mechanischer Poliervorgang (”CMP”) angewendet werden, um jenen Teil der dielektrischen Schicht 112 und die Hartmasken 130, 131 abzutragen. Die Hartmasken 130, 131 können abgetragen werden, um die strukturierten Opferschichten 104, 106 freizulegen. Die Hartmasken 130, 131 können von der Oberfläche der Schichten 104, 106 herunterpoliert werden, wenn die Dielektrikumschicht 112 poliert wird, weil sie auf dieser Stufe in dem Prozeß ihren Zweck bereits erfüllt haben.
  • Nach dem Ausbilden der in 1I gezeigten Struktur wird die Opferschicht 104 abgetragen, um den Graben 113 zu erzeugen, der zwischen den Seitenwandabstandshaltern 108, 109 angeordnet ist, wodurch die in 1J gezeigte Struktur entsteht.
  • In einer Ausführungsform wird ein Naßätzprozeß, der für die Schicht 104 über der Opferschicht 106 selektiv ist, angewendet, um die Schichten 104 und 169 zu entfernen, ohne nennenswerte Abschnitte der Schicht 106 zu entfernen.
  • Wenn die Opferschicht 104 zum n-Typ dotiert wird und die Opferschicht 106 zum p-Typ dotiert wird (zum Beispiel mit Bor), so kann ein solcher Naßätzprozeß umfassen, die Opferschicht 104 mit einer wäßrigen Lösung, die eine Hydroxidquelle umfaßt, über einen ausreichenden Zeitraum bei einer ausreichenden Temperatur in Kontakt zu bringen, um im wesentlichen die gesamte Schicht 104 zu entfernen. Diese Hydroxidquelle kann zwischen etwa 2 und etwa 30 Volumenprozent Ammoniumhydroxid oder ein Tetraalkylammoniumhydroxid, zum Beispiel Tetramethylammoniumhydroxid (”TMAH”), in entionisiertem Wasser umfassen.
  • Eventuelle übrig gebliebene Teile der Opferschicht 104 können selektiv entfernt werden, indem man sie mit einer Lösung in Kontakt bringt, die auf einer Temperatur zwischen etwa 15°C und etwa 90°C gehalten wird (zum Beispiel unterhalb von etwa 40°C) und die zwischen etwa 2 und etwa 30 Volumenprozent Ammoniumhydroxid in entionisiertem Wasser umfaßt. Während dieses Exponierungsschrittes, der bevorzugt mindestens eine Minute dauert, kann es wünschenswert sein, Schallenergie mit einer Frequenz zwischen etwa 10 kHz und etwa 2000 kHz bei einer Dissipation zwischen etwa 1 und etwa 10 Watt/cm2 anzulegen.
  • In einer Ausführungsform kann die Opferschicht 104 mit einer Dicke von etwa 1350 Ångström selektiv entfernt werden, indem man sie bei etwa 25°C etwa 30 Minuten lang mit einer Lösung in Kontakt bringt, die etwa 15 Volumenprozent Ammoniumhydroxid in entionisiertem Wasser umfaßt, während Schallenergie mit einer Frequenz von etwa 1000 kHz bei einer Dissipation von etwa 5 Watt/cm2 angelegt wird. Ein solcher Ätzprozeß sollte im wesentlichen eine komplette Opferschicht 104 vom n-Typ entfernen, ohne eine nennenswerte Menge einer Opferschicht 106 vom p-Typ zu entfernen.
  • Alternativ kann die Opferschicht 104 selektiv entfernt werden, indem man sie mindestens eine Minute lang mit einer Lösung in Kontakt bringt, die auf einer Temperatur zwischen etwa 60°C und etwa 90°C gehalten wird und die zwischen etwa 20 und etwa 30 Volumenprozent TMAH in entionisiertem Wasser umfaßt, während Schallenergie einwirkt. Das Entfernen der Opferschicht 104 mit einer Dicke von 135 nm (1350 Ångström), indem man sie bei etwa 80°C etwa 2 Minuten lang mit einer Lösung in Kontakt bringt, die etwa 25 Volumenprozent TMAH in entionisiertem Wasser umfaßt, während Schallenergie mit einer Frequenz von etwa 1000 kHz bei einer Dissipation von etwa 5 Watt/cm2 einwirkt, kann im wesentlichen die ge samte Schicht 104 entfernen, ohne eine größere Menge der Schicht 106 zu entfernen. Die erste Gate-Dielektrikumschicht 105 mit hohem k-Wert muß ausreichend dick sein, um zu verhindern, daß das Ätzmittel, das zum Abtragen der Opferschicht 104 benutzt wird, die Kanalregion erreicht, die unterhalb der ersten Gate-Dielektrikumschicht 105 mit hohem k-Wert angeordnet ist.
  • Die Opfermetallschicht 169 kann auch durch selektives Ätzen abgetragen werden. In einigen Ausführungsformen braucht die Schicht 169 nicht abgetragen zu werden. In einigen Ausführungsformen kann die Dielektrikumschicht 105 vor dem Ausbilden des Austausch-Metallgates abgetragen werden. In einem solchen Fall kann ein Metalloxid-Gate-Dielektrikum vor dem Ausbilden des Austauschgates ausgebildet werden.
  • In der veranschaulichten Ausführungsform wird die Metallschicht 115 vom n-Typ direkt auf der Schicht 105 ausgebildet, um den Graben 113 auszufüllen und die in 1K gezeigte Struktur zu erzeugen. Die Metallschicht 115 vom n-Typ kann jedes beliebige leitfähige Material vom n-Typ umfassen, aus dem sich eine metallische NMOS-Gateelektrode gewinnen läßt. Die Metallschicht 115 vom n-Typ hat bevorzugt thermische Stabilitätseigenschaften, die sie für die Herstellung einer metallischen NMOS-Gateelektrode für ein Halbleiterbauelement geeignet machen.
  • Zu den Materialien, die zum Ausbilden der Metallschicht 115 vom n-Typ verwendet werden können, gehören: Hafnium, Zirconium, Titan, Tantal, Aluminium und ihre Legierungen, zum Beispiel Metallcarbide, welche diese Elemente enthalten, d. h. Hafniumcarbid, Zirkoniumcarbid, Titancarbid, Tantalcarbid und Aluminiumcarbid. Die Metallschicht 115 vom n-Typ kann auf der ersten Gate-Dielektrikumschicht 105 mit hohem k-Wert mittels einschlägig bekannter PVD- oder CVD-Prozesse ausgebildet werden, zum Beispiel mittels herkömmlicher Sputter- oder Atomschicht-CVD-Prozesse. Wie in 1L gezeigt, wird die Metallschicht 115 vom n-Typ abgetragen, mit Ausnahme der Stellen, wo sie den Graben 113 füllt. Die Schicht 115 kann von anderen Abschnitten des Bauelements mittels eines Naß- oder Trockenätzprozesses oder eines geeigneten CMP-Vorgangs abgetragen werden. Das Dielektrikum 112 kann als ein Ätz- oder Polierstopp dienen, wenn die Schicht 115 von seiner Oberfläche abgetragen wird.
  • Die Metallschicht 115 vom n-Typ kann als eine metallische NMOS-Gateelektrode dienen, die eine Austrittsarbeit aufweist, die zwischen etwa 3,9 eV und etwa 4,2 eV liegt, und die zwischen 10 nm und 200 nm (100 Ångström und 2000 Ångström) dick ist und in einer Ausführungsform insbesondere zwischen 50 nm und 160 nm (500 Ångström und 1600 Ångström) dick sein kann. Obgleich die 1J und 1K Strukturen darstellen, in der die Metallschicht 115 vom n-Typ den gesamten Graben 113 ausfüllt, braucht in alternativen Ausführungsformen die Metallschicht 115 vom n-Typ nur einen Teil des Grabens 113 auszufüllen, wobei der Rest des Grabens mit einem Material gefüllt ist, daß sich leicht polieren läßt, zum Beispiel Wolfram, Aluminium, Titan oder Titannitrid. Das Verwenden eines Füllmetalls mit höherer Leitfähigkeit anstelle des Austrittsarbeitsmetalls kann die Gesamtleitfähigkeit des Gate-Stapels verbessern. In einer solchen alternativen Ausführungsform kann die Metallschicht 115 vom n-Typ, die als das Austrittsarbeitsmetall dient, zwischen 5 nm und 100 nm (50 und 1000 Ångström) dick sein und kann zum Beispiel mindestens etwa 10 nm (100 Ångström) dick sein.
  • In Ausführungsformen, in denen der Graben 113 sowohl ein Austrittsarbeitsmetall als auch ein Grabenfüllmetall enthält, kann man davon ausgehen, daß die resultierende metallische NMOS-Gateelektrode die Kombination aus dem Austrittsarbeitsmetall und dem Grabenfüllmetall umfaßt. Wenn ein Grabenfüllmetall auf einem Austrittsarbeitsmetall abgeschieden wird, so kann das Grabenfüllmetall nach dem Abscheiden das gesamte Bauelement bedecken, wodurch eine Struktur wie die in 1K gezeigte Struktur entsteht. Dieses Grabenfüllmetall muß dann zurückpoliert werden, so daß es nur den Graben füllt, wodurch eine Struktur wie die in 1L gezeigte Struktur entsteht.
  • In der veranschaulichten Ausführungsform wird nach dem Ausbilden der Metallschicht 115 vom n-Typ innerhalb des Grabens 113 die Opferschicht 106 abgetragen, um einen Graben 150 zu erzeugen, der zwischen den Seitenwandabstandshaltern 110, 111 angeordnet ist, wodurch die Struktur entsteht, die in 1M gezeigt ist. In einer bevorzugten Ausführungsform wird die Schicht 106 mit einer Lösung, die zwischen etwa 20 und etwa 30 Volumenprozent TMAH in entionisiertem Wasser umfaßt, über eine ausreichend lange Zeit bei einer ausreichenden Temperatur (zum Beispiel zwischen etwa 60°C und etwa 90°C) in Kontakt gebracht, während Schallenergie angelegt wird, um die gesamte Schicht 106 zu entfernen, ohne größere Abschnitte der Metallschicht 115 vom n-Typ zu entfernen.
  • Alternativ kann ein Trockenätzprozeß angewendet werden, um die Schicht 106 selektiv zu entfernen. Wenn die Opferschicht 106 zum p-Typ dotiert wird (zum Beispiel mit Bor), so kann ein solcher Trockenätzprozeß umfassen, die Opferschicht 106 einem Plasma auszusetzen, das aus Schwefelhexafluorid (”SF6”), Wasserstoffbromid (”HBr”), Wasserstoffiodid (”HI”), Chlor, Argon und/oder Helium gewonnen wird. Ein solcher selektiver Trockenätzprozeß kann in einem Parallelplattenreaktor oder in einer Elektronenzyklotronresonanzätzvorrichtung stattfinden.
  • Nach dem Abtragen der Opferschicht 106 kann es wünschenswert sein, die zweite Gate-Dielektrikumschicht 107 mit hohem k-Wert zu reinigen, indem man zum Beispiel diese Schicht mit der oben beschriebenen Lösung auf Wasserstoffperoxidbasis in Kontakt bringt. Optional kann, wie oben angesprochen, eine Deckschicht (die nach ihrer Abscheidung oxi diert werden kann) auf der zweiten Gate-Dielektrikumschicht 107 mit hohem k-Wert ausgebildet werden, bevor der Graben 150 mit einem Metall vom p-Typ gefüllt wird. In dieser Ausführungsform wird jedoch eine Metallschicht 116 vom p-Typ direkt auf der Schicht 107 ausgebildet, um den Graben 150 zu füllen und die in 1N gezeigte Struktur zu erzeugen. Die Metallschicht 116 vom p-Typ kann jedes beliebige leitfähige Material vom p-Typ umfassen, aus dem sich eine metallische PMOS-Gateelektrode gewinnen läßt. Die Metallschicht 116 vom p-Typ hat bevorzugt thermische Stabilitätseigenschaften, die sie zur Herstellung einer metallischen PMOS-Gateelektrode für ein Halbleiterbauelement geeignet machen.
  • Zu Materialien, die zum Ausbilden der Metallschicht 116 vom p-Typ verwendet werden können, gehören: Ruthenium, Palladium, Platin, Kobalt, Nickel sowie leitfähige Metalloxide, zum Beispiel Rutheniumoxid. Die Metallschicht 116 vom p-Typ kann auf der zweiten Gate-Dielektrikumschicht 107 mit hohem k-Wert mittels einschlägig bekannter PVD- oder CVD-Prozesse ausgebildet werden, zum Beispiel mittels herkömmlicher Sputter- oder Atomschicht-CVD-Prozesse. Wie in 1O gezeigt, wird die Metallschicht 116 vom p-Typ abgetragen, außer an den Stellen, wo sie den Graben 150 füllt. Die Schicht 116 kann von anderen Abschnitten des Bauelements mittels eines Naß- oder Trockenätzprozesses oder eines geeigneten CMP-Vorgangs abgetragen werden, wobei das Dielektrikum 112 als ein Ätz- oder Polierstopp dient.
  • Die Metallschicht 116 vom p-Typ kann als eine metallische PMOS-Gateelektrode mit einer Austrittsarbeit dienen, die zwischen etwa 4,9 eV und etwa 5,2 eV liegt, und die zwischen 10 nm und 200 nm (100 Ångström und 2000 Ångström) dick ist und besonders bevorzugt zwischen 50 nm (500 Ångström) und 160 nm (1600 Ångström) dick ist. Obgleich die 1N und 1O Strukturen darstellen, in denen die Metallschicht 116 vom p-Typ den gesamten Graben 150 füllt, braucht in alternativen Ausführungsformen die Metallschicht 116 vom p-Typ nur einen Teil des Grabens 150 auszufüllen. Wie schon im Fall der metallischen NMOS-Gateelektrode kann der Rest des Grabens mit einem Material gefüllt werden, daß sich leicht polieren läßt, zum Beispiel Wolfram, Aluminium, Titan oder Titannitrid. In einer solchen alternativen Ausführungsform kann die Metallschicht 116 vom p-Typ, die als das Austrittsarbeitsmetall dient, zwischen 5 nm und 100 nm (50 und 1000 Ångström) dick sein. Wie im Fall der metallischen NMOS-Gateelektrode kann man in Ausführungsformen, in denen der Graben 150 ein Austrittsarbeitsmetall und ein Grabenfüllmetall enthält, davon ausgehen, daß die resultierende metallische PMOS-Gateelektrode die Kombination aus dem Austrittsarbeitsmetall und dem Grabenfüllmetall umfaßt.
  • Als nächstes kann die Dielektrikumschicht 112 abgetragen werden, um die in 1P gezeigte Struktur auszubilden. Dann kann eine neue Nitridätzstoppschicht 181 abgeschieden werden, wie in 1Q gezeigt ist. Die Schicht 181 kann in einer Ausführungsform zu der Schicht 180 identisch sein. Dann kann die Dielektrikumschicht 214 abgeschieden werden, wie in 1R gezeigt, um ein Zwischenschichtdielektrikum zu bilden. Die Schicht 214 kann aus dem gleichen Material und in der gleichen Weise wie die Schicht 112 ausgebildet werden.
  • Weil ein Abschnitt der Nitridätzstoppschicht 180 im Verlauf des Abtragens der Schichten 104 und 106 abgetragen wurde, werden die Nutzeffekte, die eine solche Schicht bei der Verringerung der mechanischen Spannungen hätte erbringen können, beseitigt. Darum können durch neuerliches Hinzufügen einer Schicht 181 und einer Schicht 214 die Nutzeffekte einer Spannungsverringerungsschicht und einer Ätzstoppschicht wiederhergestellt werden. Das Dielektrikum 214 ist daher eine Dielektrikumschicht mit niedrigem k-Wert, nämlich poröses oder nicht-poröses kohlenstoffdotiertes Oxid mit einer Dielektrizitätskonstante kleiner als etwa 5, zum Beispiel etwa 3,2.

Claims (12)

  1. Verfahren, das Folgendes umfaßt: Ausbilden einer Opfergatestruktur; Entfernen der Opfergatestruktur; Ersetzen der Opfergatestruktur durch eine Metall-Gateelektrode; Bedecken der Metall-Gateelektrode mit einer Nitridschicht; und Bedecken der Nitridschicht mit einem Zwischenschichtdielektrikum aus kohlenstoffdotiertem Oxid.
  2. Verfahren nach Anspruch 1, welches das Bedecken der Nitridschicht mit einem Zwischenschichtdielektrikum enthält, das eine Dielektrizitätskonstante kleiner als fünf aufweist.
  3. Verfahren nach Anspruch 1, welches das Ausbilden eines Paares von Opfergatestrukturen und das Ersetzen der Opfergatestrukturen durch Metall-Gateelektroden enthält, die dafür geeignet sind, NMOS- und PMOS-Transistoren zu bilden.
  4. Verfahren nach Anspruch 1, wobei das Ausbilden einer Opfergatestruktur das Ausbilden einer Polysilizium-Gatestruktur mit Seitenwandabstandshaltern enthält.
  5. Verfahren, das Folgendes umfaßt: Ausbilden eines Paares Opfergatestrukturen; Entfernen der Opfergatestrukturen; Ersetzen der Opfergatestrukturen durch Metall-Gateelektroden; und Bedecken der Metall-Gateelektroden mit einer Nitridschicht; und Bedecken der Nitridschicht mit einem Zwischenschichtdielektrikum aus kohlenstoffdotiertem Oxid.
  6. Verfahren nach Anspruch 5, welches das Ausbilden eines Paars von Opfergatestrukturen und das Ersetzen der Opfergatestrukturen durch Metall-Gateelektroden enthält, die dafür geeignet sind, NMOS- und PMOS-Transistoren zu bilden.
  7. Verfahren nach Anspruch 5 wobei das Ausbilden einer Opfergatestruktur das Ausbilden einer Polysilizium-Gatestruktur mit Seitenwandabstandshaltern enthält.
  8. Halbleiterstruktur, die Folgendes umfaßt: ein Substrat (100); eine Metall-Gateelektrode (115, 116), die über dem Substrat (100) ausgebildet ist; eine Nitridschicht (181) über der Metall-Gateelektrode (115, 116); eine Zwischenschicht-Dielektrikumschicht (214) aus kohlenstoffdotiertem Oxid über der Nitridschicht.
  9. Struktur nach Anspruch 8, wobei das Zwischenschichtdielektrikum (214) eine Dielektrizitätskonstante kleiner als fünf aufweist.
  10. Struktur nach Anspruch 8, wobei die Struktur ein Paar von Metall-Gateelektroden enthält, eine für einen NMOS-Transistor und eine für einen PMOS-Transistor.
  11. Struktur nach Anspruch 8, wobei die Nitridschicht in direktem Kontakt mit der Gateelektrode steht.
  12. Struktur nach Anspruch 8, wobei das Zwischenschichtdielektrikum die Region zwischen Metall-Gateelektroden ausfüllt.
DE112005001828T 2004-07-28 2005-07-14 Verfahren zum Herstellen von Halbleiterbauelementen mit planarisieren einer Halbleiterstruktur zum Ausbilden von Austauschmetallgates sowie entsprechende Halbleiterstruktur Active DE112005001828B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/900,582 US7138323B2 (en) 2004-07-28 2004-07-28 Planarizing a semiconductor structure to form replacement metal gates
US10/900,582 2004-07-28
PCT/US2005/025339 WO2006020158A2 (en) 2004-07-28 2005-07-14 Planarizing a semiconductor structure to form replacement metal gates

Publications (2)

Publication Number Publication Date
DE112005001828T5 DE112005001828T5 (de) 2007-05-16
DE112005001828B4 true DE112005001828B4 (de) 2010-04-08

Family

ID=35519834

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112005001828T Active DE112005001828B4 (de) 2004-07-28 2005-07-14 Verfahren zum Herstellen von Halbleiterbauelementen mit planarisieren einer Halbleiterstruktur zum Ausbilden von Austauschmetallgates sowie entsprechende Halbleiterstruktur

Country Status (8)

Country Link
US (2) US7138323B2 (de)
JP (1) JP5154222B2 (de)
KR (1) KR100865885B1 (de)
CN (1) CN101027761B (de)
DE (1) DE112005001828B4 (de)
GB (1) GB2442996B (de)
TW (1) TWI277137B (de)
WO (1) WO2006020158A2 (de)

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7256349B2 (en) * 2004-08-11 2007-08-14 3M Innovative Properties Company Telecommunications cable enclosure
US7338907B2 (en) * 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
DE102004052617B4 (de) * 2004-10-29 2010-08-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Halbleiterbauelements und Halbleiterbauelement mit Halbleitergebieten, die unterschiedlich verformte Kanalgebiete aufweisen
JP5380827B2 (ja) 2006-12-11 2014-01-08 ソニー株式会社 半導体装置の製造方法
US7572704B2 (en) * 2006-12-27 2009-08-11 Hynix Semiconductor Inc. Method for forming metal pattern and method for forming gate electrode in semiconductor device using the same
JP2008198935A (ja) 2007-02-15 2008-08-28 Sony Corp 絶縁ゲート電界効果トランジスタの製造方法。
US7781288B2 (en) * 2007-02-21 2010-08-24 International Business Machines Corporation Semiconductor structure including gate electrode having laterally variable work function
US7820552B2 (en) * 2007-03-13 2010-10-26 International Business Machines Corporation Advanced high-k gate stack patterning and structure containing a patterned high-k gate stack
DE102007041207B4 (de) * 2007-08-31 2015-05-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
US7986023B2 (en) * 2007-09-17 2011-07-26 Infineon Technologies Ag Semiconductor device with inductor
DE102008046761B4 (de) * 2007-09-14 2021-08-05 Infineon Technologies Ag Halbleiterbauelement mit leitfähiger Verbindungsanordnung und Verfahren zur Bildung eines Halbleiterbauelements
US8264048B2 (en) * 2008-02-15 2012-09-11 Intel Corporation Multi-gate device having a T-shaped gate structure
US7790559B2 (en) * 2008-02-27 2010-09-07 International Business Machines Corporation Semiconductor transistors having high-K gate dielectric layers and metal gate electrodes
US7955964B2 (en) 2008-05-14 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US8524588B2 (en) 2008-08-18 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a single metal that performs N work function and P work function in a high-k/metal gate process
US8237227B2 (en) 2008-08-29 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate structure for gate last process
JP4744576B2 (ja) * 2008-09-10 2011-08-10 パナソニック株式会社 半導体装置の製造方法
CN101677064B (zh) * 2008-09-15 2012-01-04 台湾积体电路制造股份有限公司 制造半导体装置的方法
US8093116B2 (en) * 2008-10-06 2012-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for N/P patterning in a gate last process
US7977181B2 (en) * 2008-10-06 2011-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gate height control in a gate last process
JP2010129978A (ja) * 2008-12-01 2010-06-10 Rohm Co Ltd 半導体装置の製造方法
US7838356B2 (en) * 2008-12-31 2010-11-23 Texas Instruments Incorporated Gate dielectric first replacement gate processes and integrated circuits therefrom
DE102009006802B3 (de) * 2009-01-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Halbleiterbauelement mit Einstellung der Austrittsarbeit in einer Gateelektrodenstruktur mit großem ε nach der Transistorherstellung unter Anwendung von Lanthanum
US7915127B2 (en) * 2009-07-27 2011-03-29 United Microelectronics Corp. Manufacturing method of semiconductor device
KR101634748B1 (ko) 2009-12-08 2016-07-11 삼성전자주식회사 트랜지스터의 제조방법 및 그를 이용한 집적 회로의 형성방법
US8048810B2 (en) * 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
KR101574107B1 (ko) * 2010-02-11 2015-12-04 삼성전자 주식회사 반도체 장치의 제조 방법
DE102010003451B4 (de) 2010-03-30 2013-12-24 Globalfoundries Dresden Module One Llc & Co. Kg Austauschgateverfahren für Metallgatestapel mit großem ε durch Vermeiden eines Polierprozesses zum Freilegen des Platzhaltermaterials
CN102468238A (zh) * 2010-11-04 2012-05-23 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8211775B1 (en) 2011-03-09 2012-07-03 United Microelectronics Corp. Method of making transistor having metal gate
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8519454B2 (en) 2011-03-30 2013-08-27 International Business Machines Corporation Structure and process for metal fill in replacement metal gate integration
CN102800576B (zh) * 2011-05-26 2015-09-02 中芯国际集成电路制造(上海)有限公司 图形化膜层的方法、形成栅极、mos晶体管的方法
US8765561B2 (en) 2011-06-06 2014-07-01 United Microelectronics Corp. Method for fabricating semiconductor device
US8486790B2 (en) 2011-07-18 2013-07-16 United Microelectronics Corp. Manufacturing method for metal gate
US8921944B2 (en) 2011-07-19 2014-12-30 United Microelectronics Corp. Semiconductor device
US9070784B2 (en) 2011-07-22 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a CMOS semiconductor device and method of forming the same
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US20130181265A1 (en) * 2012-01-18 2013-07-18 Globalfoundries Inc. Methods of Forming a Gate Cap Layer Above a Replacement Gate Structure and a Semiconductor Device That Includes Such a Gate Structure and Cap Layer
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8772120B2 (en) 2012-05-24 2014-07-08 United Microelectronics Corp. Semiconductor process
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
CN103681498B (zh) * 2012-09-12 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US8883623B2 (en) 2012-10-18 2014-11-11 Globalfoundries Inc. Facilitating gate height uniformity and inter-layer dielectric protection
US9041076B2 (en) 2013-02-03 2015-05-26 International Business Machines Corporation Partial sacrificial dummy gate with CMOS device with high-k metal gate
US8889540B2 (en) 2013-02-27 2014-11-18 International Business Machines Corporation Stress memorization in RMG FinFets
CN104037073B (zh) * 2013-03-04 2016-12-28 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
EP3003608A2 (de) * 2013-06-07 2016-04-13 General Electric Company Hohle metallobjekte und verfahren zur herstellung davon
CN104241109A (zh) * 2013-06-17 2014-12-24 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
CN104465518B (zh) * 2013-09-24 2017-09-22 中芯国际集成电路制造(上海)有限公司 栅极制作方法
US9368592B2 (en) * 2014-01-28 2016-06-14 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure
US9231067B2 (en) 2014-02-26 2016-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
US9698019B2 (en) * 2014-03-14 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. N-work function metal with crystal structure
TWI555065B (zh) * 2014-12-15 2016-10-21 力晶科技股份有限公司 非揮發性記憶體的製造方法
CN106531684B (zh) * 2015-09-11 2019-07-16 中国科学院微电子研究所 一种形成自对准接触部的方法
US9741577B2 (en) 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
US9331148B1 (en) 2015-12-08 2016-05-03 International Business Machines Corporation FinFET device with channel strain
US9847347B1 (en) 2016-11-07 2017-12-19 Globalfoundries Inc. Semiconductor structure including a first transistor at a semiconductor-on-insulator region and a second transistor at a bulk region and method for the formation thereof
US9960078B1 (en) 2017-03-23 2018-05-01 International Business Machines Corporation Reflow interconnect using Ru
US10672649B2 (en) 2017-11-08 2020-06-02 International Business Machines Corporation Advanced BEOL interconnect architecture
US10541199B2 (en) 2017-11-29 2020-01-21 International Business Machines Corporation BEOL integration with advanced interconnects
US10262890B1 (en) 2018-03-09 2019-04-16 International Business Machines Corporation Method of forming silicon hardmask

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6211026B1 (en) * 1998-12-01 2001-04-03 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming elevated source/drain regions of a field effect transistor, and methods of forming field effect transistors
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
KR20010004598A (ko) * 1999-06-29 2001-01-15 김영환 반도체 소자의 게이트 형성방법
JP2001044421A (ja) * 1999-07-27 2001-02-16 Mitsubishi Electric Corp Misfetの製造方法
US6800512B1 (en) * 1999-09-16 2004-10-05 Matsushita Electric Industrial Co., Ltd. Method of forming insulating film and method of fabricating semiconductor device
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US6258735B1 (en) * 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
US6436822B1 (en) * 2000-11-20 2002-08-20 Intel Corporation Method for making a carbon doped oxide dielectric material
JP3669919B2 (ja) * 2000-12-04 2005-07-13 シャープ株式会社 半導体装置の製造方法
US6482754B1 (en) * 2001-05-29 2002-11-19 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
US6677253B2 (en) * 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
JP2003133549A (ja) * 2001-10-29 2003-05-09 Nec Corp Mosfet及びその製造方法
JP2003133547A (ja) * 2001-10-29 2003-05-09 Nec Kansai Ltd 高耐圧半導体装置の製造方法
US6713335B2 (en) * 2002-08-22 2004-03-30 Chartered Semiconductor Manufacturing Ltd. Method of self-aligning a damascene gate structure to isolation regions
JP2004095611A (ja) * 2002-08-29 2004-03-25 Fujitsu Ltd 半導体装置およびその製造方法
US7126198B2 (en) * 2002-09-03 2006-10-24 Agere Systems Inc. Protruding spacers for self-aligned contacts
JP2004152995A (ja) * 2002-10-30 2004-05-27 Toshiba Corp 半導体装置の製造方法
JP4197607B2 (ja) * 2002-11-06 2008-12-17 株式会社東芝 絶縁ゲート型電界効果トランジスタを含む半導体装置の製造方法
US6709911B1 (en) * 2003-01-07 2004-03-23 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6927146B2 (en) * 2003-06-17 2005-08-09 Intel Corporation Chemical thinning of epitaxial silicon layer over buried oxide
US20050035455A1 (en) * 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US20050116360A1 (en) * 2003-12-01 2005-06-02 Chien-Chao Huang Complementary field-effect transistors and methods of manufacture
US7220635B2 (en) * 2003-12-19 2007-05-22 Intel Corporation Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer
US7078282B2 (en) * 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device

Also Published As

Publication number Publication date
WO2006020158A2 (en) 2006-02-23
US7138323B2 (en) 2006-11-21
CN101027761B (zh) 2013-02-06
TWI277137B (en) 2007-03-21
GB0700528D0 (en) 2007-02-21
US20060022277A1 (en) 2006-02-02
KR20070032807A (ko) 2007-03-22
WO2006020158A3 (en) 2006-10-12
TW200608471A (en) 2006-03-01
GB2442996A (en) 2008-04-23
KR100865885B1 (ko) 2008-10-29
CN101027761A (zh) 2007-08-29
GB2442996B (en) 2009-04-01
US20070037372A1 (en) 2007-02-15
JP5154222B2 (ja) 2013-02-27
DE112005001828T5 (de) 2007-05-16
JP2008507856A (ja) 2008-03-13

Similar Documents

Publication Publication Date Title
DE112005001828B4 (de) Verfahren zum Herstellen von Halbleiterbauelementen mit planarisieren einer Halbleiterstruktur zum Ausbilden von Austauschmetallgates sowie entsprechende Halbleiterstruktur
DE112005001593B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit einer High-K-Gate-Dielektrikumschicht und einer Metall-Gateelektrode
DE112005002158B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung, die eine dielektrische Gateschicht mit hohem K und eine Gateelektrode aus Metall aufweist
DE112006001705B4 (de) Verfahren zur Herstellung eines Integrierten Komplementär-Metalloxid-Halbleiter-Schaltkreises unter Verwendung eines erhöhten Source-Drains und eines Ersatz-Metall-Gates
DE102009021485B4 (de) Halbleiterbauelement mit Metallgate und einem siliziumenthaltenden Widerstand, der auf einer Isolationsstruktur gebildet ist sowie Verfahren zu dessen Herstellung
DE102005020133B4 (de) Verfahren zur Herstellung eines Transistorelements mit Technik zur Herstellung einer Kontaktisolationsschicht mit verbesserter Spannungsübertragungseffizienz
DE102007046849B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
DE102011090163B4 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind und Herstellungsverfahren dafür
DE102006009226B9 (de) Verfahren zum Herstellen eines Transistors mit einer erhöhten Schwellwertstabilität ohne Durchlass-Strombeeinträchtigung und Transistor
DE102009046261B4 (de) Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen mit großem ε, die vor den Drain/Source-Gebieten auf der Grundlage eines Opferkohlenstoffabstandshalters hergestellt werden
DE112005002350B4 (de) Ein Verfahren zur Herstellung eines Halbleiterbauelements mit High-k-Gate-Dielektrikumschicht und Silizid-Gate-Elektrode
DE102013214436B4 (de) Verfahren zum Bilden einer Halbleiterstruktur, die silizidierte und nicht silizidierte Schaltkreiselemente umfasst
DE102009055435B4 (de) Verstärkter Einschluss von Metallgateelektrodenstrukturen mit großem ε durch Verringern der Materialerosion einer dielektrischen Deckschicht beim Erzeugen einer verformungsinduzierenden Halbleiterlegierung
DE102007041207A1 (de) CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
DE102009039521B4 (de) Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht
DE102009010883A1 (de) Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der Transistorherstellung mittels eines Zwischenoxidationsprozesses
DE102010063907B4 (de) Verfahren mit Deckschichtentfernung von Gateelektrodenstrukturen nach selektivem Bilden eines verformungsinduzierenden Halbleitermaterials
DE102008063432B4 (de) Verfahren zum Einstellen der Verformung, die in einem Transistorkanal eines FET hervorgerufen wird, durch für die Schwellwerteinstellung vorgesehenes Halbleitermaterial
DE102011004320A1 (de) Komplementäre Transistoren mit Metallgateelektrodenstrukturen mit großem ε und epitaktisch hergestellten Halbleitermaterialien in den Drain- und Sourcebereichen
DE102010064291B4 (de) Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen mit großem ε mit einem polykristallinen Halbleitermaterial und eingebetteten verformungsinduzierenden Halbleiterlegierungen
DE102010003451A1 (de) Austauschgateverfahren für Metallgatestapel mit großem ε durch Vermeiden eines Polierprozesses zum Freilegen des Platzhaltermaterials
DE102008011813B4 (de) Halbleiterbauelement mit einem Metallgatestapel mit reduzierter Höhe und Verfahren zur Herstellung des Bauelements
DE102008063402A1 (de) Verringerung der Schwellwertspannungsfluktuation in Transistoren mit einer Kanalhalbleiterlegierung durch Verringern der Abscheideungleichmäßigkeiten
DE102011080440B4 (de) Verfahren zur Herstellung von Metallgateelektrodenstrukturen mit großem ε mittels einer frühen Deckschichtanpassung
DE102012213825A1 (de) Verhinderung eines ILD-Verlustes in Austauschgatetechnologien durch Oberflächenbehandlung

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition