DE112007001813T5 - Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht - Google Patents

Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht Download PDF

Info

Publication number
DE112007001813T5
DE112007001813T5 DE112007001813T DE112007001813T DE112007001813T5 DE 112007001813 T5 DE112007001813 T5 DE 112007001813T5 DE 112007001813 T DE112007001813 T DE 112007001813T DE 112007001813 T DE112007001813 T DE 112007001813T DE 112007001813 T5 DE112007001813 T5 DE 112007001813T5
Authority
DE
Germany
Prior art keywords
substrate
heating
dichlorosilane
silane
less
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE112007001813T
Other languages
English (en)
Inventor
Yihwan Milpitas Kim
Andrew M. San Francisco Lam
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE112007001813T5 publication Critical patent/DE112007001813T5/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy

Abstract

Verfahren des Bildens einer epitaktischen Schicht, umfassend:
Bereitstellen eines Substrats;
Erwärmen des Substrats auf eine Temperatur von weniger als ungefähr 800°C; und Ausführen eines selektiven Epitaxiefilmbildungsprozesses auf dem Substrat derart, dass die epitaktische Schicht gebildet wird durch Einsetzen von sowohl Silan als auch Dichlorsilan als Siliziumquellen während des selektiven Epitaxiefilmbildungsprozesses.

Description

  • Die vorliegende Erfindung beansprucht die Priorität der US Provisional Patentanmeldung Seriennummer 60/820,956, eingereicht am 31. Juli 2006, die hierdurch durch Bezugnahme in ihrer Gesamtheit hier enthalten ist.
  • QUERVERWEIS AUF VERWANDTE ANMELDUNGEN
  • Die vorliegende Erfindung ist verwandt mit den folgenden parallel anhängigen Anmeldungen, von denen jede hierdurch durch Bezugnahme in ihrer Gesamtheit hier enthalten ist:
    • US Patentanmeldung Seriennummer 11/001,774, eingereicht am 1. Dezember 2004 (Docket Nr. 9618); und
    • US Patentanmeldung Seriennummer 11/227,974, eingereicht am 14. September 2005 (Docket Nr. 9618/P01).
  • GEBIET DER ERFINDUNG
  • Die vorliegende Erfindung bezieht sich auf eine Halbleitervorrichtungsherstellung, und spezieller auf Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht.
  • HINTERGRUND
  • Wenn kleinere Transistoren hergestellt werden, wird es eine größere Herausforderung, ultraflache Source/Drain-Übergänge herzustellen. Im Allgemeinen erfordern sub-100 nm-CMOS(Complementary Metal Oxide Semiconductor)-Vorrichtungen, dass eine Übergangstiefe weniger als 30 nm beträgt. Selektive epitaktische Abscheidung wird oft genutzt, um Epischichten von Silizium-haltigen Materialien (z. B. Si, SiGi und SiC) zu den Übergängen zu bilden. Im Allgemeinen ermöglicht die selektive epitaktische Abscheidung das Wachstum von Epischichten auf Siliziumgräben ohne ein Wachstum auf dielektrischen Flächen. Selektive Epitaxie kann verwendet werden innerhalb von Halbleitervorrichtungen, wie erhöhte Source/Drains, Source/Drain-Erweiterungen, Kontaktstopfen oder Basisschichtabscheidung von bipolaren Vorrichtungen.
  • Im Allgemeinen beinhaltet ein selektiver Epitaxieprozess eine Abscheidungsreaktion und eine Ätzreaktion. Die Abscheidungs- und Ätzreaktionen erfolgen simultan mit relativ unterschiedlichen Reaktionsgeschwindigkeiten für eine epitaktische Schicht und für eine polykristalline Schicht. Während des Abscheidungsprozesses wird die epitaktische Schicht auf einer monokristallinen Oberfläche gebildet, während eine polykristalline Schicht auf mindestens einer zweiten Schicht abgeschieden wird, wie eine existierende polykristalline Schicht und/oder eine amorphe Schicht. Jedoch wird die abgeschiedene polykristalline Schicht allgemein mit einer schnelleren Geschwindigkeit geätzt als die epitaktische Schicht. Deshalb führt durch Ändern der Konzentration eines Ätzmittelgases der selektive Nettoprozess zu einer Abscheidung von Epitaxiematerial und zu einer beschränkten oder keinen Abscheidung von polykristallinem Material. Zum Beispiel kann ein selektiver Epitaxieprozess zur Bildung einer Epischicht von Silizium-haltigem Material auf einer monokristallinen Siliziumoberfläche führen, während keine Abscheidung auf einem Spacerbereich belassen wird.
  • Selektive Epitaxieabscheidung von Silizium-haltigen Materialien wurde eine nützliche Technik während der Bildung von erhöhten Source/Drain- und Source/Drain-Erweiterungsgebilden, beispielsweise während der Bildung von Silizium-haltigen MOSFET(Metal Oxide Semiconductor Field Effect Transistor)-Vorrichtungen. Source/Drain-Erweiterungsgebilde werden hergestellt durch Ätzen einer Siliziumoberfläche, um ein vertieftes Source/Drain-Gebilde zu erstellen, und nachfolgendes Füllen der geätzten Oberfläche mit einer selektiv gewachsenen Epischicht, wie beispielsweise ein Silizium-Germanium(SiGe)-Material. Selektive Epitaxie erlaubt eine fast vollständige Dotiermittelaktivierung mit in-situ-Dotierung derart, dass der Nacherwärmungsprozess unterlassen wird. Deshalb kann die Übergangstiefe genau definiert werden durch Siliziumätzen und selektive Epitaxie. Andererseits führt der ultraflache Source/Drain-Übergang unvermeidbar zu einem vergrößerten Reihenwiderstand. Auch vergrößert der Übergangsverbrauch während der Silizidbildung den Reihenwiderstand sogar weiter. Um den Übergangsverbrauch zu kompensieren, lässt man ein erhöhtes Source/Drain epitaktisch und selektiv auf dem Übergang wachsen. Typischerweise ist die erhöhte Source/Drain-Schicht undotiertes Silizium.
  • Jedoch haben gegenwärtige selektive Epitaxieprozesse einige Nachteile. Um eine Selektivität während der gegenwärtigen Epitaxieprozesse beizubehalten, müssen chemische Konzentrationen der Vorläufer, wie auch Reaktionstemperaturen reguliert und angepasst werden durch den ganzen Abscheidungsprozess hindurch. Falls nicht genug Siliziumvorläufer verabreicht wird, kann die Ätzreaktion dominieren und der Gesamtprozess wird verlangsamt. Auch kann ein schädliches Überätzen von Substratgebilden auftreten. Falls nicht genug Ätzmittelvorläufer verabreicht wird, kann die Abscheidungsreaktion dominieren, was die Selektivität reduziert, um monokristalline und polykristalline Materialien über der Substratoberfläche zu bilden. Auch erfordern gegenwärtige selektive Epitaxieprozesse üblicherweise eine hohe Reaktionstemperatur, wie ungefähr 800°C, 1000°C oder höher. Derartig hohe Temperaturen sind nicht erwünscht während eines Fabrikationsprozesses, aufgrund von Betrachtungen des Wärmebudgets und möglicher unkontrollierter Nitridierungsreaktionen an der Substratoberfläche.
  • Deshalb gibt es eine Notwendigkeit, einen Prozess für selektives und epitaktisches Abscheiden von Silizium und Silizium-haltigen Verbindungen mit optionalen Dotiermitteln zu haben. Außerdem sollte der Prozess vielseitig sein, um Silizium-haltige Verbindungen mit variierten Elementarkonzentrationen zu bilden, während man eine schnelle Abscheidungsgeschwindigkeit, eine glatte Oberflächenmorphologie hat und eine Prozesstemperatur beibehält, wie beispielsweise ungefähr 800°C oder weniger, und bevorzugt ungefähr 700°C oder weniger.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Ein erster Aspekt der Erfindung stellt ein Verfahren des selektiven Bildens einer epitaktischen Schicht auf einem Substrat bereit. Das Verfahren umfasst Erwärmen des Substrats auf eine Temperatur von weniger als ungefähr 800°C und Einsetzen von sowohl Silan als auch Dichlorsilan als Siliziumquellen während einer selektiven Epitaxiefilmbildung.
  • In einem anderen Aspekt der Erfindung wird ein Verfahren des selektiven Bildens einer epitaktischen Schicht auf einem Substrat bereitgestellt. Das Verfahren umfasst mindestens einen Abscheidungsschritt und mindestens einen Ätzschritt, die alterniert werden. Das Verfahren umfasst Erwärmen des Substrats auf eine Temperatur von weniger als ungefähr 800°C. Der Abscheidungsschritt setzt sowohl Silan als auch Dichlorsilan als Siliziumquellen ein. Jedes der Siliziumquellengase lässt man bei einer Geschwindigkeit von ungefähr 10 bis 100 sccm bei einem Kammerdruck von ungefähr 5 bis 50 Torr einfließen. Der Ätzschritt umfasst Einfließen mindestens eines von Wasserstoffchlorid und Chlor.
  • In einem anderen Aspekt der Erfindung wird ein Verfahren des Bildens einer epitaktischen Schicht auf einem Substrat bereitgestellt. Das Verfahren umfasst (1) Erwärmen des Substrats auf eine Temperatur von weniger als ungefähr 800°C; und (2) Ausführen eines selektiven Epitaxiefilmbildungsprozesses auf dem Substrat derart, dass die epitaktische Schicht gebildet wird durch Einsetzen von sowohl Silan als auch Dichlorsilan als Siliziumquellen während des selektiven Epitaxiefilmbildungsprozesses. Ein Verhältnis von Silan zu Dichlorsilan ist größer als 1. Verschiedene andere Aspekte werden bereitgestellt.
  • Andere Merkmale und Aspekte der vorliegenden Erfindung werden klarer von der folgenden detaillierten Beschreibung, der anhängenden Ansprüche und der beigefügten Zeichnungen.
  • BESCHREIBUNG DER ZEICHNUNGEN
  • 1 ist ein Flussdiagramm eines ersten beispielhaften Verfahrens zum Bilden eines epitaktischen Films gemäß der vorliegenden Erfindung.
  • 2 ist ein Flussdiagramm eines zweiten beispielhaften Verfahrens zum Bilden eines epitaktischen Films gemäß der vorliegenden Erfindung.
  • DETAILLIERTE BESCHREIBUNG
  • Während eines selektiven Epitaxiewachstumsprozesses auf einem Siliziumsubstrat, das mit dielektrischen Filmen bemustert ist, erfolgt die Bildung von Einkristallhalbleiter nur auf den freigelegten Siliziumoberflächen (z. B. nicht auf den dielektrischen Oberflächen). Selektive Dicke ist definiert als die maximale Filmdicke, die auf den Siliziumoberflächen erhalten wird vor dem Einsetzen des Filmwachstums oder der Nukleierung der dielektrischen Oberflächen.
  • Selektive Epitaxiewachstumsprozesse können simultane Ätz-Abscheidungsprozesse, wie auch alternierende Gasbereitstellungsprozesse umfassen. In einem simultanen Ätz-Abscheidungsprozess lässt man sowohl Ätzmittelspezies als auch Abscheidungsspezies simultan einfließen. So wird eine epitaktische Schicht simultan abgeschieden und geätzt während ihrer Bildung.
  • US Patentanmeldung Seriennummer 11/001,774, eingereicht am 1. Dezember 2004 (Docket Nr. 9618), beschreibt einen alternierenden Gasbereitstellungs(AGS)-Prozess zum Bilden epitaktischer Schichten auf einem Substrat. Während eines AGS-Prozesses wird ein epitaktischer Abscheidungsprozess auf einem Substrat ausgeführt, und dann wird ein Ätzprozess auf dem Substrat ausgeführt. Der Zyklus eines epitaktischen Abscheidungsprozesses gefolgt von einem Ätzprozess wird wiederholt, bis eine erwünschte Dicke einer epitaktischen Schicht gebildet ist.
  • Ein alternativer Vorläufer für selektive Siliziumepitaxie bei Abscheidungstemperaturen von weniger als 800°C ist Silan (SiH4). Bei derartig niedrigen Temperaturen besitzt SiH4 eine höhere Wachstumsrate als Dichlorsilan (DCS). Jedoch haben die vorliegenden Erfinder beobachtet, dass ein SiH4-basierter Prozess Morphologieprobleme einführen kann (z. B. Oberflächenrauhigkeit oder Lochkorrosion).
  • In mindestens einer Ausführungsform der Erfindung können beobachtete Morphologieprobleme, die mit der Verwendung von SiH4 zusammenhängen, verringert und/oder eliminiert werden durch Einsetzen von sowohl SiH4 als auch DCS (z. B. durch Mischen von SiH4 und DCS während des Filmwachstums). Es wird geglaubt, dass dieser Ansatz den Diffusionsmechanismus auf der Filmoberfläche ändert, was eine größere Morphologiesteuerung ermöglicht.
  • In einigen Ausführungsformen kann die vorliegende Erfindung eingesetzt werden mit dem AGS-Prozess, der in der US Patentanmeldung Seriennummer 11/001,774, eingereicht am 1. Dezember 2004 (Docket Nr. 9618) beschrieben ist, obwohl die vorliegend Erfindung verwendet werden kann mit anderen selektiven epitaktischen Prozessen.
  • Es wurde gefunden, dass epitaktische Siliziumfilme, die unter Verwenden eines selektiven Prozesses mit nur SiH4 als eine Siliziumquelle (z. B. während eines AGS-Prozesses) gebildet wurden, Oberflächen besitzen, die rau und lochkorrodiert sind. Es wurde gefunden, dass epitaktische Siliziumfilme, die unter Verwenden eines selektiven Prozesses mit sowohl SiH4 als auch DCS als Siliziumquellen gebildet wurden (z. B. während eines AGS-Prozesses) eine verbesserte Filmmorphologie besitzen, wie eine verbesserte Oberflächenglattheit (z. B. ohne Lochkorrosion). Anders als andere Ansätze, wie ein Glättungsschritt nach der Abscheidung, ermöglicht die Verwendung von SiH4 und DCS eine in-situ-Steuerung der Filmmorphologie (z. B. während der epitaktischen Filmbildung) ohne zusätzliche Prozessschritte.
  • In einigen Ausführungsformen kann ein Beispiel eines Prozesses, der eine Siliziumquelle wie oben beschrieben verwenden kann, ungefähr 10 sccm bis ungefähr 100 sccm Silan umfassen. Zusätzlich kann die Siliziumquelle ungefähr 10 sccm bis ungefähr 100 sccm Dichlorsilan umfassen. In diesem Beispiel kann während eines Abscheidungszyklus in einem AGS-Prozess ein Kammerdruck in einem Bereich von ungefähr 5 Torr bis ungefähr 50 Torr mit einer Abscheidungszeit von ungefähr 2 bis 250 Sekunden, und bevorzugter ungefähr 5 bis 10 Sekunden, und eine Temperatur in einem Bereich zwischen ungefähr 700°C und ungefähr 750°C, eingesetzt werden. In einigen Ausführungsformen kann ein SiH4-zu-DCS-Verhätnis von mehr als 1 eingesetzt werden, wie 2:1, 3:1, 4:1, 5:1, 7:1, 10:1, etc. (SiH4:DCS). Nach dem Abscheidungszyklus kann ein Ätzmittelprozess eingesetzt werden, zum Beispiel mit ungefähr 50 sccm bis ungefähr 500 sccm Wasserstoffchlorid (HCl) als das Ätzmittel, ein Kammerdruck von ungefähr 5 Torr bis ungefähr 100 Torr mit einer Abscheidungszeit von ungefähr 2 bis 250 Sekunden, und bevorzugter ungefähr 5 bis 10 Sekunden, und einer Temperatur in einem Bereich zwischen ungefähr 700°C und ungefähr 750°C. Nach dem Ätzzyklus kann ein Spülzyklus ausgeführt werden für ungefähr 10 Sekunden bei einem Druck von ungefähr 5 bis ungefähr 50 Torr bei einer Temperatur in einem Bereich zwischen ungefähr 700°C und ungefähr 750°C. Andere Prozesszeiten, Temperaturen und/oder Fließgeschwindigkeiten können während der Abscheidung, dem Ätzen und/oder dem Spülen verwendet werden. Zum Beispiel kann Chlor (Cl2) oder eine Kombination von Cl2 und HCl eingesetzt werden während jedes Ätzschrittes, wie beschrieben in US Patentanmeldung Seriennummer 11/227,974, eingereicht am 14. September 2005 (Docket Nr. 9618/P01).
  • 1 ist ein Flussdiagramm eines ersten beispielhaften Verfahrens 100 zum Bilden eines epitaktischen Films gemäß der vorliegenden Erfindung. Es wird auf 1 Bezug genommen, in Schritt 101 wird ein Substrat in eine Prozesskammer geladen und erwärmt auf eine Temperatur von ungefähr 800°C oder weniger. In einigen Ausführungsformen kann ein geringerer Temperaturbereich verwendet werden während der Epitaxiefilmbildung, wie weniger als 750°C, weniger als 700°C oder weniger als 650°C.
  • Im Schritt 102 fließen Silan und Dichlorsilan in die Prozesskammer zusammen mit einem geeigneten Trägergas und/oder Dotiermittel(n) derart, dass ein epitaktischer Film auf dem Substrat gebildet wird. In einigen Ausführungsformen kann man eines oder mehrere Ätzmittelgase, wie HCl und Cl2, eine Kombination von HCl und Cl2, etc, zur selben Zeit wie die Siliziumquellengase einfließen lassen (z. B. während eines simultanen Abscheidungs-Ätzprozesses). In anderen Ausführungsformen kann ein getrennter Ätzschritt nach der Abscheidung eingesetzt werden (z. B. während eines AGS-Prozesses). Abscheidung und Ätzen werden fortgesetzt, bis die erwünschte Epitaxiefilmdicke erreicht ist. In einigen Ausführungsformen kann ein SiH4-zu-DCS-Verhältnis von größer als 1 eingesetzt werden, wie 2:1, 3:1, 4:1, 5:1, 7:1, 10:1, etc., (SiH4:DCS). Andere Siliziumquellenverhältnisse können verwendet werden.
  • 2 ist ein Flussdiagramm eines zweiten beispielhaften Verfahrens 200 zum Bilden eines epitaktischen Films gemäß der vorliegenden Erfindung. Es wird auf 2 Bezug genommen, in Schritt 201 wird ein Substrat in eine Prozesskammer geladen und wird auf eine Temperatur von ungefähr 800°C oder weniger erwärmt. In einigen Ausführungsformen kann ein niedrigerer Temperaturbereich verwendet werden während der Epitaxiefilmbildung, wie weniger als 750°C, weniger als 700°C oder weniger als 650°C.
  • In Schritt 202 lässt man Silan und Dichlorsilan in die Prozesskammer fließen, zusammen mit einem geeigneten Trägergas und/oder Dotiermittel(n), derart, dass ein epitaktischer Film auf dem Substrat gebildet wird. In einigen Ausführungsformen können ungefähr 10 sccm bis ungefähr 100 sccm Silan eingesetzt werden, wie möglicherweise ungefähr 10 sccm bis ungefähr 100 sccm Dichlorsilan. Ein Druck in einem Bereich von ungefähr 5 Torr bis ungefähr 50 Torr kann eingesetzt werden. Eine Abscheidung kann auch ausgeführt werden für ungefähr 2 bis 250 Sekunden, bevorzugter ungefähr 5 bis 10 Sekunden. In einigen Ausführungsformen kann ein SiH4-zu-DCS-Verhältnis von größer als 1 eingesetzt werden, wie 2:1, 3:1, 4:1, 5:1, 7:1, 10:1, etc., (SiH4:DCS). Andere Fließgeschwindigkeiten, Drücke, Temperaturen, Zeiten und/oder SiH4:DCS-Verhältnisse können verwendet werden.
  • Im Schritt 203 lässt man Ätzmittelgas, wie HCl und/oder Cl2 in die Prozesskammer fließen, zusammen mit einem geeigneten Trägergas, derart, dass Material geätzt wird, das während des Schrittes 202 abgeschieden wurde. Zum Beispiel kann das Substrat geätzt werden mit ungefähr 50 sccm bis ungefähr 500 sccm Wasserstoffchlorid (HCl) als das Ätzmittel bei einem Kammerdruck von ungefähr 5 Torr bis ungefähr 100 Torr für ungefähr 2 bis 250 Sekunden, bevorzugter ungefähr 5 bis 10 Sekunden. Andere Ätzmittel, Fließgeschwindigkeiten, Drücke und/oder Zeiten können verwendet werden.
  • Im Schritt 204 kann nach dem Ätzzyklus ein Spülzyklus für ungefähr 2 bis 250 Sekunden, und bevorzugter ungefähr 5 bis 10 Sekunden ausgeführt werden. Andere Spülzeiten können verwendet werden.
  • Im Schritt 205 wird eine Bestimmung ausgeführt, ob die erwünschte Epitaxiefilmdicke erreicht wurde. Falls dem so ist, endet der Prozess in Schritt 206; andernfalls kehrt der Prozess zu Schritt 202 zurück, um zusätzliches epitaktisches Material auf dem Substrat abzuscheiden.
  • Die vorstehende Beschreibung offenbart nur beispielhafte Ausführungsformen der Erfindung. Modifikationen der oben offenbarten Vorrichtungen und Verfahren, die in den Umfang der Erfindung fallen, werden sich für jene Fachleute auf einfache Weise ergeben. Zum Beispiel kann ein niedrigerer Temperaturbereich verwendet werden während der Epitaxiefilmbildung, wie weniger als 750°C, weniger als 700°C oder weniger als 650°C.
  • Demgemäß, während die vorliegende Erfindung in Zusammenhang mit beispielhaften Ausführungsformen davon offenbart wurde, sollte es verstanden werden, dass andere Ausführungsformen in den Sinn und den Umfang der Erfindung fallen, wie definiert durch die folgenden Ansprüche.
  • ZUSAMMENFASSUNG:
  • Ein erster Aspekt der Erfindung stellt bereit ein Verfahren des selektiven Bildens einer epitaktischen Schicht auf einem Substrat. Das Verfahren umfasst Erwärmen des Substrats auf eine Temperatur von weniger als ungefähr 800°C und Einsetzen von sowohl Silan als auch Dichlorsilan als Siliziumquellen während der Epitaxiefilmbildung. Zahlreiche andere Aspekte werden bereitgestellt.

Claims (24)

  1. Verfahren des Bildens einer epitaktischen Schicht, umfassend: Bereitstellen eines Substrats; Erwärmen des Substrats auf eine Temperatur von weniger als ungefähr 800°C; und Ausführen eines selektiven Epitaxiefilmbildungsprozesses auf dem Substrat derart, dass die epitaktische Schicht gebildet wird durch Einsetzen von sowohl Silan als auch Dichlorsilan als Siliziumquellen während des selektiven Epitaxiefilmbildungsprozesses.
  2. Verfahren nach Anspruch 1, wobei das Erwärmen des Substrats umfasst Erwärmen des Substrats auf eine Temperatur von weniger als ungefähr 750°C.
  3. Verfahren nach Anspruch 1, wobei das Erwärmen des Substrats umfasst Erwärmen des Substrats auf eine Temperatur von weniger als ungefähr 700°C.
  4. Verfahren nach Anspruch 1, wobei das Erwärmen des Substrats umfasst Erwärmen des Substrats auf eine Temperatur von weniger als ungefähr 650°C.
  5. Verfahren nach Anspruch 1, wobei das Ausführen des selektiven Epitaxiefilmbildungsprozesses umfasst: Einfließen von Silan und Dichlorsilan; und Einfließen eines Ätzgases, das mindestens eines von Wasserstoffchlorid (HCl) und Chlor (Cl2) umfasst.
  6. Verfahren nach Anspruch 1, wobei der selektive Epitaxiefilmbildungsprozess umfasst Ausführen eines Abscheidungsschrittes gefolgt von einem Ätzschritt.
  7. Verfahren nach Anspruch 6, wobei das Ausführen des Abscheidungsschrittes umfasst Bereitstellen eines Flusses von Silan und eines Flusses von Dichlorsilan.
  8. Verfahren nach Anspruch 7, wobei der Fluss von Silan ungefähr 10 bis 100 sccm beträgt.
  9. Verfahren nach Anspruch 7, wobei der Fluss von Dichlorsilan ungefähr 10 bis 100 sccm beträgt.
  10. Verfahren nach Anspruch 7, wobei das Ausführen des Abscheidungsschrittes umfasst Einsetzen eines Prozessdruckes von ungefähr 5 bis 50 Torr.
  11. Verfahren nach Anspruch 7, wobei das Ausführen des Abscheidungsschrittes umfasst Einfließen von Silan und Dichlorsilan für bis zu ungefähr 10 Sekunden.
  12. Verfahren nach Anspruch 6, wobei das Ausführen des Ätzschrittes umfasst Einfließen eines Ätzgases, das mindestens eines von Wasserstoffchlorid (HCl) und Chlor (Cl2) umfasst.
  13. Verfahren nach Anspruch 12, wobei der Fluss von Ätzgas ungefähr 50 bis 500 sccm beträgt.
  14. Verfahren nach Anspruch 12, wobei das Ausführen des Ätzschrittes umfasst Einsetzen eines Prozessdruckes von ungefähr 5 bis 100 Torr.
  15. Verfahren nach Anspruch 12, wobei der Ätzschritt umfasst Einfließen eines Ätzgases für bis zu ungefähr 10 Sekunden.
  16. Verfahren nach Anspruch 6, weiter umfassend mindestens einen Spülschritt.
  17. Verfahren des Bildens einer epitaktischen Schicht, umfassend: Bereitstellen eines Substrats; Erwärmen des Substrats auf eine Temperatur von weniger als ungefähr 800°C; Ausführen eines selektiven Epitaxiefilmbildungsprozesses, umfassend mindestens einen Abscheidungsschritt und mindestens einen Ätzschritt: wobei der Abscheidungsschritt und der Ätzschritt alterniert werden; wobei der Abscheidungsschritt umfasst Einfließen von Silan und Dichlorsilan jeweils bei einer Fließgeschwindigkeit von ungefähr 10 bis 100 sccm bei einem Abscheidungsdruck von ungefähr 5 bis 50 Torr; und wobei der Ätzschritt umfasst Einfließen mindestens eines von Wasserstoffchlorid und Chlor.
  18. Verfahren nach Anspruch 17, wobei der selektive Epitaxiefilmbildungsprozess weiter umfasst mindestens einen Spülschritt.
  19. Verfahren nach Anspruch 17, wobei das Erwärmen des Substrats umfasst Erwärmen des Substrats auf eine Temperatur von weniger als ungefähr 750°C.
  20. Verfahren nach Anspruch 17, wobei das Erwärmen des Substrats umfasst Erwärmen des Substrats auf eine Temperatur von weniger als ungefähr 700°C.
  21. Verfahren nach Anspruch 17, wobei das Erwärmen des Substrats umfasst Erwärmen des Substrats auf eine Temperatur von weniger als ungefähr 650°C.
  22. Verfahren des Bildens einer epitaktischen Schicht, umfassend: Bereitstellen eines Substrats; Erwärmen des Substrats auf eine Temperatur von weniger als ungefähr 800°C; und Ausführen eines selektiven Epitaxiefilmbildungsprozesses auf dem Substrat derart, dass die epitaktische Schicht gebildet wird durch Einsetzen von sowohl Silan als auch Dichlorsilan als Siliziumquellen während des selektiven Epitaxiefilmbildungsprozesses; wobei ein Verhältnis von Silan zu Dichlorsilan größer als 1 ist.
  23. Verfahren nach Anspruch 22, wobei das Verhältnis von Silan zu Dichlorsilan größer als 2 ist.
  24. Verfahren nach Anspruch 23, wobei das Verhältnis von Silan zu Dichlorsilan größer als 5 ist.
DE112007001813T 2006-07-31 2007-07-30 Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht Withdrawn DE112007001813T5 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US82095606P 2006-07-31 2006-07-31
US60/820,956 2006-07-31
PCT/US2007/017053 WO2008033186A1 (en) 2006-07-31 2007-07-30 Methods of controlling morphology during epitaxial layer formation

Publications (1)

Publication Number Publication Date
DE112007001813T5 true DE112007001813T5 (de) 2009-07-09

Family

ID=39184089

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112007001813T Withdrawn DE112007001813T5 (de) 2006-07-31 2007-07-30 Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht

Country Status (7)

Country Link
US (1) US7588980B2 (de)
JP (1) JP5175285B2 (de)
KR (1) KR101369355B1 (de)
CN (1) CN101496150B (de)
DE (1) DE112007001813T5 (de)
TW (1) TWI390606B (de)
WO (1) WO2008033186A1 (de)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100120235A1 (en) * 2008-11-13 2010-05-13 Applied Materials, Inc. Methods for forming silicon germanium layers
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
CN101283121B (zh) * 2005-10-05 2012-10-03 应用材料公司 外延薄膜形成的方法与装置
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
US20070286956A1 (en) * 2006-04-07 2007-12-13 Applied Materials, Inc. Cluster tool for epitaxial film formation
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
DE112007001814T5 (de) 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara Verfahren zum Bilden kohlenstoffhaltiger Siliziumepitaxieschichten
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
JP4635062B2 (ja) * 2008-03-11 2011-02-16 株式会社東芝 半導体装置の製造方法
KR101776926B1 (ko) 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN103779278A (zh) * 2012-10-22 2014-05-07 中芯国际集成电路制造(上海)有限公司 Cmos管的形成方法
JP5931780B2 (ja) * 2013-03-06 2016-06-08 東京エレクトロン株式会社 選択エピタキシャル成長法および成膜装置
KR102422158B1 (ko) 2015-12-23 2022-07-20 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
JP6640596B2 (ja) * 2016-02-22 2020-02-05 東京エレクトロン株式会社 成膜方法
US10446393B2 (en) * 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
JP6489198B1 (ja) * 2017-12-25 2019-03-27 株式会社Sumco エピタキシャルウェーハの汚染評価方法および該方法を用いたエピタキシャルウェーハの製造方法

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3675619A (en) * 1969-02-25 1972-07-11 Monsanto Co Apparatus for production of epitaxial films
NL187942C (nl) * 1980-08-18 1992-02-17 Philips Nv Zenerdiode en werkwijze ter vervaardiging daarvan.
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPH0639357B2 (ja) * 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5607511A (en) * 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5112439A (en) * 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
EP0413982B1 (de) * 1989-07-27 1997-05-14 Junichi Nishizawa Dotierungsverfahren mittels einer adsorbierten Diffusionquelle
JP2880322B2 (ja) * 1991-05-24 1999-04-05 キヤノン株式会社 堆積膜の形成方法
US5227330A (en) * 1991-10-31 1993-07-13 International Business Machines Corporation Comprehensive process for low temperature SI epit axial growth
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2917694B2 (ja) 1992-04-02 1999-07-12 日本電気株式会社 化合物半導体気相成長方法及びその装置
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5236545A (en) 1992-10-05 1993-08-17 The Board Of Governors Of Wayne State University Method for heteroepitaxial diamond film development
JP3255469B2 (ja) 1992-11-30 2002-02-12 三菱電機株式会社 レーザ薄膜形成装置
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
JPH0729897A (ja) * 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (ja) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd ガラス基板および加熱処理方法
US5796116A (en) * 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6055927A (en) 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
EP1060287B1 (de) * 1998-03-06 2005-01-26 ASM America, Inc. Verfahren zum beschichten von silizium mit hoher kantenabdeckung
US6019839A (en) * 1998-04-17 2000-02-01 Applied Materials, Inc. Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition
JP4214585B2 (ja) 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
FR2779572B1 (fr) 1998-06-05 2003-10-17 St Microelectronics Sa Transistor bipolaire vertical a faible bruit et procede de fabrication correspondant
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP4204671B2 (ja) * 1998-09-11 2009-01-07 三菱電機株式会社 半導体装置の製造方法
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP4556329B2 (ja) 1999-04-20 2010-10-06 ソニー株式会社 薄膜形成装置
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
FR2801420B1 (fr) 1999-11-23 2002-04-12 St Microelectronics Sa Transistor bipolaire vertical a faible bruit basse frequence et gain en courant eleve, et procede de fabrication correspondant
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (de) 2000-02-08 2002-11-13 Asm Japan K.K. Materialen mit niedrieger Dielektrizitätskonstante und Verfahren
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
EP2293322A1 (de) * 2000-06-08 2011-03-09 Genitech, Inc. Verfahren zur Abscheidung einer Metallnitridschicht
JP3650727B2 (ja) * 2000-08-10 2005-05-25 Hoya株式会社 炭化珪素製造方法
KR100373853B1 (ko) * 2000-08-11 2003-02-26 삼성전자주식회사 반도체소자의 선택적 에피택시얼 성장 방법
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US6969539B2 (en) * 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
AU2002225761A1 (en) * 2000-11-30 2002-06-11 Asm America, Inc. Thin films for magnetic devices
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR20020049875A (ko) * 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
KR100393208B1 (ko) * 2001-01-15 2003-07-31 삼성전자주식회사 도핑된 다결정 실리콘-저매니움막을 이용한 반도체 소자및 그 제조방법
US6426265B1 (en) * 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
KR101050377B1 (ko) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6576535B2 (en) 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
TWI307912B (en) * 2001-05-30 2009-03-21 Asm Inc Low temperature load and bake
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR20030035152A (ko) 2001-10-30 2003-05-09 주식회사 하이닉스반도체 반도체웨이퍼 제조방법
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6590344B2 (en) 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3914064B2 (ja) * 2002-02-28 2007-05-16 富士通株式会社 混晶膜の成長方法及び装置
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6998305B2 (en) * 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
JP3872027B2 (ja) 2003-03-07 2007-01-24 株式会社東芝 クリーニング方法及び半導体製造装置
JP2006524429A (ja) * 2003-03-28 2006-10-26 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Nドープシリコン層のエピタキシャル成長のための方法
CN100454200C (zh) * 2003-06-09 2009-01-21 喜开理株式会社 相对压力控制系统和相对流量控制系统
JP2005167064A (ja) * 2003-12-04 2005-06-23 Sharp Corp 不揮発性半導体記憶装置
US7045432B2 (en) * 2004-02-04 2006-05-16 Freescale Semiconductor, Inc. Method for forming a semiconductor device with local semiconductor-on-insulator (SOI)
US7071117B2 (en) * 2004-02-27 2006-07-04 Micron Technology, Inc. Semiconductor devices and methods for depositing a dielectric film
US7230274B2 (en) * 2004-03-01 2007-06-12 Cree, Inc Reduction of carrot defects in silicon carbide epitaxy
KR100532509B1 (ko) 2004-03-26 2005-11-30 삼성전자주식회사 SiGe를 이용한 트렌치 커패시터 및 그 형성방법
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US7361563B2 (en) * 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
KR100607409B1 (ko) * 2004-08-23 2006-08-02 삼성전자주식회사 기판 식각 방법 및 이를 이용한 반도체 장치 제조 방법
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
CN101283121B (zh) * 2005-10-05 2012-10-03 应用材料公司 外延薄膜形成的方法与装置
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
DE112007001814T5 (de) * 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara Verfahren zum Bilden kohlenstoffhaltiger Siliziumepitaxieschichten

Also Published As

Publication number Publication date
CN101496150B (zh) 2012-07-18
JP2009545884A (ja) 2009-12-24
TW200816280A (en) 2008-04-01
US20080026549A1 (en) 2008-01-31
JP5175285B2 (ja) 2013-04-03
US7588980B2 (en) 2009-09-15
TWI390606B (zh) 2013-03-21
WO2008033186A1 (en) 2008-03-20
CN101496150A (zh) 2009-07-29
KR20090037481A (ko) 2009-04-15
KR101369355B1 (ko) 2014-03-04

Similar Documents

Publication Publication Date Title
DE112007001813T5 (de) Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
DE112007001814T5 (de) Verfahren zum Bilden kohlenstoffhaltiger Siliziumepitaxieschichten
DE102008050511B4 (de) Selektive Bildung einer Silizium-Kohlenstoff-Epitaxialschicht
TW202129063A (zh) 用於選擇性沉積經摻雜半導體材料之方法
DE102004010676B4 (de) Verfahren zur Herstellung eines Halbleiterwafers
DE10361829B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE112008000638B4 (de) Verfahren zur Herstellung einer Halbleitereinheit mit selbstausgerichteten epitaxialen Verlängerungen von Quellen und Senken
DE10053463A1 (de) Halbleitersubstrat und Verfahren zu dessen Herstellung
JP2007535147A (ja) インサイチュドープトエピタキシャルフィルム
DE112007001523T5 (de) Vorreinigung von Substraten in Epitaxiekammern
JP2012033944A (ja) シリコンゲルマニウムの、平坦化及び欠陥密度を減少させる方法
DE112016001675B4 (de) Verfahren zur Erhöhung der Wachstumsrate für ein selektives Expitaxialwachstum
DE102014118993A1 (de) Halbleitervorrichtungsstruktur und Verfahren zu ihrer Herstellung
CN100454489C (zh) 淀积半导体材料的方法
DE102004062472B4 (de) Verfahren zur Herstellung einer Metallverbindungsleitung unter Verwendung einer bei niedriger Temperatur gebildeten Barrierenmetallschicht
DE102014119637A1 (de) Halbleitervorrichtungsstruktur und Herstellungsverfahren dafür
DE102012100006B4 (de) Halbleiterbauelement und Herstellungsverfahren dafür
TW201826351A (zh) 製造半導體元件的方法
DE112004002634B4 (de) Integrierte Schaltung, Verfahren zur Herstellung einer integrierten Schaltung und Verfahren zur Herstellung flacher Grabenisolationsstrukturen
EP2130214B1 (de) Selektives wachstum von polykristallinem siliziumhaltigen halbleitermaterial auf siliziumhaltiger halbleiteroberfläche
DE19653493B4 (de) Verfahren zur Ausbildung einer integrierten Schaltungseinrichtung mit Titansilicidschicht, integrierte Schaltungseinrichtung mit Titansilicidschicht und Vorrichtung zur Erzeugung derselben
EP2695180B1 (de) Verfahren zur herstellung eines iii/v-si-templats
US9012328B2 (en) Carbon addition for low resistivity in situ doped silicon epitaxy

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R012 Request for examination validly filed

Effective date: 20140717

R016 Response to examination communication
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee